KR20040057434A - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
KR20040057434A
KR20040057434A KR1020020084180A KR20020084180A KR20040057434A KR 20040057434 A KR20040057434 A KR 20040057434A KR 1020020084180 A KR1020020084180 A KR 1020020084180A KR 20020084180 A KR20020084180 A KR 20020084180A KR 20040057434 A KR20040057434 A KR 20040057434A
Authority
KR
South Korea
Prior art keywords
film
hard mask
sacrificial
pattern
layer
Prior art date
Application number
KR1020020084180A
Other languages
Korean (ko)
Other versions
KR100939109B1 (en
Inventor
이성권
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020020084180A priority Critical patent/KR100939109B1/en
Publication of KR20040057434A publication Critical patent/KR20040057434A/en
Application granted granted Critical
Publication of KR100939109B1 publication Critical patent/KR100939109B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method for manufacturing a semiconductor device is provided to prevent deformation of a photoresist pattern due to line edge roughness by using an advanced exposure source. CONSTITUTION: A conductive layer(31a), an insulating layer, the first sacrificial layer, and the second sacrificial layer for improving the surface roughness are sequentially formed on a substrate(30). A photoresist pattern(36) with an ArF or F2 exposure source is formed on the resultant structure. The second and first sacrificial hard mask(34b,33b) are formed by patterning the second and first sacrificial layer using the photoresist pattern as a mask. A hard mask(32b) is formed by etching the insulating layer using the stacked first and second sacrificial hard mask. Then, a conductive pattern including the hard mask and the conductive layer is formed by etching the conductive layer. At this time, the second and first sacrificial hard mask are removed.

Description

반도체소자 제조방법{METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}Semiconductor device manufacturing method {METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}

본 발명은 반도체소자 제조방법에 관한 것으로, 특히 반도체소자의 패턴 형성방법에 관한 것으로, 더욱 상세하게는 F2또는 불화아르곤(ArF)등의 보다 발전된(Advanced) 노광원을 이용한 반도체소자의 패턴 형성방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a pattern formation method for a semiconductor device. More particularly, the pattern formation of a semiconductor device using a more advanced exposure source such as F 2 or argon fluoride (ArF) is performed. It is about a method.

반도체소자의 진전을 지지해 온 미세 가공 기술은 사진식각(Photo lithography) 기술인 바, 이 기술의 해상력 향상이 반도체 소자의 고집적화의 장래와 직결된다고 해도 과언은 아니다.Since the microfabrication technology that has supported the progress of semiconductor devices is a photolithography technology, it is no exaggeration to say that the improvement in resolution of the technology is directly connected to the future of high integration of semiconductor devices.

이러한 사진식각 공정은 주지된 바와 같이, 포토레지스트 패턴을 형성하는 공정과 상기 포토레지스트 패턴을 식각 마스크로 하는 식각 공정을 통해 피식각층을 식각해서 원하는 형태의 패턴 예컨대, 콘택홀 또는 게이트전극 등의 라인 패턴 등을 형성하는 공정을 포함하는 바, 여기서 포토레지스트 패턴은 피식각층 상에 포토레지스트를 도포하는 공정과 준비된 노광 마스크를 이용해 포토레지스트를 선택적으로 노광하는 공정 및 소정의 화학용액으로 노광되거나, 또는 노광되지 않은 포토레지스트 부분을 제거하는 현상 공정을 통해 이루어진다.The photolithography process is, as is well known, by etching a layer to be etched through a process of forming a photoresist pattern and an etching process using the photoresist pattern as an etch mask, for example, a line of a pattern such as a contact hole or a gate electrode. A process of forming a pattern or the like, wherein the photoresist pattern is a process of applying the photoresist on the etched layer, a process of selectively exposing the photoresist using a prepared exposure mask and a predetermined chemical solution, or Through a developing process to remove unexposed portions of the photoresist.

한편, 사진식각 공정으로 구현할 수 있는 패턴의 임계치수(Critical Dimension; 이하 CD라 함)는 상기한 노광 공정에서 어떤 파장의 광원을 사용하냐에 따라 좌우된다. 이것은 노광 공정을 통해 구현할 수 있는 포토레지스트 패턴의 폭에 따라 실제 패턴의 CD가 결정되기 때문이다.On the other hand, the critical dimension of the pattern that can be implemented by the photolithography process (hereinafter referred to as CD) depends on the wavelength of the light source used in the above exposure process. This is because the CD of the actual pattern is determined by the width of the photoresist pattern that can be realized through the exposure process.

636㎚ (g-line)의 파장의 광원과 365㎚(i-line)의 광원을 사용하던 초기의 스테퍼(Stepper)를 거쳐 현재는 248㎚(KrF Excimer Laser) 파장의 DUV(Deep Ultra-violet)를 이용하는 스테퍼나 스캐너 타입의 노광장비를 주로 사용하고 있는 바, 248㎚의 DUV 사진식각 기술은 초기에 시간 지연 효과, 기질 의존성 등과 같은 많은 문제들이 발생하였으며, 0.18㎛ 디자인의 제품 개발에 사용되었다. 그러나 0.15㎛ 이하의 디자인을 갖는 제품을 개발하기 위해서는 새로운 193㎚(ArF Excimer Laser) 또는 157nm(F2Laser)의 파장을 갖는 새로운 DUV 사진식각 기술로의 기술개발이 필수적이다. 그러나, 이러한 DUV 사진식각 기술에서 해상력을 높이기 위한 여러 기술을 조합한다 하여도 0.1㎛ 이하의 패턴은 불가능하므로 새로운 광원을 갖는 사진식각 기술의 개발이 활발히 진행되고 있다.Deep Ultra-violet (DUV) at 248nm (KrF Excimer Laser) through the early stepper that used 636nm (g-line) light source and 365nm (i-line) light source The 248nm DUV photolithography technology has been used for the development of products with 0.18µm design. However, in order to develop a product with a design of 0.15 μm or less, it is necessary to develop a new DUV photolithography technique having a wavelength of 193 nm (ArF Excimer Laser) or 157 nm (F 2 Laser). However, even if a combination of various techniques for enhancing the resolution in the DUV photolithography technique is impossible to pattern less than 0.1㎛, the development of a photolithography technique having a new light source is actively progressing.

현재는 ArF(불화아르곤) 레이저(λ=193㎚)를 사용하는 노광장비를 0.11㎛까지의 패턴을 목표로 개발하고 있다. DUV 사진식각 기술은 i-선 대비 해상도 및 DOF 등의 성능면에서 우수하지만, 공정제어가 쉽지 않다. 이러한 문제는 짧은 파장에서 기인된 광학적인 원인과 화학증폭형 포토레지스트의 사용에 의한 화학적인 원인으로 구분할 수 있다. 파장이 짧아지면 정지파 효과에 의한 CD 흔들림 현상과 기질 위상에 의한 반사광의 새김현상이 심해진다. CD 흔들림이란 입사광과 반사광의 간섭 정도가 레지스트의 미소한 두께 차이 또는 기질 필름의 두께차이에 따라 변함으로써 결과적으로 선 두께가 주기적으로 변하는 현상을 말한다. DUV 공정에서는 민감도 향상을 위해서 화학증폭형 포토레지스트를 사용할 수밖에 없는데, 그 반응메카니즘과 관련하여 PED(Post Exposure Delay) 안정성, 기질 의존성 등의 문제점이 발생하는 바, F2또는 ArF 노광기술의 핵심 과제 중의 하나는 F2또는 ArF용 포토레지스트의 개발이다. F2또는 ArF는 KrF와 같은 화학 증폭형이지만 재료를 근본적으로 개량해야 하는 필요가 있기 때문인데, 특히 ArF 포토레지스트 재료 개발이 어려운 것은 벤젠고리를 사용할 수 없기 때문이다. 벤젠고리는 건식 식각(Dry etching) 내성을 확보하기 위해 i-선 및 KrF용 포토레지스트에 사용되어 왔다. 그러나 예컨대, ArF용 포토레지스트에 벤젠고리가 사용될 경우 ArF 레이저의 파장영역인 193nm에서 흡광도가 크기 때문에 투명성이 떨어져 포토레지스트 하부까지 노광이 불가능한 문제가 발생한다. 이 때문에, 벤젠고리를 가지지 않고 건식 식각 내성을 확보할 수 있으며, 접착력이 좋고 2.38% TMAH(Tetra Methyl Ammonium Hydroxide)로 현상되어질 수 있는 재료의 연구가 진행 되고 있다. 현재까지 세계적으로 많은 회사 및 연구소에서 연구성과를 발표하고 있는 상태이며, 아직까지 상용화 되어 있는 것으로는 COMA(CycloOlefin-Maleic Anhydride) 또는 아크릴레이드(Acrylate) 계통의 폴리머 형태, 또는 이들의 혼합 형태이다.Currently, an exposure apparatus using an ArF (argon fluoride) laser (λ = 193 nm) is being developed to target patterns up to 0.11 mu m. DUV photolithography is superior in terms of performance and resolution compared to i-rays, but process control is not easy. These problems can be divided into optical causes due to short wavelengths and chemical causes due to the use of chemically amplified photoresists. If the wavelength is shortened, the CD shake phenomenon due to the stationary wave effect and the reflection of reflected light due to the substrate phase become worse. CD oscillation refers to a phenomenon in which the line thickness changes periodically as the degree of interference between incident light and reflected light changes depending on the slight thickness difference of the resist or the thickness difference of the substrate film. In the DUV process, chemically amplified photoresist has to be used to improve sensitivity, and problems related to the reaction mechanism such as PED (Post Exposure Delay) stability and substrate dependence occur, which are the core tasks of F 2 or ArF exposure technology. One is the development of photoresists for F 2 or ArF. Although F 2 or ArF is a chemically amplified type such as KrF, it is necessary to fundamentally improve the material. Particularly, development of ArF photoresist material is difficult because benzene rings cannot be used. Benzene rings have been used in photoresists for i-rays and KrF to ensure dry etching resistance. However, for example, when the benzene ring is used in the ArF photoresist, since the absorbance is large at 193 nm, which is the wavelength region of the ArF laser, the transparency is poor and the exposure to the bottom of the photoresist is impossible. For this reason, research has been conducted on materials that can secure dry etching resistance without having a benzene ring, have good adhesion, and can be developed with 2.38% TMAH (Tetra Methyl Ammonium Hydroxide). To date, many companies and research institutes around the world have been publishing their research results, and the commercialized products are still in the form of polymers of COMA (CycloOlefin-Maleic Anhydride) or Acrylate series, or a mixture thereof.

하지만, 상기한 ArF 사진 시각 기술에서는 미세화에 적합하다는 장점이 있지만, KrF 사진식각 기술에 비해 여러가지 단점이 존재한다.However, the ArF photo-visual technique has an advantage of being suitable for miniaturization, but there are various disadvantages compared to the KrF photo-etch technique.

이러한 단점 중의 하나가 ARF용 포토레지스트 패턴의 표면 및 측면의 라인 에지 거칠음(Line edge roughness)이다.One such drawback is the line edge roughness of the surface and side of the photoresist pattern for ARF.

도 1은 각 모재에 따른 ARF 포토레지스트 패턴의 표면 거칠기를 비교한 표면사진이다.1 is a surface photograph comparing the surface roughness of the ARF photoresist pattern according to each base material.

도 1의 (a)에서는 주로 하드마스크 물질로 사용되는 질화막 계열의 물질막 상부에 ArF 포토레지스트 패턴 형성시 표면의 거칠기를 나타내며, 도 1의 (b)에서는 산화막 계열의 물질막 상부에 ArF 포토레지스트 패턴 형성시 표면을 거칠기를 나타내며, 도 1의 (c)는 하드마스크 물질로 사용되는 산화막 계열의 물질막 상부에 ArF 포토레지스트 패턴 형성시 표면을 거칠기를 나타낸다.1 (a) shows the roughness of the surface when the ArF photoresist pattern is formed on the nitride film-based material film mainly used as a hard mask material, Figure 1 (b) shows the ArF photoresist on the oxide film-based material film The surface is roughened when the pattern is formed, and FIG. 1C shows the roughness when the ArF photoresist pattern is formed on the oxide film-based material layer used as the hard mask material.

도 1을 참조하면, 다른 모재에 비해 유독 도 1의 (a)에서와 같이 질화막 상부에 ArF 포토레지스트 패턴의 표면 거칠기가 열화되었음을 알 수 있다.Referring to FIG. 1, it can be seen that the surface roughness of the ArF photoresist pattern is deteriorated on the nitride film as shown in FIG.

한편, ArF 또는 F2노광원을 이용한 사진 식각기술을 이용할 경우에는 거의 대부분 하드마스크를 사용하게 되고, 하드마스크로 주로 사용되는 물질은 절연막으로 주로 사용되는 산화막에 비해 식각선택비를 갖는 질화막이 주로 사용된다.On the other hand, in the case of using a photolithography technique using an ArF or F 2 exposure source, a hard mask is almost used, and a material mainly used as a hard mask is a nitride film having an etching selectivity compared to an oxide film mainly used as an insulating film. Used.

따라서, 도 1의 (a)와 같은 ArF 포토레지스트 패턴과 질화막 사이의 표면 거칠기의 개선을 필요한다.Therefore, it is necessary to improve the surface roughness between the ArF photoresist pattern and the nitride film as shown in FIG.

도 2는 KrF 노광원을 이용한 패턴과 ArF 노광원을 이용한 패턴을 비교 도시한 평면 사진이다.2 is a planar photograph illustrating a comparison between a pattern using a KrF exposure source and a pattern using an ArF exposure source.

도 2의 (a)는 KrF 노광원을 이용한 것이고, 도 2의 (b)는 ArF 노광원을 이용한 것이다.FIG. 2A illustrates a KrF exposure source, and FIG. 2B illustrates an ArF exposure source.

도 2의 (a)에 도시된 라인패턴(20) 예컨대, 비트라인은 그 상부에 갖고 있는 하드마스크용 질화막과 KrF 포토레지스트와의 표면 및 측면에서의 거칠기가 양호하여 패턴의 변형이 발생하지 않았으나, 도 2의 (b)에 도시된 라인패턴(21)은 하드마스크용 질화막과 ArF 포토레지스트와의 거칠기가 열악하여 패턴이 변형되었음을 알 수 있다.For example, the bit pattern of the line pattern 20 illustrated in FIG. 2A has a good roughness on the surface and side surfaces of the hard mask nitride film and KrF photoresist formed thereon, so that no deformation of the pattern occurs. In FIG. 2B, the pattern of the line pattern 21 is deteriorated due to poor roughness between the hard mask nitride film and the ArF photoresist.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, F2또는 불화아르곤(ArF)등의 보다 발전된(Advanced) 노광원을 이용한 반도체소자의 패턴 형성시 포토레지스트 패턴과 모재 사이의 표면 거칠기로 인한 패턴 변형을 방지할 수 있는 F2또는 불화아르곤등의 보다 발전된 노광원을 이용한 반도체소자의 패턴 형성 방법을 제공하는데 그 목적이 있다.The present invention has been proposed to solve the above problems of the prior art, the surface between the photoresist pattern and the base material during the pattern formation of the semiconductor device using a more advanced exposure source such as F 2 or argon fluoride (ArF) It is an object of the present invention to provide a method of forming a pattern of a semiconductor device using a more advanced exposure source such as F 2 or argon fluoride, which can prevent pattern deformation due to roughness.

도 1은 각 모재에 따른 ARF 포토레지스트 패턴의 표면 거칠기를 비교한 표면 사진.1 is a surface photograph comparing the surface roughness of the ARF photoresist pattern according to each base material.

도 2는 KrF 노광원을 이용한 패턴과 ArF 노광원을 이용한 패턴을 비교 도시한 평면 사진.2 is a planar photograph illustrating a comparison of a pattern using a KrF exposure source and a pattern using an ArF exposure source.

도 3a 내지 도 3d는 본 발명의 일실시예에 따른 F2또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도.3A to 3D are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

30 : 기판 31a : 전도층30 substrate 31a conductive layer

32b : 하드마스크 33b : 제1희생하드마스크32b: hard mask 33b: first sacrificial hard mask

34b : 제2희생하드마스크 35 : 반사방지층34b: second sacrificial hard mask 35: antireflection layer

36 : 포토레지스트 패턴36 photoresist pattern

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 전도층과 하드마스크용 절연막과 전도성의 하드마스크용 제1희생막 및 상기 하드마스크용 제1희생막과 후속 ArF 포토레지스트 패턴 사이의 표면 거칠기를 개선하기 위한 하드마스크용 제2희생막을 차례로 형성하는 단계; 상기 하드마스크용 제2희생막 상에 소정의 패턴 형성을 위한 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 제2희생막과 상기 하드마스크용 제1희생막을 식각하여 제2희생하드마스크와 제1희생하드마스크가 적층된 구조를 형성하는 단계; 상기 제2희생하드마스크와 상기 제1희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및 상기 제2희생하드마스크와 상기 제1희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 전도층을 식각하여 상기 하드마스크/전도층 구조의 전도층패턴을 형성하는 단계-이 때, 상기 제2희생하드마스크와 상기 제1희생하드마스크는 제거됨를 포함하는 반도체소자 제조방법을 제공한다.In order to achieve the above object, the present invention provides a surface roughness between a conductive layer, an insulating film for a hard mask, a first sacrificial film for a hard mask, and a first sacrificial film for a hard mask, and a subsequent ArF photoresist pattern. Sequentially forming a second sacrificial film for hard mask to improve the quality; Forming a photoresist pattern for forming a predetermined pattern on the second sacrificial film for the hard mask; Etching the hard mask second sacrificial layer and the hard mask first sacrificial layer using the photoresist pattern as an etch mask to form a structure in which a second sacrificial hard mask and a first sacrificial hard mask are stacked; Forming a hard mask by etching the insulating layer for the hard mask using the second sacrificial hard mask and the first sacrificial hard mask as an etch mask; And etching the conductive layer using the second sacrificial hard mask, the first sacrificial hard mask and the hard mask as an etch mask to form a conductive layer pattern having the hard mask / conductive layer structure. The sacrificial hard mask and the first sacrificial hard mask provide a method of manufacturing a semiconductor device, which includes removing the sacrificial hard mask.

본 발명은 이루어진 워드라인 또는 비트라인 등의 도전패턴 상부에 3층의 하드마스크를 형성한다. 최하층의 하드마스크는 질화막 계열로 소자 분리(Isolation) 목적으로 사용되고, 중간층의 하드마스크는 최하층 하드마스크의 손실을 방지하기 위한 금속막을 이용한 하드마스크이며, 최상층의 하드마스크는 ArF 등의 포토레지스트 패턴 형성시 표면 거칠기를 개선하기 위한 폴리실리콘 또는 산화막을 이용한 하드마스크이다.According to the present invention, a hard mask having three layers is formed on a conductive pattern such as a word line or a bit line. The lowermost hard mask is nitride-based and is used for device isolation. The middle hard mask is a hard mask using a metal film to prevent loss of the lowermost hard mask, and the uppermost hard mask forms a photoresist pattern such as ArF. It is a hard mask using polysilicon or an oxide film to improve surface roughness.

이렇게 3중의 하드마스크를 사용하여 도전패턴을 형성함으로써, ArF 또는 F2노광원을 이용한 패턴 형성시 질화막 계열의 하드마스크 사용으로 인한 포토레지스트 패턴과 하드마스크 사이의 표면 거칠기로 인한 패턴 변형을 방지하고자 한다.By forming a conductive pattern using a triple hard mask as described above, in order to prevent pattern deformation due to surface roughness between the photoresist pattern and the hard mask due to the use of a nitride-based hard mask when forming a pattern using an ArF or F 2 exposure source. do.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.

도 3a 내지 도 3d는 본 발명의 일실시예에 따른 F2또는 ArF 노광원을 이용한 반도체소자 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다.3A to 3D are cross-sectional views illustrating a semiconductor device pattern forming process using an F 2 or ArF exposure source according to an embodiment of the present invention, which will be described in detail with reference to the drawings.

후술하는 본 발명의 일실시예에서는 반도체소자의 라인 패턴 구체적으로, 전도층패턴 중 게이트전극 패턴(워드라인) 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 라인 패턴(전도층패턴)은 일실시예에서 제시한 게이트전극 패턴만으로 한정되는 것이 아니라 비트라인, 스토리지노드 콘택 또는 금속배선 등의 다양한 형태의 패턴 형성 공정에도 적용이 가능하다.In an embodiment of the present invention described below, a line pattern of a semiconductor device, specifically, a process of forming a gate electrode pattern (word line) among conductive layer patterns will be described as an example. The layer pattern) is not limited to the gate electrode pattern presented in an embodiment, but may be applied to various types of pattern forming processes such as bit lines, storage node contacts, or metal wirings.

또한, 전술한 라인 형태의 패턴 이외에 고립된 형태인 섬형(Island type), 스토리지노드 콘택과 같은 도넛츠형 등에도 적용이 가능하며, 전도층이 아닌 절연막 패턴에도 적용이 가능하다. 즉, 본 발명의 일실시예에서는 양각 패턴을 형성하는 공정으로의 적용을 나타낸다고 할 수 있다.In addition, in addition to the above-described line-shaped pattern, it is also applicable to an isolated type of island type, a donut type such as a storage node contact, and the like, and also to an insulating film pattern instead of a conductive layer. That is, in one embodiment of the present invention it can be said that the application to the process of forming an embossed pattern.

먼저, 도 3a에 도시된 바와 같이, 반도체 소자를 형성하기 위한 여러 요소가 형성된 기판(30) 상에 피식각층으로 전도층(31a)을 형성한 다음, 전도층(31a) 상에 절연성 물질이면서도 피식각층인 전도층(31a)과 선택비를 갖어 하드마스크 재료로 사용되는 Si3N4또는 SiON 등의 질화막 계열의 박막을 사용하여 하드마스크용 절연막(32a)을 형성한다. 이어서, 식각공정시 하드마스크용 절연막(32a)의 손실에 따른 패턴 변형을 방지하기 위해 하드마스크용 절연막(32a) 상에 하드마스크용 제1희생막(33a)을 형성한다.First, as illustrated in FIG. 3A, the conductive layer 31a is formed as an etched layer on the substrate 30 on which various elements for forming a semiconductor element are formed. Then, the conductive layer 31a is formed of an insulating material on the conductive layer 31a. An insulating film 32a for hard mask is formed using a nitride film-based thin film such as Si 3 N 4 or SiON, which is used as a hard mask material, having a selectivity with each conductive layer 31a. Subsequently, in order to prevent the pattern deformation caused by the loss of the hard mask insulating film 32a during the etching process, the first mask for sacrificial film 33a for the hard mask is formed on the hard mask insulating film 32a.

여기서, 하드마스크용 제1희생막(33a)은 Al막, W막, WSix(x는 1 내지 2)막, WN막, Ti막, TiN막, TiSix(x는 1 내지 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, Au막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 내지 2)막, Al2O3막, AlN막, PtSix(x는 1 내지 2)막 및 CrSix(x는 1 내지 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막을 이용한다. 이 때 하드마스크용 제1희생막(32a)은 후속 피식각층인 전도층(31a) 식각시 제거될 수 있도록 그 두께를 설정하는 것이 바람직하며, 전술한 하드마스크용 절연막(32a)의 경우에도 이와 비슷한 두께로 형성하는 것이 바람직하다.Here, the first sacrificial film 33a for hard mask includes an Al film, a W film, a WSix (x is 1 to 2) film, a WN film, a Ti film, a TiN film, a TiSix (x is 1 to 2) film, and a TiAlN film. , TiSiN film, Pt film, Ir film, IrO 2 film, Ru film, RuO 2 film, Ag film, Au film, Co film, Au film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 2) a film, an Al 2 O 3 film, an AlN film, a PtSix (x is 1 to 2) film, and at least one thin film selected from the group consisting of CrSix (x is 1 to 2) film. At this time, it is preferable to set the thickness of the first sacrificial film 32a for hard mask to be removed during the etching of the conductive layer 31a, which is a subsequent etching layer. It is desirable to form it with a similar thickness.

또한, 전도층(31a)은 하드마스크용 제1희생막(33a)을 제거하기 위한 별도의 공정 추가를 덜기 위해서 전술한 하드마스크용 제1희생막(33a)과 동일 박막으로 형성하는 것이 바람직하나, 굳이 동일 박막으로 사용하지 않아도 사용되는 각 물질의 식각선택비에 따라 그 두께와 식각조건을 적절하게 조절하면 후속 희생하드마스크의 제거 공정을 생략할 수도 있다.In addition, the conductive layer 31a may be formed of the same thin film as the above-described first hard sacrificial film 33a for reducing the additional process for removing the first sacrificial film 33a for hard mask. However, if the thickness and the etching conditions are properly adjusted according to the etching selectivity of each material, even if the same thin film is not necessarily used, the subsequent sacrificial hard mask removal process may be omitted.

또한, 기판(30)은 그 내부에 절연구조와 도전구조를 모두 포함하는 것으로, 전술한 바와 같이 본 발명의 일실시예에서 처럼 전도층(31a)이 게이트전극 패턴 형성용이라면 전도층(31a)과 기판(30) 사이의 계면에 게이트절연막(도시하지 않음)을 포함하고 있으며, 전도층(31a)이 비트라인 또는 금속배선이라면 기판(30)과의 계면에 Ti막/TiN막 등의 확산배리어막, 소스/드레인 등의 불순물 접합층, 층간절연막또는 폴리실리콘막 또는 텅스텐(W)막 등의 박막으로 이루어진 플러그가 형성되어 있을 것이다.In addition, the substrate 30 includes both an insulating structure and a conductive structure therein. As described above, if the conductive layer 31a is for forming a gate electrode pattern, as in the embodiment of the present invention, the conductive layer 31a is formed. A gate insulating film (not shown) is provided at the interface between the substrate and the substrate 30. If the conductive layer 31a is a bit line or a metal wiring, a diffusion barrier such as a Ti film / TiN film is provided at the interface with the substrate 30. A plug made of a film, an impurity bonding layer such as a source / drain, an interlayer insulating film, or a thin film of a polysilicon film or a tungsten (W) film may be formed.

이어서, 하드마스크용 제1희생막(33a) 상에 하드마스크용 제2희생막(34a)을 형성한다.Next, the second sacrificial film 34a for hard mask is formed on the first sacrificial film 33a for hard mask.

하드마스크용 제2희생막(34a)은 ArF 또는 F2노광원을 이용한 포토레지스트패턴 형성시 포토레지스트와의 계면 거칠기가 양호한 물질을 이용한다.The second sacrificial film 34a for a hard mask uses a material having a good interface roughness with the photoresist when forming a photoresist pattern using an ArF or F 2 exposure source.

표면 거칠기가 양호한 물질막으로는 산화막 계열과 폴리실리콘막 증이 있다.Material films having good surface roughness include oxide film series and polysilicon films.

이어서, 도 3b에 도시된 바와 같이, 하드마스크용 제2희생막(34a) 상에 패턴 형성을 위한 노광시 하부 즉, 하드마스크용 제2희생막(34a)의 광반사도가 높아 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하드마스크용 제2희생막(34a)과 후속 포토레지스트의 접착력을 향상시킬 목적으로 반사방지층(35, ARC)을 형성한다.Subsequently, as shown in FIG. 3B, the light reflectivity of the lower portion of the second sacrificial film 34a for hard mask, that is, the hard sacrificial film 34a for hard mask, is high at the time of exposure for pattern formation. The antireflective layer 35 (ARC) is formed to prevent the formation of a non-pattern, and to improve the adhesion between the second sacrificial film 34a for the hard mask and the subsequent photoresist.

여기서, 반사방지층(35)은 포토레지스트와 그 식각 특성이 유사한 유기계열(Organic)의 물질을 이용한다.Here, the anti-reflection layer 35 uses an organic material similar to the photoresist and the etching characteristics thereof.

이어서, 반사방지층(35) 상에 F2노광원용 또는 ArF 노광원용의 포토레지스트 예를 들어, COMA 또는 아크릴레이드를 사용하며, 이들을 스핀 코팅(Spin coating) 등의 방법을 통해 적절한 두께로 도포한 다음, F2노광원 또는 ArF 노광원과 게이트전극 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 포토레지스트 패턴(36)을 형성한다.Subsequently, a photoresist for an F 2 exposure source or an ArF exposure source, for example, COMA or acrylate, is used on the antireflection layer 35, and these are applied to an appropriate thickness by a spin coating method or the like. Selectively exposing a predetermined portion of the photoresist using a F 2 exposure source or an ArF exposure source and a predetermined reticle (not shown) for defining the gate electrode width, and being exposed by an exposure process through a developing process, or After the unexposed portion is left, the photoresist pattern 36 is formed by removing the etching residue or the like through a post-cleaning process or the like.

계속해서, 포토레지스트 패턴(36)을 식각마스크로 하는 선택적 식각 공정을 통해 반사방지층(35)을 선택적으로 식각하는 바, 이 때 포토레지스트 패턴(36)의 손실을 최소화하기 위해 Cl2, BCl3, CCl4또는 HCl 등의 염소계 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하거나, CF 계열의 가스를 사용할 경우 C/F의 비율이 낮은 가스 예컨대, CF4, C2F2, CHF3및 CH2F2로 이루어진 그룹으로부터 선택된 어느 하나의 가스를 사용한 플라즈마를 이용하여 식각 공정을 실시하는 것이 바람직하다.Subsequently, the anti-reflective layer 35 is selectively etched through a selective etching process using the photoresist pattern 36 as an etch mask. At this time, in order to minimize the loss of the photoresist pattern 36, Cl 2 , BCl 3 Etching process using plasma using chlorine-based gas such as, CCl 4 or HCl, or gas with low C / F ratio when using CF-based gas such as CF 4 , C 2 F 2 , CHF 3 and CH It is preferable to perform an etching process using a plasma using any one gas selected from the group consisting of 2F 2 .

이는 반사방지층(35) 식각시에는 CD의 조절이 용이해야 하므로 폴리머를 거의 발생시키지 않는 조건으로 식각을 진행하기 위한 것이다.This is because the CD should be easily controlled during the anti-reflection layer 35 etching, so that the etching may be performed under a condition that little polymer is generated.

이어서, 도 3c에 도시된 바와 같이, 포토레지스트 패턴(36)을 식각마스크로 하드마스크용 제2희생막(34a)과 하드마스크용 제1희생막(33a)을 식각하여 제1희생하드마스크(33b)와 제2희생하드마스크(34b)가 적층된 구조를 형성한 다음, 적어도(포토레지스트 패턴(36)과 반사방지층(35)은 대부분 식각과정에서 제거가 되나 그 일부가 잔류될 수 있는 바, 포토레지스트 패턴(36)과 반사방지층(35)의 제거를 위한 별도의 포토레지스트 스트립 공정을 실시하지 않는 경우 잔류하는 포토레지스트 패턴(36)과 반사방지층(35)이 식각마스크 역할을 할 수 있으므로 '적어도'라고 표현함) 제2희생하드마스크(34b)를 식각마스크로 하드마스크용 절연막(32a)을 식각하여 하드마스크(32b)를 형성한다.Subsequently, as shown in FIG. 3C, the second sacrificial film 34a for hard mask and the first sacrificial film 33a for hard mask are etched using the photoresist pattern 36 as an etch mask to form a first sacrificial hard mask ( 33b) and the second sacrificial hard mask 34b are formed, and then at least (the photoresist pattern 36 and the anti-reflection layer 35 are mostly removed during etching, but a part thereof may remain. When the photoresist pattern 36 and the anti-reflection layer 35 are not removed, the remaining photoresist pattern 36 and the anti-reflection layer 35 may serve as an etching mask. A hard mask 32b is formed by etching the hard mask insulating film 32a using the second sacrificial hard mask 34b as an etch mask.

이 과정에서 전술한 잔류하는 포토레지스트 패턴(36)과 반사방지층(35)은 공정 진행 과정에서 자연스럽게 제거된다.In this process, the remaining photoresist pattern 36 and the anti-reflection layer 35 are naturally removed during the process.

이하, 전술한 하드마스크용 제1희생막(33a)과 하드마스크 절연막(32a)의 식각 공정을 구체적으로 살펴본다.Hereinafter, the etching process of the above-described hard mask first sacrificial layer 33a and the hard mask insulating layer 32a will be described in detail.

하드마스크용 제1희생막(33a)이 W막, WSix막 또는 WN막과 같이 텅스텐(W)을 포함하는 박막인 경우, SF6/N2의 혼합 가스를 사용한 플라즈마를 이용하며, 이 때 SF6/N2의 혼합비율이 0.10 ∼ 0.60인 것을 사용하는 것이 바람직하다.When the first sacrificial film 33a for the hard mask is a thin film containing tungsten (W) such as a W film, a WSix film, or a WN film, a plasma using a mixed gas of SF 6 / N 2 is used. 6 / N, it is preferable to use the mixing ratio of 2 is 0.10 ~ 0.60.

하드마스크용 제1희생막(33a)이 폴리실리콘막 또는 Ti막, TiN막, TiSix막, TiAlN막 또는 TiSiN막과 같이 티타늄(Ti)을 포함하는 박막인 경우, 염소 계열의 가스 특히, Cl2를 주식각가스로 하며, 이 때 식각 프로파일의 제어를 위해 산소(O2) 또는 CF 가스를 적절히 첨가하여 사용한다.When the first sacrificial film 33a for hard mask is a thin film containing titanium (Ti) such as a polysilicon film or a Ti film, a TiN film, a TiSix film, a TiAlN film or a TiSiN film, a chlorine-based gas, in particular, Cl 2 Is used as the stock angle gas, in which oxygen (O 2 ) or CF gas is appropriately added to control the etching profile.

하드마스크용 제1희생막(33a)이 Pt, Ir, Ru 등의 귀금속 또는 이들의 산화물을 포함하는 경우 염소 계열 또는 불소 계열의 가스를 사용한 플라즈마를 이용하며, 이 때 식각 프로파일의 제어를 위해서는 높은 이온에너지(High ion energy)가 필요하므로 이를 위해 저압(Low pressure) 및 고 바이어스 파워(High bias power) 조건을 유지하도록 하는 것이 바람직하다.In the case where the hard mask first sacrificial film 33a contains a noble metal such as Pt, Ir, Ru, or an oxide thereof, a plasma using a chlorine-based or fluorine-based gas is used, and in order to control the etching profile, Since high ion energy is required, it is desirable to maintain low pressure and high bias power conditions for this purpose.

이어서, 제2희생하드마스크(34b)/제1희생하드마스크(33b)의 적층 구조가 형성된 다음, 이들을 식각마스크로 하드마스크용 절연막(32a)를 선택적으로 식각하여하드마스크(32b) 패턴을 형성하는 공정을 실시함에 있어서, 통상의 질화막계열 또는 산화막계열의 물질을 식각할 때 사용되는 CF 계열의 가스를 주식각가스로 사용한다.Subsequently, a lamination structure of the second sacrificial hard mask 34b / the first sacrificial hard mask 33b is formed, and then, the hard mask insulating layer 32a is selectively etched using the etching mask to form the hard mask 32b pattern. In carrying out the process, the CF-based gas used for etching a conventional nitride film-based or oxide-based material is used as the stock corner gas.

텅스텐 또는 텅스텐질화막과 같이 텅스텐을 포함하는 제1희생하드마스크(33b)의 경우 SF6/N2를 식각가스로 사용하며, 텅스텐을 제외한 전도성 박막의 경우, 식각 과정에서 비록 ArF 또는 F2용 포토레지스트의 변형을 초래할 수 있는 CF 계열의 식각가스를 사용한다.In the case of the first sacrificial hard mask 33b including tungsten or a tungsten nitride film, SF 6 / N 2 is used as an etching gas.In the case of a conductive thin film except for tungsten, a photo for ArF or F 2 is used during the etching process. Use CF-based etching gas that can cause deformation of the resist.

다음으로, 제2희생하드마스크(34b)와 제1희생하드마스크(33b) 및 하드마스크(32b)를 식각마스크로 전도층(31a)를 선택적으로 식각하여 전도층패턴(31b) 즉, 게이트전극 패턴을 형성한다.Next, the conductive layer 31a is selectively etched using the second sacrificial hard mask 34b, the first sacrificial hard mask 33b, and the hard mask 32b as an etch mask, that is, the gate electrode 31b. Form a pattern.

이 때, 전술한 본 발명의 희생하드마스크(33b) 사용에 따라 이를 제거하기 위한 추가 식각공정을 없애기 위한 의도대로 전도층(31a)을 하드마스크용 제1희생막(33a)과 동일 박막으로 사용하거나, 또는 서로 다른 박막이더라도 그 두께와 식각 조건을 조절함으로써, 전도층(31a) 식각시 제2희생하드마스크(34b)와 제1희생하드마스크(33b)는 모두 제거가 되며, 이에 따라 제2희생하드마스크(34b)와 제1희생하드마스크(33b)를 제거하기 위한 별도의 식각 공정을 생략할 수 있으며, 제1희생하드마스크(33b)로 인해 하드마스크(32b)의 손실을 방지할 수 있으므로 하드마스크(32b)의 손실로 인한 전도층패턴(31b)의 변형을 방지할 수 있다.At this time, the conductive layer 31a is used as the same thin film as the first sacrificial film 33a for the hard mask, as intended to eliminate the additional etching process for removing the sacrificial hard mask 33b according to the present invention. Alternatively, even though the thin films are different from each other, the second sacrificial hard mask 34b and the first sacrificial hard mask 33b are both removed when the conductive layer 31a is etched by adjusting the thickness and etching conditions thereof. A separate etching process for removing the sacrificial hard mask 34b and the first sacrificial hard mask 33b may be omitted, and the loss of the hard mask 32b may be prevented due to the first sacrificial hard mask 33b. Therefore, the deformation of the conductive layer pattern 31b due to the loss of the hard mask 32b can be prevented.

여기서, 전도층(31a)의 식각 조건은 전술한 제2 및 제1희생하드마스크(34b,33b) 형성시 사용한 조건과 동일하며, 단지 그 시간과 가스량만을 적절하게 조절하면 된다.Here, the etching conditions of the conductive layer 31a are the same as those used when the second and first sacrificial hard masks 34b and 33b are formed, and only the time and the gas amount may be appropriately adjusted.

상기한 바와 같이 이루어지는 본 발명은, 다수의 전도성 물질막 예컨대, 텅스텐막 또는 텅스텐질화막을 절연성 하드마스크 예컨대, 질화막 상부에 적층 구조로 사용함으로써, ArF 또는 F2노광원에 의한 보다 발전된 사진식각 기술을 이용하여 패턴 형성시 CF 계열의 식각가스에 대한 절연성 하드마스크와 포토레지스트 사이의 낮은 식각선택비에 의한 포토레지스트의 국부적인 손실을 방지할 수 있어, 이로인한 절연성 하드마스크의 손실과 패턴 변형을 방지할 수 있다.The present invention made as described above uses a plurality of conductive material films such as tungsten film or tungsten nitride film in a stacked structure on top of an insulating hard mask such as nitride film, thereby further improving the photolithography technique using an ArF or F 2 exposure source. It is possible to prevent the local loss of the photoresist due to the low etching selectivity between the insulating hard mask and the photoresist for the CF-based etching gas during pattern formation, thereby preventing the loss of the insulating hard mask and pattern deformation. can do.

또한, 층간절연 물질로 사용되는 산화막 또는 전도층 등의 피식각층 식각시 희생하드마스크를 동시에 제거할 수 있어 희생하드마스크 제거를 위한 별도의 추가 공정을 덜 수 있다.In addition, the sacrificial hard mask may be simultaneously removed during the etching of an etching layer such as an oxide layer or a conductive layer, which is used as an interlayer insulating material, thereby reducing a separate additional process for removing the sacrificial hard mask.

아울러, 전술한 전도성 하드마스크 상부에 폴리실리콘 또는 산화막 계열의 희생 하드마스크를 추가로 사용하여 ArF 포토레지스트 사이의 표면 거칠기로 인한 패턴 변형을 방지할 수 있음을 실시예를 통해 알아 보았다.In addition, the present invention has been found to prevent pattern deformation due to surface roughness between ArF photoresists by additionally using a sacrificial hard mask based on polysilicon or an oxide layer on the conductive hard mask.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, ArF 또는 F2노광원을 이용한 패턴 형성시 질화막 계열의 하드마스크 사용으로 인한 포토레지스트 패턴과 하드마스크 사이의 표면 거칠기로 인한 패턴 변형을 방지할 수 있어, 궁극적으로 반도체 소자의 수율을 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.The present invention as described above can prevent the pattern deformation due to the surface roughness between the photoresist pattern and the hard mask due to the use of the nitride film-based hard mask when forming the pattern using the ArF or F 2 exposure source, ultimately the semiconductor Excellent effect can be expected to improve the yield of the device.

Claims (9)

기판 상에 전도층과 하드마스크용 절연막과 전도성의 하드마스크용 제1희생막 및 상기 하드마스크용 제1희생막과 후속 ArF 포토레지스트 패턴 사이의 표면 거칠기를 개선하기 위한 하드마스크용 제2희생막을 차례로 형성하는 단계;On the substrate, a conductive film, a hard mask insulating film, a conductive hard mask first sacrificial film, and a hard mask second sacrificial film for improving the surface roughness between the hard mask first sacrificial film and the subsequent ArF photoresist pattern. Forming in turn; 상기 하드마스크용 제2희생막 상에 소정의 패턴 형성을 위한 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern for forming a predetermined pattern on the second sacrificial film for the hard mask; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 제2희생막과 상기 하드마스크용 제1희생막을 식각하여 제2희생하드마스크와 제1희생하드마스크가 적층된 구조를 형성하는 단계;Etching the hard mask second sacrificial layer and the hard mask first sacrificial layer using the photoresist pattern as an etch mask to form a structure in which a second sacrificial hard mask and a first sacrificial hard mask are stacked; 상기 제2희생하드마스크와 상기 제1희생하드마스크를 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및Forming a hard mask by etching the insulating layer for the hard mask using the second sacrificial hard mask and the first sacrificial hard mask as an etch mask; And 상기 제2희생하드마스크와 상기 제1희생하드마스크 및 상기 하드마스크를 식각마스크로 상기 전도층을 식각하여 상기 하드마스크/전도층 구조의 전도층패턴을 형성하는 단계-이 때, 상기 제2희생하드마스크와 상기 제1희생하드마스크는 제거됨Etching the conductive layer using the second sacrificial hard mask, the first sacrificial hard mask, and the hard mask as an etch mask to form a conductive layer pattern of the hard mask / conductive layer structure—the second sacrificial sacrificial layer The hard mask and the first sacrificial hard mask are removed 를 포함하는 반도체소자 제조방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 소정의 패턴은 비트라인, 워드라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체소자 제조방법.The predetermined pattern includes a semiconductor device, characterized in that any one of a bit line, a word line or a metal wiring. 제 1 항에 있어서,The method of claim 1, 상기 포토레지스트는 ArF 노광원용 포토레지스트 또는 F2노광원용 포토레지스트를 포함하는 것을 특징으로 하는 반도체소자 제조방법.And the photoresist comprises an ArF exposure source photoresist or an F 2 exposure source photoresist. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크용 제2희생막은, 폴리실리콘막 또는 산화막인 것을 특징으로 하는 반도체소자 제조방법.And said second sacrificial film for hard mask is a polysilicon film or an oxide film. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크용 제2희생막은,The second sacrificial film for the hard mask, Al막, W막, WSix(x는 1 내지 2)막, WN막, Ti막, TiN막, TiSix(x는 1 내지 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, Au막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 내지 2)막, Al2O3막, AlN막, PtSix(x는 1 내지 2)막 및 CrSix(x는 1 내지 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막을 포함하는 것을 특징으로 하는 반도체소자 제조방법.Al film, W film, WSix (x is 1 to 2) film, WN film, Ti film, TiN film, TiSix (x is 1 to 2) film, TiAlN film, TiSiN film, Pt film, Ir film, IrO 2 film , Ru film, RuO 2 film, Ag film, Au film, Co film, Au film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 to 2) film, Al 2 O 3 film, AlN film And at least one thin film selected from the group consisting of a PtSix (x is 1 to 2) film and a CrSix (x is 1 to 2) film. 제 5 항에 있어서,The method of claim 5, wherein 상기 전도층은 상기 하드마스크용 제1희생막과 동일 박막으로 이루어진 것을 특징으로 하는 반도체소자 제조방법.The conductive layer is a semiconductor device manufacturing method, characterized in that made of the same thin film as the first sacrificial film for the hard mask. 제 1 항 또는 제 6 항에 있어서,The method according to claim 1 or 6, 상기 하드마스크용 절연막은 질화막 계열인 것을 특징으로 하는 반도체소자 제조방법.The hard mask insulating film is a semiconductor device manufacturing method characterized in that the nitride film series. 제 1 항에 있어서,The method of claim 1, 상기 하드마스크용 제2희생막을 형성하는 단계 후, 반사방지층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체소자 제조 방법.And forming an anti-reflection layer after the forming of the second sacrificial film for the hard mask. 제 1 항에 있어서,The method of claim 1, 상기 제2희생하드마스크와 제1희생하드마스크가 적층된 구조를 형성하는 단계 후, 상기 포토레지스트 패턴을 제거하는 단계를 더 포함하는 것을 특징으로 하는 반도체소자 제조 방법.And forming a structure in which the second sacrificial hard mask and the first sacrificial hard mask are stacked, and removing the photoresist pattern.
KR1020020084180A 2002-12-26 2002-12-26 Method for fabricating semiconductor device KR100939109B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020020084180A KR100939109B1 (en) 2002-12-26 2002-12-26 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020084180A KR100939109B1 (en) 2002-12-26 2002-12-26 Method for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
KR20040057434A true KR20040057434A (en) 2004-07-02
KR100939109B1 KR100939109B1 (en) 2010-01-28

Family

ID=37350012

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020084180A KR100939109B1 (en) 2002-12-26 2002-12-26 Method for fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR100939109B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714284B1 (en) * 2004-06-25 2007-05-02 주식회사 하이닉스반도체 Forming method of metal line in semiconductor memory device having word line strapping structure
KR100792409B1 (en) * 2004-10-12 2008-01-09 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
KR100808056B1 (en) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 Method for forming pattern using hardmask
KR100864375B1 (en) * 2006-01-03 2008-10-21 주식회사 에스앤에스텍 Blank mask and manufacturing method of Photo-mask using the same
US7442648B2 (en) 2004-10-12 2008-10-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device using tungsten as sacrificial hard mask

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05109728A (en) * 1991-10-16 1993-04-30 Nec Corp Manufacture of semiconductor device
KR100301428B1 (en) * 1999-06-22 2001-11-01 박종섭 Method of etching semiconductor device provided with hard mask
KR20020002631A (en) * 2000-06-30 2002-01-10 박종섭 A method for forming a word line of a semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100714284B1 (en) * 2004-06-25 2007-05-02 주식회사 하이닉스반도체 Forming method of metal line in semiconductor memory device having word line strapping structure
KR100792409B1 (en) * 2004-10-12 2008-01-09 주식회사 하이닉스반도체 Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
US7442648B2 (en) 2004-10-12 2008-10-28 Hynix Semiconductor Inc. Method for fabricating semiconductor device using tungsten as sacrificial hard mask
KR100864375B1 (en) * 2006-01-03 2008-10-21 주식회사 에스앤에스텍 Blank mask and manufacturing method of Photo-mask using the same
KR100808056B1 (en) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 Method for forming pattern using hardmask
US7867911B2 (en) 2006-12-27 2011-01-11 Hynix Semiconductor Inc. Method for forming pattern using hard mask

Also Published As

Publication number Publication date
KR100939109B1 (en) 2010-01-28

Similar Documents

Publication Publication Date Title
KR100704470B1 (en) Method for fabrication of semiconductor device using amorphous carbon layer to sacrificial hard mask
KR100479600B1 (en) A forming method of contact
US7018930B2 (en) Method for fabricating semiconductor device
JP2003051443A (en) Method of forming fine pattern in semiconductor device
KR100550640B1 (en) A forming method of pattern using ArF photolithography
KR100551071B1 (en) Method for fabrication of semiconductor device
KR100939109B1 (en) Method for fabricating semiconductor device
KR100792409B1 (en) Method for fabrication of semiconductor device using tungsten layer to sacrificial hard mask
KR100489360B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR20040057502A (en) A forming method of pattern of semiconductor device using ArF photolithography
KR100858874B1 (en) METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE USING ArF PHOTOLITHOGRAPHY
KR100443346B1 (en) Method of forming fine contact hole for semiconductor device
KR20030001134A (en) A forming method of self align contact
KR100816687B1 (en) A forming method of pattern
KR20030058247A (en) A forming method of semiconductor device with improved protection of pattern deformation
KR100440776B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR20040057396A (en) Method for fabricating semiconductor device
KR100942980B1 (en) METHOD FOR FABRICATION OF SELF ALIGN CONTACT HOLE OF SEMICONDUCTOR DEVICE USING ArF PHOTO LITHOGRAPHY
KR100533967B1 (en) A forming method of pattern using ArF photolithography
KR100623617B1 (en) Method for fabrication of semiconductor memory device using tungsten layer to sacrificial hard mask
KR100808050B1 (en) A forming method of pattern using ArF photolithography
KR100753097B1 (en) A fabricating method of semiconductor device using ArF photolithography
KR20030049895A (en) A forming method of pattern using ArF photolithography
KR20030043170A (en) A forming method of pattern
KR20030094627A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee