KR20020002574A - Method for forming contact plug in semiconductor device - Google Patents

Method for forming contact plug in semiconductor device Download PDF

Info

Publication number
KR20020002574A
KR20020002574A KR1020000036784A KR20000036784A KR20020002574A KR 20020002574 A KR20020002574 A KR 20020002574A KR 1020000036784 A KR1020000036784 A KR 1020000036784A KR 20000036784 A KR20000036784 A KR 20000036784A KR 20020002574 A KR20020002574 A KR 20020002574A
Authority
KR
South Korea
Prior art keywords
contact plug
plug
interlayer insulating
polysilicon
insulating film
Prior art date
Application number
KR1020000036784A
Other languages
Korean (ko)
Other versions
KR100597594B1 (en
Inventor
김준용
노용주
Original Assignee
박종섭
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 박종섭, 주식회사 하이닉스반도체 filed Critical 박종섭
Priority to KR1020000036784A priority Critical patent/KR100597594B1/en
Publication of KR20020002574A publication Critical patent/KR20020002574A/en
Application granted granted Critical
Publication of KR100597594B1 publication Critical patent/KR100597594B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

PURPOSE: A method for forming a contact plug of a semiconductor device is provided to increase polishing uniformity of a chemical mechanical polishing(CMP) process of the second interlayer dielectric by separating the second contact plug by an etch-back process before the second interlayer dielectric is formed, and to reduce the thickness of an oxide layer evaporated as a bitline hard mask by guaranteeing a process margin of a CMP process of the second interlayer dielectric and a subsequent diffusion barrier layer. CONSTITUTION: The first contact plug(39) is formed on a semiconductor substrate(31). A plurality of bitlines of a stacked structure including a hard mask layer are formed on the first contact plug. Polysilicon for a plug is evaporated on the resultant structure, and is selectively patterned to be filled between the bitlines and to be protruded. The patterned polysilicon for the plug is etched back to the upper portion of the bitline to form the second contact plug(41a). An interlayer dielectric is formed on the second contact plug, and is chemically and mechanically polished to the upper portion of the second contact plug by using ceria-based slurry having high polishing selectivity of the second contact plug to the interlayer dielectric.

Description

반도체 소자의 콘택플러그 형성방법{METHOD FOR FORMING CONTACT PLUG IN SEMICONDUCTOR DEVICE}Method for forming contact plug of semiconductor device {METHOD FOR FORMING CONTACT PLUG IN SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 특히 콘택플러그를 형성하기 위해 소자를 분리하고, 후속 금속 확산방지막을 형성하는 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of separating a device to form a contact plug and forming a subsequent metal diffusion barrier film.

이하, 첨부도면 도 1 및 도 2a 내지 도 2f를 참조하여 종래기술에 따른 콘택플러그 및 스토리지노드의 형성 방법을 설명한다.Hereinafter, a method of forming a contact plug and a storage node according to the related art will be described with reference to FIGS. 1 and 2A to 2F.

도 1 은 종래기술에 따라 형성된 반도체 소자를 도시한 레이아웃도로서, 워드라인패턴(16)과 비트라인패턴(21)이 서로 교차하는 방향으로 형성되며, 상기 워드라인패턴(16) 사이의 제 1 콘택플러그(도시되지 않음)상에 제 2 콘택플러그(22a)가 형성된다. 여기서, 상기 비트라인패턴(21) 사이에 증착된 플러그용 폴리실리콘상에 제 2 층간절연막(23)을 증착한 다음, 상기 제 2 층간절연막(23)을 화학적기계적연마하여 상기 플러그용 폴리실리콘을 분리시켜 제 2 콘택플러그(22a)를 형성한다. 이 때, 상기 제 2 콘택플러그(22a) 형성시, 상기 제 2 층간절연막(23)의 증착시 발생된 보이드(24)가 노출되어 있으므로 후속 스토리지노드 형성시 기생캐패시턴스가 증가하게 된다.FIG. 1 is a layout diagram illustrating a semiconductor device formed according to the prior art, in which a word line pattern 16 and a bit line pattern 21 are formed to cross each other, and the first line between the word line patterns 16 is formed. A second contact plug 22a is formed on the contact plug (not shown). Here, the second interlayer insulating film 23 is deposited on the plug polysilicon deposited between the bit line patterns 21, and then the second polylayer insulating film 23 is chemically mechanically polished to form the plug polysilicon. To form a second contact plug 22a. At this time, when the second contact plug 22a is formed, the voids 24 generated when the second interlayer insulating layer 23 is deposited are exposed, so that parasitic capacitance is increased when forming the subsequent storage node.

도 2는 도 1의 A-A'선에 따른 반도체소자의 단면도로서, 제 2 층간절연막의화학적기계적연마공정을 실시하기 전 단계를 도시하고 있으며, 도 3a 내지 도 3b는 도 1의 B-B'선에 따른 반도체소자의 단면도이고, 도 4a 내지 도 4b는 도 1의 C-C'선에 따른 반도체소자의 단면도이다.FIG. 2 is a cross-sectional view of the semiconductor device taken along line AA ′ of FIG. 1, and illustrates a step before performing a chemical mechanical polishing process of the second interlayer insulating film, and FIGS. 3A to 3B are B-B of FIG. 1. 4 is a cross-sectional view of the semiconductor device taken along the line C-C of FIG. 1.

도 2에 도시된 바와 같이, 반도체 기판(11)에 소자격리막(도시 생략)을 형성한 후, 상기 반도체 기판(11) 상부에 게이트산화막(12), 폴리실리콘 (13), 텅스텐실리사이드(14), 마스크산화막(15)을 순차적으로 증착한 다음, 상기 마스크산화막(15), 텅스텐실리사이드(14), 폴리실리콘(13), 게이트산화막(12)을 식각하여 워드라인패턴(16)을 형성한다. 이어 상기 워드라인패턴(16)을 포함한 전면에 측벽용산화막을 증착한 다음, 전면식각하여 상기 워드라인패턴(16)의 측벽에 접하는 측벽스페이서(17)를 형성한다. 이어 상기 워드라인패턴(16) 및 측벽스페이서 (17)를 마스크로 이용한 불순물 이온주입으로 소오스/드레인 영역(18)을 형성한다.As shown in FIG. 2, after the device isolation film (not shown) is formed on the semiconductor substrate 11, the gate oxide film 12, the polysilicon 13, and the tungsten silicide 14 are disposed on the semiconductor substrate 11. After the deposition of the mask oxide layer 15, the mask oxide layer 15, the tungsten silicide 14, the polysilicon 13, and the gate oxide layer 12 are etched to form a word line pattern 16. Subsequently, an oxide film for sidewalls is deposited on the entire surface including the wordline pattern 16 and then etched to form sidewall spacers 17 which are in contact with the sidewall of the wordline pattern 16. Next, the source / drain regions 18 are formed by implanting impurity ions using the word line pattern 16 and the sidewall spacers 17 as masks.

이어 상기 워드라인패턴(16)을 포함한 전면에 제 1 층간절연막(19)를 증착한 다음, 상기 제 1 층간절연막을 선택적으로 식각하여 콘택홀을 형성하고, 상기 콘택홀에 매립되어 상기 소오스/드레인 영역(18)에 접속되는 제 1 콘택플러그(20)를 형성한다.Subsequently, a first interlayer insulating layer 19 is deposited on the entire surface including the word line pattern 16. Then, the first interlayer insulating layer is selectively etched to form a contact hole, and the contact hole is embedded in the source / drain. A first contact plug 20 is formed which is connected to the region 18.

이어 상기 제 1 콘택플러그(20)를 통해 상기 소오스/드레인 영역(18)과 전기적으로 연결되는 비트라인패턴(21)을 형성하되, 상기 비트라인패턴(21)은 상기 워드라인패턴(16)과 직교하는 방향으로 형성되고, 상기 비트라인패턴(21)은 Ti/TiN(21a), 텅스텐(21b), 하드마스크용 산화막(21c)의 적층구조로 이루어지고 그 측면에 측벽스페이서(21d)가 형성된다.Subsequently, a bit line pattern 21 is formed to be electrically connected to the source / drain region 18 through the first contact plug 20, and the bit line pattern 21 may be formed of the word line pattern 16. The bit line pattern 21 has a stacked structure of Ti / TiN 21a, tungsten 21b, and an oxide film 21c for hard mask, and sidewall spacers 21d are formed on the side surfaces thereof. do.

이어 상기 비트라인패턴(21) 형성후, 전면에 제 2 플러그용 폴리실리콘(22)을 증착한 다음, 콘택플러그가 형성될 부분만을 패터닝한 다음, 상기 제 2 플러그용 폴리실리콘(22)을 포함한 전면에 제 2 층간절연막(23)으로서 고밀도플라즈마산화막을 형성한다.Subsequently, after the bit line pattern 21 is formed, the second plug polysilicon 22 is deposited on the entire surface, and then only the portion where the contact plug is to be formed is patterned, and then the second plug polysilicon 22 is included. A high density plasma oxide film is formed on the entire surface as the second interlayer insulating film 23.

여기서, 도면부호 '24'는 상기 제 2 플러그용 폴리실리콘(22)을 분리하기 위한 상기 제 2 층간절연막(23)의 화학적기계적연마의 연마타겟으로서, 상기 제 2 층간절연막(23)의 증착시 비트라인패턴(21) 사이에는 보이드(Void)(25)가 발생되고, 상기 연마타겟(24)으로 화학적기계적연마공정을 진행하면, 상기 보이드(25)가 드러나게 된다.Here, reference numeral 24 denotes a polishing target for chemical mechanical polishing of the second interlayer dielectric layer 23 for separating the second plug polysilicon 22, and when the second interlayer dielectric layer 23 is deposited. A void 25 is generated between the bit line patterns 21, and when the chemical mechanical polishing process is performed on the polishing target 24, the void 25 is exposed.

도 3a에 도시된 바와 같이, 상기 비트라인패턴(21) 사이에 증착된 제 2 플러그용 폴리실리콘(22)은 상기 비트라인패턴(21)를 충분히 덮도록 증착되며, 상기 제 2 플러그용 폴리실리콘(22)는 상기 비트라인패턴(21)의 상부로 돌출되고 그 사이를 매립하도록 선택적으로 패터닝된다.As shown in FIG. 3A, the second plug polysilicon 22 deposited between the bit line patterns 21 is deposited to sufficiently cover the bit line pattern 21 and the polysilicon for the second plug. Reference numeral 22 is selectively patterned to protrude above the bit line pattern 21 and to bury the gap therebetween.

도 3b에 도시된 바와 같이, 상기 제 2 층간절연막(23)은 산화막슬러리를 이용하여 연마타겟(24)까지 화학적기계적연마를 실시하여 제 2 콘택플러그(22a)를 형성한다. 이어 상기 제 2 콘택플러그(22a)를 포함한 전면에 스토리지노드용 금속확산방지막(26)으로서 Ti/TiN을 증착한다. 이 때, 도면부호 '27'은 상기 금속확산방지막(26)의 연마타겟을 나타낸다.As shown in FIG. 3B, the second interlayer insulating film 23 is chemically polished to the polishing target 24 using an oxide film slurry to form a second contact plug 22a. Subsequently, Ti / TiN is deposited on the entire surface including the second contact plug 22a as the metal diffusion barrier 26 for the storage node. In this case, reference numeral 27 denotes a polishing target of the metal diffusion barrier 26.

도 4a에 도시된 바와 같이, 상기 제 2 플러그용 폴리실리콘(22)상에 제 2 층간절연막(23)으로서 고밀도플라즈마산화막을 증착할 시, 상기 고밀도플라즈마산화막의 증착특성으로 인해 비트라인패턴(21) 사이에 보이드(25)가 형성된다.As shown in FIG. 4A, when the high density plasma oxide film is deposited as the second interlayer insulating film 23 on the second plug polysilicon 22, the bit line pattern 21 may be formed due to the deposition characteristics of the high density plasma oxide film. Void 25 is formed between the ().

도 4b에 도시된 바와 같이, 상기 제 2 층간절연막(23)을 연마타겟('24')만큼 화학적기계적연마하여 제 2 콘택플러그(22a)(도 3b)를 형성하나, 상기 제 2 층간절연막(23) 증착시 발생된 보이드(25)가 드러나게 된다. 이어 상기 드러난 보이드(25)를 포함한 전면에 스토리지 노드용 금속확산방지막(26)을 증착한다.As shown in FIG. 4B, the second interlayer insulating film 23 is chemically mechanically polished by a polishing target '24' to form a second contact plug 22a (FIG. 3B), but the second interlayer insulating film ( 23) The voids 25 generated during deposition are exposed. Subsequently, the metal diffusion barrier layer 26 for the storage node is deposited on the entire surface including the exposed void 25.

그러나, 상술한 바와 같은 종래기술은 제 2 층간절연막(23)으로 사용되는 고밀도플라즈마 산화막의 증착특성 및 제 2 콘택플러그(22a)의 식각 프로파일 특성으로 인해 비트라인패턴(21) 사이에 증착된 제 2 층간절연막(23)에 보이드(25)가 발생된다. 상기 보이드(25)의 위치가 후속 콘택플러그의 분리를 위해 진행되는 제 2 층간절연막(23)의 화학적기계적연마공정의 연마타겟(24)보다 상측에 존재할 경우는, 제 2 층간절연막(23)의 화학적기계적연마후 보이드(25)가 표면으로 드러나게 된다. 결국, 후속 제 2 콘택플러그용 폴리실리콘(22)의 리세스(Recess) 공정과 금속확산방지막의 증착과정에서 상기 보이드(25)에 금속확산방지막(26)이 채워지게 되고, 상기 금속확산방지막(26)은 후속 스토리지노드(Storage node; SN) 형성시 스토리지노드간의 브릿지(Bridge)를 형성함에 따라 소자의 동작 오류를 발생시키는 문제점이 있다.However, the prior art as described above is the first deposited between the bit line pattern 21 due to the deposition characteristics of the high density plasma oxide film used as the second interlayer insulating film 23 and the etching profile characteristics of the second contact plug 22a. The voids 25 are generated in the two interlayer insulating film 23. When the position of the void 25 is present above the polishing target 24 of the chemical mechanical polishing process of the second interlayer insulating film 23 to proceed for the separation of the subsequent contact plug, the second interlayer insulating film 23 After chemical mechanical polishing, the voids 25 are exposed to the surface. As a result, the metal diffusion barrier 26 is filled in the voids 25 during the subsequent recess process of the second contact plug polysilicon 22 and the deposition of the metal diffusion barrier, and the metal diffusion barrier ( 26) has a problem in that an operation error of the device is generated as a bridge between the storage nodes is formed when forming a subsequent storage node (SN).

또한, 산화막슬러리를 이용하여 상기 제 2 층간절연막(23)의 화학적기계적연마공정을 실시하므로써 제 2 콘택플러그(22a)를 분리시키나, 상기 산화막슬러리를 이용하여 제 2 층간절연막(23)을 화학적기계적연마하면 산화막대 폴리실리콘의 연마선택비가 거의 없어 하부에 화학적기계적연마공정의 연마정지막이 존재하지 않아연마종점을 결정하기가 매우 어려워 화학적기계적연마공정의 마진이 감소되고 하드마스크용 산화막의 손실도 증대되는 문제점이 있다.In addition, the second contact plug 22a is separated by performing a chemical mechanical polishing process of the second interlayer insulating film 23 using an oxide film slurry, and the second interlayer insulating film 23 is chemically mechanically used using the oxide film slurry. When polishing, there is almost no polishing selection ratio of oxide rod polysilicon, so there is no polishing stop film of chemical mechanical polishing process, so it is very difficult to determine the end point of polishing, which reduces the margin of chemical mechanical polishing process and increases the loss of oxide film for hard mask. There is a problem.

본 발명은 상기 종래기술의 문제점을 해결하기 위해 안출한 것으로서, 콘택플러그를 분리시키기 위한 화학적기계적연마공정의 공정마진을 확보하고, 비트라인사이의 층간절연막의 보이드로 인한 기생캐패시턴스를 감소시키는데 적합한 반도체 소자의 제조 방법을 제공함에 그 목적이 있다.The present invention has been made to solve the above problems of the prior art, a semiconductor suitable for securing the process margin of the chemical mechanical polishing process for separating contact plugs, and to reduce the parasitic capacitance due to the void of the interlayer insulating film between the bit line Its purpose is to provide a method for manufacturing a device.

도 1은 종래기술에 따른 반도체소자의 제조 방법을 나타낸 평면도,1 is a plan view showing a method of manufacturing a semiconductor device according to the prior art,

도 2는 도 1의 A-A'선에 따른 반도체소자의 공정단면도,FIG. 2 is a cross-sectional view of the semiconductor device taken along line AA ′ of FIG. 1;

도 3a 내지 도 3b는 도 1의 B-B'선에 따른 반도체소자의 공정단면도,3A through 3B are cross-sectional views of a semiconductor device taken along line BB ′ of FIG. 1;

도 4a 내지 도 4b는 도 1의 C-C'선에 따른 반도체소자의 공정단면도,4A through 4B are cross-sectional views of a semiconductor device taken along line CC ′ in FIG. 1;

도 5a 내지 도 5d는 본 발명의 실시예에 따른 반도체소자의 제조 방법을 도시한 공정단면도,5A through 5D are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention;

도 6a 내지 도 6c는 도 5c의 Ⅰ-Ⅰ선에 따른 반도체소자의 제조 공정 단면도,6A to 6C are cross-sectional views illustrating a manufacturing process of a semiconductor device taken along line I-I of FIG. 5C;

*도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

31 : 반도체기판 37 : 소스/드레인 영역31: semiconductor substrate 37: source / drain region

38 : 제 1 층간절연막 39 : 제 1 콘택플러그38: first interlayer insulating film 39: first contact plug

40a : Ti/TiN 40b : 텅스텐40a: Ti / TiN 40b: tungsten

40c : 하드마스크용 산화막 41 : 제 2 콘택플러그용 폴리실리콘40c: Oxide film for hard mask 41: Polysilicon for second contact plug

41a : 제 2 콘택플러그 42 : 제 2 층간절연막41a: second contact plug 42: second interlayer insulating film

상기의 목적을 달성하기 위한 본 발명의 반도체소자의 제조 방법은 소정 공정이 완료된 반도체기판상에 제 1 콘택플러그를 형성하는 제 1 단계; 상기 제 1 콘택플러그상에 하드마스크층을 포함하는 적층구조의 다수의 비트라인을 형성하는 제 2 단계; 상기 제 2 단계의 결과물상에 플러그용 폴리실리콘을 증착하고 상기 비트라인 사이에 매립되어 돌출되도록 상기 플러그용 폴리실리콘을 선택적으로 패터닝하는 제 3 단계; 상기 패터닝된 플러그용 폴리실리콘을 상기 비트라인의 상부까지 전면 에치백하여 서로 분리된 제 2 콘택플러그를 형성하는 제 4 단계; 및 상기 제 2 콘택플러그상에 층간절연막을 형성한 후, 상기 층간절연막대 상기 제 2 콘택플러그의 연마선택비가 큰 세리아계 슬러리를 이용하여 상기 제 2 콘택플러그의 상부까지 상기 층간절연막을 화학적기계적연마하는 제 5 단계를 포함하여 이루어짐을 특징으로 한다.A semiconductor device manufacturing method of the present invention for achieving the above object comprises a first step of forming a first contact plug on a semiconductor substrate having a predetermined process; Forming a plurality of bit lines of a stacked structure including a hard mask layer on the first contact plugs; A third step of depositing polysilicon for plug on the resultant of the second step and selectively patterning the polysilicon for plug so as to be embedded and projected between the bit lines; A fourth step of forming a second contact plug separated from each other by front-etching back the patterned plug polysilicon to an upper portion of the bit line; And forming an interlayer insulating film on the second contact plug, and then chemically mechanically polishing the interlayer insulating film to an upper portion of the second contact plug by using a ceria-based slurry having a high polishing selectivity of the second contact plug. It is characterized by comprising a fifth step.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하기로 한다.Hereinafter, the preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. .

본 발명은 콘택플러그를 분리하기 위해 화학적기계적연마공정과 폴리실리콘의 에치백공정을 혼합하여 적용하는 방법으로, 하부에 질화막과 같이 연마선택비가 있는 연마정지막없이 콘택플러그를 분리하는 공정을 진행해야 할 경우에 적용될 수 있다.The present invention is a method of mixing and applying a chemical mechanical polishing process and an etch back process of polysilicon to separate a contact plug. The process of separating a contact plug without a polishing stop film having a polishing selectivity such as a nitride film at the bottom should be performed. Applicable if

도 5a 내지 도 5d는 본 발명의 실시예에 따른 반도체소자의 제조 방법을 도시한 도면으로서, 워드라인 사이의 제 1 콘택플러그상에 제 2 콘택플러그를 형성하는 방법을 도시하고 있다.5A to 5D illustrate a method of manufacturing a semiconductor device according to an embodiment of the present invention, which illustrates a method of forming a second contact plug on a first contact plug between word lines.

도 5a에 도시된 바와 같이, 반도체 기판(31)에 소자격리막(도시 생략)을 형성한 후, 상기 반도체 기판(31) 상부에 게이트산화막(32), 폴리실리콘(33), 텅스텐실리사이드(34), 마스크산화막(35)을 순차적으로 증착한 다음, 상기 마스크산화막 (35), 텅스텐실리사이드(34), 폴리실리콘(33), 게이트산화막(32)을 식각하여 워드라인패턴을 형성한다. 이어 상기 워드라인패턴을 포함한 전면에 측벽용산화막을 증착한 다음, 전면식각하여 상기 워드라인패턴의 측벽에 접하는 측벽스페이서(36)를 형성한다. 이어 상기 워드라인패턴 및 측벽스페이서를 마스크로 이용한 불순물 이온주입으로 소오스/드레인 영역(37)을 형성한다.As shown in FIG. 5A, after the device isolation layer (not shown) is formed on the semiconductor substrate 31, the gate oxide layer 32, the polysilicon 33, and the tungsten silicide 34 are disposed on the semiconductor substrate 31. After sequentially depositing the mask oxide layer 35, the mask oxide layer 35, the tungsten silicide 34, the polysilicon 33, and the gate oxide layer 32 are etched to form a word line pattern. Subsequently, an oxide film for sidewalls is deposited on the entire surface including the wordline patterns, and then etched to form sidewall spacers 36 in contact with the sidewalls of the wordline patterns. Next, the source / drain regions 37 are formed by implanting impurity ions using the word line pattern and the sidewall spacers as masks.

이어 상기 워드라인패턴을 포함한 전면에 제 1 층간절연막(38)을 증착한 다음, 상기 제 1 층간절연막(38)을 선택적으로 식각하여 플러그용 콘택홀을 형성하고, 상기 콘택홀에 매립되어 상기 소오스/드레인 영역(37)에 접속되는 제 1 콘택플러그(39)를 형성한다.Subsequently, a first interlayer insulating layer 38 is deposited on the entire surface including the word line pattern, and then the first interlayer insulating layer 38 is selectively etched to form a plug contact hole, and the plug is buried in the contact hole. The first contact plug 39 connected to the / drain region 37 is formed.

이어 도 6a에 도시되겠지만, 상기 제 1 콘택플러그(39)를 통해 상기 소오스/드레인 영역(37)과 전기적으로 연결되는 비트라인패턴을 형성하되, 상기 비트라인패턴은 상기 워드라인패턴과 직교하는 방향으로 형성되며, 상기 비트라인패턴은 Ti/TiN(40a), 텅스텐(40b), 하드마스크용 산화막(40c)의 적층구조로 이루어지고 그 측면에 측벽스페이서(40d)가 형성된다.6A, a bit line pattern electrically connected to the source / drain region 37 is formed through the first contact plug 39, and the bit line pattern is perpendicular to the word line pattern. The bit line pattern has a stacked structure of Ti / TiN 40a, tungsten 40b, and an oxide film 40c for hard mask, and sidewall spacers 40d are formed on the side surfaces thereof.

이어 상기 측벽스페이서(40d)를 포함하는 비트라인패턴 형성후, 전면에 제 2 콘택플러그용 폴리실리콘(41)을 증착한 다음, 제 2 콘택플러그가 형성될 부분만을 패터닝하되, 상기 비트라인패턴 사이를 충분히 덮고 그 상부로 돌출되는 형상으로 패터닝된다.Subsequently, after the bit line pattern including the sidewall spacers 40d is formed, the second contact plug polysilicon 41 is deposited on the entire surface, and then only the portion where the second contact plug is to be formed is patterned, but between the bit line patterns. Is patterned into a shape that sufficiently covers and protrudes above it.

도 5b에 도시된 바와 같이, 전면에 블랭킷 에치백공정(Blanket etchback)을 이용하되 즉, 도 5a의 '43'을 식각타겟으로 하여 상기 비트라인패턴의 상부로 돌출된 제 2 콘택플러그용 폴리실리콘(41)만을 제거하여 서로 분리된 제 2 콘택플러그 (41a)를 형성한다. 이 때, 상기 폴리실리콘을 제거하는 식각레시피(Etch recipe)는 상기 비트라인패턴의 하드마스크용 산화막(40c)에 대한 선택비가 10:1∼15:1의 식각가스를 사용하여 블랭킷 에치백시 상기 하드마스크용 산화막(40c)의 손실을 최소화한다.As shown in FIG. 5B, a blanket etchback process is used on the front surface, that is, polysilicon for the second contact plug protruding to the upper portion of the bit line pattern using '43' of FIG. 5A as an etching target. Only the 41 is removed to form the second contact plugs 41a separated from each other. In this case, the etching recipe for removing the polysilicon may include a selectivity ratio of 10: 1 to 15: 1 to the hard mask oxide layer 40c of the bit line pattern. The loss of the hard mask oxide film 40c is minimized.

이어 상기 구조 전면에 제 2 층간절연막(42)으로 고밀도플라즈마산화막을 증착한다.Subsequently, a high density plasma oxide film is deposited on the entire structure of the second interlayer insulating film 42.

도 5c에 도시된 바와 같이, 상기 제 2 콘택플러그(41a)의 표면이 드러날때까지 제 2 층간절연막(42)의 연마공정을 진행하는데, 상기 연마공정시 제 2 콘택플러그(41a)의 상부를 연마타겟(43)으로 하여 상기 제 2 층간절연막(42)을 연마한다 (42a). 이 때, 상기 제 2 층간절연막(42)의 증착시, 상기 비트라인패턴의 하드마스크용 산화막(40c)의 상부에 존재하던 폴리실리콘을 제거하였기 때문에, 제 2 층간절연막(42)의 증착두께를 감소시켜 보이드의 형성을 방지하고, 후속 화학적기계적연마공정의 부담을 감소시킨다.As shown in FIG. 5C, the polishing process of the second interlayer insulating layer 42 is performed until the surface of the second contact plug 41a is exposed, and the upper portion of the second contact plug 41a is removed during the polishing process. The second interlayer insulating film 42 is polished using the polishing target 43 (42a). At this time, when the second interlayer insulating film 42 is deposited, since the polysilicon existing on the hard mask oxide film 40c of the bit line pattern is removed, the deposition thickness of the second interlayer insulating film 42 is reduced. To prevent the formation of voids and to reduce the burden of subsequent chemical mechanical polishing processes.

또한, 상기 제 2 콘택플러그(41a)에 대한 연마선택비가 100:1이상의 세리아계 슬러리를 사용하여 상기 제 2 콘택플러그(41a)를 상기 제 2 층간절연막(42)의 화학적기계적연마공정의 연마정지막으로 이용하므로써 연마공정의 공정마진을 확보하고, 제 2 층간절연막(42)의 연마시 하부에 존재하는 제 2 콘택플러그(41a)의 디싱이 발생되는 것을 방지한다. 여기서 도면부호 42a는 연마된 제 2 층간절연막을 나타낸다.The polishing contact ratio of the second contact plug 41a to the second interlayer insulating film 42 is stopped by using a ceria-based slurry having a polishing selectivity of 100: 1 or more for the second contact plug 41a. By using it as a film, the process margin of a polishing process is ensured and the dishing of the 2nd contact plug 41a which exists at the time of the grinding | polishing of the 2nd interlayer insulation film 42 is prevented from occurring. Reference numeral 42a denotes a polished second interlayer insulating film.

도 5d에 도시된 바와 같이, 상기 제 2 콘택플러그(41a)상에 후속 확산방지막이 형성될 부분을 형성하기 위해 상기 비트라인패턴 사이의 제 2 콘택플러그(41a)를 리세스 에치백하여 소정깊이만큼 제거한다. 이 때, 상기 제 2 콘택플러그(41a)의 리세스에치백시, 하드마스크용 산화막(40c)와 제 2 층간절연막(42a)에 대해 10:1이상의 충분한 선택비를 가지는 식각가스를 사용하여 실시한다.As shown in FIG. 5D, the second contact plug 41a between the bit line patterns is recessed back to a predetermined depth to form a portion where a subsequent diffusion barrier layer is to be formed on the second contact plug 41a. Remove it. At this time, the etching contact back of the second contact plug 41a is performed using an etching gas having a sufficient selectivity of 10: 1 or more with respect to the hard mask oxide film 40c and the second interlayer insulating film 42a. do.

이어 상기 리세스된 제 2 콘택플러그(41a)상에 확산방지막으로서 금속층을증착한 다음, 화학적기계적연마하여 상기 확산방지막(44)을 분리시킨다.Subsequently, a metal layer is deposited as a diffusion barrier on the recessed second contact plug 41a and then chemically mechanically polished to separate the diffusion barrier 44.

도 6a 내지 도 6c는 본 발명의 실시예에 따른 반도체소자의 제조 방법을 도시한 도면으로서, 도 5d의 Ⅰ-Ⅰ'선에 따른 비트라인사이에 제 2 콘택플러그를 형성하는 방법을 나타내고 있다.6A to 6C illustrate a method of manufacturing a semiconductor device according to an embodiment of the present invention, which illustrates a method of forming a second contact plug between bit lines along the line II ′ of FIG. 5D.

도 6a에 도시된 바와 같이, 워드라인패턴을 형성한 후, 상기 워드라인패턴을 포함한 전면에 제 1 층간절연막(38)을 형성한다. 이어 상기 제 1 층간절연막(38)을 선택적으로 식각하여 콘택홀을 형성한 후, 상기 콘택홀에 매립되어 상기 소오스/드레인 영역(37)에 접하는 제 1 콘택플러그(39)을 형성한다. 이어 상기 제 1 콘택플러그(39)상에 Ti/TiN(40a), 텅스텐(40b), 하드마스크용 산화막(40c)의 적층구조로 이루어지고 그 측면에 측벽스페이서(40d)가 접속되는 비트라인패턴을 형성한다.As shown in FIG. 6A, after forming a word line pattern, a first interlayer insulating film 38 is formed on the entire surface including the word line pattern. Subsequently, the first interlayer insulating layer 38 is selectively etched to form a contact hole, and then a first contact plug 39 is buried in the contact hole to contact the source / drain region 37. Subsequently, a bit line pattern having a stacked structure of Ti / TiN 40a, tungsten 40b, and an oxide film 40c for hard mask on the first contact plug 39, and having sidewall spacers 40d connected to the side surfaces thereof. To form.

이어 상기 비트라인패턴 형성후, 전면에 제 2 콘택플러그용 폴리실리콘(41)을 증착한 다음, 후속 제 2 콘택플러그가 형성될 부분만을 패터닝하되, 상기 비트라인패턴 사이를 충분히 덮고 그 상부로 돌출되는 형상으로 패터닝한다.Subsequently, after the bit line pattern is formed, the second contact plug polysilicon 41 is deposited on the entire surface, and then only the portion where the second contact plug is to be formed is patterned, but covers sufficiently between the bit line patterns and protrudes therefrom. Patterned to the shape that becomes.

도 6b에 도시된 바와 같이, 상기 하드마스크용 산화막(40c)의 상부까지(43) 전면에 블랭킷 에치백공정을 실시하여 상기 비트라인패턴의 상부로 돌출된 폴리실리콘만을 제거하여 서로 분리된 제 2 콘택플러그(41a)를 형성한다. 이 때, 상기 폴리실리콘을 제거하는 식각레시피(Etch recipe)는 상기 비트라인패턴의 하드마스크용 산화막(40c)에 대한 선택비가 10:1이상의 식각가스를 사용하여 블랭킷 에치백시 상기 하드마스크용 산화막(40c)의 손실을 최소화한다.As shown in FIG. 6B, a blanket etch back process is performed on the entire surface of the hard mask oxide film 40c to the upper portion 43 so as to remove only polysilicon protruding from the upper portion of the bit line pattern, thereby separating the second silicon. The contact plug 41a is formed. In this case, the etching recipe for removing the polysilicon may include an oxide film for hard mask back etching using an etching gas having a selectivity of 10: 1 or more to the hard mask oxide film 40c of the bit line pattern. Minimize the loss of 40c.

이어 상기 구조 전면에 제 2 층간절연막(42)으로 고밀도플라즈마산화막을 증착한다.Subsequently, a high density plasma oxide film is deposited on the entire structure of the second interlayer insulating film 42.

도 6c에 도시된 바와 같이, 상기 제 2 콘택플러그(41a)의 표면이 드러날때까지 제 2 층간절연막(42)의 화학적기계적연마공정을 진행하는데, 상기 연마공정시 상기 제 2 층간절연막(42)과 상기 제 2 콘택플러그(41a)의 연마선택비가 100:1이상인 세리아계 슬러리를 이용하여 상기 제 2 콘택플러그(41a)의 상부를 연마타겟(43)으로 하여 상기 제 2 층간절연막(42)을 연마한다. 이 때, 상기 제 2 층간절연막 (42)의 증착시, 상기 비트라인패턴의 하드마스크용 산화막(40c)의 상부에 존재하던 폴리실리콘을 제거하였기 때문에, 제 2 층간절연막(42)의 증착두께를 감소시켜 보이드의 형성을 방지하고, 후속 화학적기계적연마공정의 부담을 감소시킨다.As shown in FIG. 6C, the chemical mechanical polishing process of the second interlayer dielectric layer 42 is performed until the surface of the second contact plug 41a is exposed, and the second interlayer dielectric layer 42 is polished during the polishing process. And the second interlayer insulating film 42 using the ceria-based slurry having the polishing selectivity of the second contact plug 41a of 100: 1 or more as the polishing target 43 as the upper surface of the second contact plug 41a. Polish At this time, when the second interlayer insulating film 42 is deposited, since the polysilicon existing on the hard mask oxide film 40c of the bit line pattern is removed, the deposition thickness of the second interlayer insulating film 42 is reduced. To prevent the formation of voids and to reduce the burden of subsequent chemical mechanical polishing processes.

또한, 상기 제 2 콘택플러그(41a)에 대한 연마선택비가 100:1이상의 세리아계 슬러리를 사용하여 상기 제 2 콘택플러그(41a)를 상기 제 2 층간절연막(42)의 화학적기계적연마공정의 연마정지막으로 이용하므로써 연마공정의 공정마진을 확보하고, 제 2 층간절연막(42)의 연마시 하부에 존재하는 제 2 콘택플러그(41a)의 디싱이 발생되는 것을 방지한다.The polishing contact ratio of the second contact plug 41a to the second interlayer insulating film 42 is stopped by using a ceria-based slurry having a polishing selectivity of 100: 1 or more for the second contact plug 41a. By using it as a film, the process margin of a polishing process is ensured and the dishing of the 2nd contact plug 41a which exists at the time of the grinding | polishing of the 2nd interlayer insulation film 42 is prevented from occurring.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 본 발명의 반도체소자의 제조 방법은 제 2 층간절연막의 형성전에 전면 에치백공정으로 제 2 콘택플러그를 분리하므로써, 후속 제 2 층간절연막의 화학적기계적연마공정의 연마균일도를 향상시킬 수 있고, 이로 인해 제 2 층간절연막과 후속 확산방지막의 화학적기계적연마공정의 공정마진을 확보하므로써 비트라인의 하드마스크로 증착되는 산화막의 두께가 감소시킬 수 있는 효과가 있다.The above-described method for manufacturing a semiconductor device of the present invention can improve the polishing uniformity of the subsequent chemical mechanical polishing process of the second interlayer insulating film by separating the second contact plug by the front etch back process before forming the second interlayer insulating film. Therefore, the thickness of the oxide layer deposited on the hard mask of the bit line can be reduced by securing the process margin of the chemical mechanical polishing process of the second interlayer dielectric layer and the subsequent diffusion barrier layer.

또한, 하드마스크용 산화막의 증착두께를 감소시킴에 따라 제 2 층간절연막의 증착시 발생되는 보이드를 감소시키므로, 후속 캐패시터간의 브릿지를 방지하여 소자의 전기적특성을 향상시킬 수 효과가 있다.In addition, by reducing the deposition thickness of the oxide film for the hard mask to reduce the void generated during the deposition of the second interlayer insulating film, it is possible to prevent the bridge between the subsequent capacitors to improve the electrical characteristics of the device.

Claims (6)

반도체소자의 제조 방법에 있어서,In the manufacturing method of a semiconductor device, 소정 공정이 완료된 반도체기판상에 제 1 콘택플러그를 형성하는 제 1 단계;A first step of forming a first contact plug on a semiconductor substrate on which a predetermined process is completed; 상기 제 1 콘택플러그상에 하드마스크층을 포함하는 적층구조의 다수의 비트라인을 형성하는 제 2 단계;Forming a plurality of bit lines of a stacked structure including a hard mask layer on the first contact plugs; 상기 제 2 단계의 결과물상에 플러그용 폴리실리콘을 증착하고 상기 비트라인 사이에 매립되어 돌출되도록 상기 플러그용 폴리실리콘을 선택적으로 패터닝하는 제 3 단계;A third step of depositing polysilicon for plug on the resultant of the second step and selectively patterning the polysilicon for plug so as to be embedded and projected between the bit lines; 상기 패터닝된 플러그용 폴리실리콘을 상기 비트라인의 상부까지 전면 에치백하여 서로 분리된 제 2 콘택플러그를 형성하는 제 4 단계; 및A fourth step of forming a second contact plug separated from each other by front-etching back the patterned plug polysilicon to an upper portion of the bit line; And 상기 제 2 콘택플러그상에 층간절연막을 형성한 후, 상기 층간절연막대 상기 제 2 콘택플러그의 연마선택비가 큰 세리아계 슬러리를 이용하여 상기 제 2 콘택플러그의 상부까지 상기 층간절연막을 화학적기계적연마하는 제 5 단계After the interlayer insulating film is formed on the second contact plug, the interlayer insulating film is chemically mechanically polished to the upper portion of the second contact plug by using a ceria-based slurry having a large polishing selectivity of the second contact plug. 5th step 를 포함하여 이루어짐을 특징으로 하는 반도체소자의 제조 방법.Method for manufacturing a semiconductor device comprising the. 제 1 항에 있어서,The method of claim 1, 상기 제 4 단계는,The fourth step, 상기 비트라인의 하드마스크층에 대한 선택비가 충분한 식각가스를 사용하여이루어지는 것을 특징으로 하는 반도체소자의 제조 방법.A method of manufacturing a semiconductor device, characterized in that the selectivity of the bit line with respect to the hard mask layer is achieved by using an etching gas with sufficient amount. 제 1 항에 있어서,The method of claim 1, 상기 제 5 단계후,After the fifth step, 후속 확산방지막을 위해 상기 제 2 콘택플러그를 소정깊이만큼 리세스에치백하는 단계를 포함하여 이루어짐을 특징으로 하는 반도체 소자의 제조 방법.And recess-etching back the second contact plug by a predetermined depth for the subsequent diffusion barrier layer. 제 3 항에 있어서,The method of claim 3, wherein 상기 제 2 콘택플러그의 리세스에치백은 상기 층간절연막과 상기 플러그용 폴리실리콘의 연마선택비가 10:1∼15:1인 슬러리를 사용하여 이루어지는 것을 특징으로 하는 반도체소자의 제조 방법.The recess etch back of the second contact plug is made of a slurry having a polishing selectivity of 10: 1 to 15: 1 between the interlayer insulating film and the plug polysilicon. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막은 고밀도플라즈마산화막을 이용하는 것을 특징으로 하는 반도체소자의 제조 방법.The interlayer insulating film is a semiconductor device manufacturing method, characterized in that using a high density plasma oxide film. 제 1 항에 있어서,The method of claim 1, 상기 비트라인은 Ti/TiN, 텅스텐 및 하드마스크용 산화막의 적층구조의 측벽에 접속되는 스페이서를 포함하여 이루어진 것을 특징으로 하는 반도체소자의 제조 방법.And the bit line comprises a spacer connected to a sidewall of a stacked structure of an oxide film for Ti / TiN, tungsten and a hard mask.
KR1020000036784A 2000-06-30 2000-06-30 Method for forming contact plug in semiconductor device KR100597594B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020000036784A KR100597594B1 (en) 2000-06-30 2000-06-30 Method for forming contact plug in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000036784A KR100597594B1 (en) 2000-06-30 2000-06-30 Method for forming contact plug in semiconductor device

Publications (2)

Publication Number Publication Date
KR20020002574A true KR20020002574A (en) 2002-01-10
KR100597594B1 KR100597594B1 (en) 2006-07-06

Family

ID=19675095

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000036784A KR100597594B1 (en) 2000-06-30 2000-06-30 Method for forming contact plug in semiconductor device

Country Status (1)

Country Link
KR (1) KR100597594B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100691484B1 (en) * 2001-06-30 2007-03-09 주식회사 하이닉스반도체 Method for fabricating plug in semiconductor device
KR100732308B1 (en) * 2001-06-22 2007-06-25 주식회사 하이닉스반도체 Method for chemical mechanical polishing of semiconductor device
KR100832004B1 (en) * 2006-06-30 2008-05-26 주식회사 하이닉스반도체 Method for manufacturing nand flash memory device
KR101055754B1 (en) * 2004-10-18 2011-08-11 주식회사 하이닉스반도체 Metal wiring formation method of semiconductor memory device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100732308B1 (en) * 2001-06-22 2007-06-25 주식회사 하이닉스반도체 Method for chemical mechanical polishing of semiconductor device
KR100691484B1 (en) * 2001-06-30 2007-03-09 주식회사 하이닉스반도체 Method for fabricating plug in semiconductor device
KR101055754B1 (en) * 2004-10-18 2011-08-11 주식회사 하이닉스반도체 Metal wiring formation method of semiconductor memory device
KR100832004B1 (en) * 2006-06-30 2008-05-26 주식회사 하이닉스반도체 Method for manufacturing nand flash memory device

Also Published As

Publication number Publication date
KR100597594B1 (en) 2006-07-06

Similar Documents

Publication Publication Date Title
US7094672B2 (en) Method for forming self-aligned contact in semiconductor device
KR100431656B1 (en) Method of manufacturing semiconductor device
US6239022B1 (en) Method of fabricating a contact in a semiconductor device
KR100373297B1 (en) Semiconductor device and method of manufacturing the same
KR0155886B1 (en) High integrated dram cell fabrication method
US6764893B2 (en) Method for reducing a parasitic capacitance of a semiconductive memory cell using metal mask for sidewall formation
US5250457A (en) Method of forming a buried bit line array of memory cells
US20060284229A1 (en) Semiconductor device with a bit line contact plug and method of fabricating the same
US6680511B2 (en) Integrated circuit devices providing improved short prevention
KR20030003906A (en) Method of forming contact of semiconductor device and semiconductor memory device fabricated by the same method
KR100214524B1 (en) Manufacture of semiconductor device
KR100597594B1 (en) Method for forming contact plug in semiconductor device
KR100680948B1 (en) Method for manufacturing storage node contact of semiconductor device
KR100289661B1 (en) Manufacturing method of semiconductor device
KR20000045324A (en) Method for forming fine contact hole of semiconductor device
US7268085B2 (en) Method for fabricating semiconductor device
KR100691484B1 (en) Method for fabricating plug in semiconductor device
KR100682166B1 (en) Manufacturing method for semiconductor device
KR101031459B1 (en) Method for manufacturing a semiconductor device
KR20070063672A (en) Method for forming storagenode contact in semiconductor device
KR100277883B1 (en) Manufacturing Method of Semiconductor Device
KR100942981B1 (en) Method for fabricating semiconductor device
KR20010063497A (en) Method for forming contact plug of semiconductor device
KR20010058980A (en) Method for manufacturing capacitor in semiconductor device
KR100571627B1 (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110526

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee