KR20010006881A - Apparatus and method for processing semiconductor piece - Google Patents

Apparatus and method for processing semiconductor piece Download PDF

Info

Publication number
KR20010006881A
KR20010006881A KR1020000015688A KR20000015688A KR20010006881A KR 20010006881 A KR20010006881 A KR 20010006881A KR 1020000015688 A KR1020000015688 A KR 1020000015688A KR 20000015688 A KR20000015688 A KR 20000015688A KR 20010006881 A KR20010006881 A KR 20010006881A
Authority
KR
South Korea
Prior art keywords
gas
plasma
gas supply
wafer
semiconductor sample
Prior art date
Application number
KR1020000015688A
Other languages
Korean (ko)
Other versions
KR100716690B1 (en
Inventor
기시모또기요시
Original Assignee
고미야 히로요시
가부시끼가이샤 한도따이 센단 테크놀로지스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 고미야 히로요시, 가부시끼가이샤 한도따이 센단 테크놀로지스 filed Critical 고미야 히로요시
Publication of KR20010006881A publication Critical patent/KR20010006881A/en
Application granted granted Critical
Publication of KR100716690B1 publication Critical patent/KR100716690B1/en

Links

Classifications

    • EFIXED CONSTRUCTIONS
    • E03WATER SUPPLY; SEWERAGE
    • E03DWATER-CLOSETS OR URINALS WITH FLUSHING DEVICES; FLUSHING VALVES THEREFOR
    • E03D1/00Water flushing devices with cisterns ; Setting up a range of flushing devices or water-closets; Combinations of several flushing devices
    • E03D1/02High-level flushing systems
    • E03D1/14Cisterns discharging variable quantities of water also cisterns with bell siphons in combination with flushing valves
    • E03D1/142Cisterns discharging variable quantities of water also cisterns with bell siphons in combination with flushing valves in cisterns with flushing valves
    • EFIXED CONSTRUCTIONS
    • E03WATER SUPPLY; SEWERAGE
    • E03DWATER-CLOSETS OR URINALS WITH FLUSHING DEVICES; FLUSHING VALVES THEREFOR
    • E03D1/00Water flushing devices with cisterns ; Setting up a range of flushing devices or water-closets; Combinations of several flushing devices
    • E03D1/30Valves for high or low level cisterns; Their arrangement ; Flushing mechanisms in the cistern, optionally with provisions for a pre-or a post- flushing and for cutting off the flushing mechanism in case of leakage
    • E03D1/34Flushing valves for outlets; Arrangement of outlet valves
    • E03D1/35Flushing valves having buoyancy
    • EFIXED CONSTRUCTIONS
    • E03WATER SUPPLY; SEWERAGE
    • E03DWATER-CLOSETS OR URINALS WITH FLUSHING DEVICES; FLUSHING VALVES THEREFOR
    • E03D3/00Flushing devices operated by pressure of the water supply system flushing valves not connected to the water-supply main, also if air is blown in the water seal for a quick flushing
    • E03D3/12Flushing devices discharging variable quantities of water

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Hydrology & Water Resources (AREA)
  • Public Health (AREA)
  • Water Supply & Treatment (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

PURPOSE: To contrive to enhance uniformity in a contact structure and a wiring structure by a method wherein a gas supply port is positioned at an intermediate position between an upper electrode and a lower electrode to supply a gas for plasma. CONSTITUTION: A power is introduced from an upper electrode 13 or an antenna into the interior of a chamber 10 to discharge, thereby producing plasma. A wafer 15 mounted on a lower stage 11 is etched with a plasma gas. A gas supply part 12 is structured by a radial pipe disposed radially from the center as an axis, annular pipe, and a vertical pipe serving also as a supporter. A plurality of pipes are interconnected so as to introduce the plasma gas. It is possible to adjust arbitrarily the number and placement of the radial pipe, the annular pipe, or the vertical pipe. An interval of the neighboring vertical pipe is set to be a wafer diameter or more so as to insert the wafer 15. The wafer 15 is mounted just under the gas supply part by a conveyance robot.

Description

반도체 시료의 처리 장치 및 처리 방법 {APPARATUS AND METHOD FOR PROCESSING SEMICONDUCTOR PIECE}Processing device and processing method for a semiconductor sample {APPARATUS AND METHOD FOR PROCESSING SEMICONDUCTOR PIECE}

본 발명은, 플라즈마를 이용한 처리를 행하는 반도체 시료의 처리 장치 및 처리 방법에 관한 것이며, 특히 반도체 웨이퍼등의 에칭 장치 혹은 애싱 장치와 그 방법에 관한 것이다.TECHNICAL FIELD This invention relates to the processing apparatus and processing method of the semiconductor sample which performs the process using a plasma, and especially relates to the etching apparatus or ashing apparatus, such as a semiconductor wafer, and its method.

에칭 장치로 가장 중요하고 또한 곤란한 것이, 에칭의 균일성의 확보이다. 이 균일성을 결정하는 데 중요한 것이, 플라즈마, 가스 흐름, 압력, 등의 각 파라메터를 웨이퍼 상에서 균일하게 하는 것이다. 특히 300㎜ 대응 에칭 장치의 개발과 같이, 대구경 대응의 에칭 장치로 곤란한 것이 가스를 균일하게 공급하는 방법이다.The most important and difficult thing in an etching apparatus is ensuring the uniformity of etching. What is important in determining this uniformity is to make each parameter of plasma, gas flow, pressure, etc. uniform on the wafer. In particular, such as the development of a 300 mm-compatible etching apparatus, it is a method of uniformly supplying gas that is difficult with a large-diameter etching apparatus.

에칭 장치에 있어서, 가스의 흐름을 균일하게 하기 위해서는, 가스의 배기도 균일하게 해야 하지만, 가령 웨이퍼 주변부에서 대칭적으로 배기를 하더라도, 가스의 공급 위치가 웨이퍼로부터 떨어져 있는 경우는, 웨이퍼의 센터와 엣지로 가스의 흐름 혹은 성분이 불균일해지기 쉽다.In the etching apparatus, in order to make the flow of gas uniform, the exhaust of the gas must be made uniform. However, even if the gas is supplied symmetrically from the wafer periphery, the gas supply position is far from the wafer. At the edges, the flow or composition of gas tends to be uneven.

샤워 헤드를 이용하는 경우, 웨이퍼의 바로 윗쪽에 적재 배치하는 것이 가능하고, 가스를 균일하게 공급할 수 있는 방법으로서 많이 이용되고 있다.In the case of using a shower head, it is possible to arrange | position on the upper side of a wafer, and it is used as a method which can supply a gas uniformly.

그러나 샤워 헤드를 웨이퍼에 지나치게 접근하면, 압력의 균일성을 확보하는 것이 곤란해지고 에칭 특성의 균일성의 악화를 초래한다.However, if the shower head approaches the wafer too much, it becomes difficult to ensure the uniformity of pressure and leads to deterioration of the uniformity of etching characteristics.

특히 대구경화 대응의 경우 이 문제는 심각하며, 예를 들면, 평행 평판 타입의 에칭 장치로 200㎜와 동일한 갭(상하 전극이나 웨이퍼와 샤워 헤드 사이의 거리)로 300㎜ 대응의 에칭 장치를 제작한 경우, 웨이퍼의 센터부와 엣지부에서 압력의 차가 생겨 버리고, 에칭의 균일성을 얻는 것이 곤란하다.Particularly in the case of large diameter cure, this problem is serious. For example, an etching apparatus of 300 mm is manufactured with a gap equal to 200 mm (the distance between the upper and lower electrodes or the wafer and the shower head) using a parallel plate type etching apparatus. In this case, a pressure difference occurs between the center portion and the edge portion of the wafer, and it is difficult to obtain uniformity of etching.

또한 갭을 넓히면, 웨이퍼 바로 윗쪽에서 가스를 공급할 수 있는 이점이 희박해져 버린다.In addition, when the gap is widened, the advantage of supplying gas directly above the wafer becomes slim.

이어서, 에칭의 균일성과 동시에 중요한 것이, 넓은 프로세스 윈도우의 확보이다. 특히 1대의 장치로 컨택트 홀과 배선이나 홈의 가공 등 다른 종류의 구조를 에칭하는 경우 문제가 되기 쉽다.Subsequently, it is important to ensure uniformity of etching and to secure a wide process window. In particular, when one device is used to etch another kind of structure such as contact hole, wiring or groove processing, it becomes a problem.

이것은, 웨이퍼로부터의 부산물(에칭 생성물)의 공급이 많은 웨이퍼 센터부와, 주위에 에칭하는 대상물이 적은 웨이퍼 엣지부와에서는 에칭 특성에 차가 나오기 쉽고, 이것을 가스의 공급 방식으로 보정하는 것도 가능하지만, 에칭 대상물의 구조가 변한 경우, 센터와 엣지에서의 균일성의 확보를 하나 이상의 프로세스로 실현하는 것은 매우 곤란해진다.This is likely to cause a difference in etching characteristics between the wafer center portion with a lot of by-products (etched products) supplied from the wafer and the wafer edge portion with less objects to be etched around, and this can be corrected by a gas supply method. When the structure of the etching target is changed, it is very difficult to realize the uniformity at the center and the edge in one or more processes.

본 발명은 상술한 바와 같이 종래의 과제를 해결하기 위해 이루어진 것으로, 본 발명은, 처리조 내의 압력의 균일성을 확보하기 위해, 갭을 넓게하는 것을 가능하게 하면서, 가스의 공급은 웨이퍼 바로 윗쪽에서 행하고, 대구경화 대응의 에칭 장치에 있어서도, 균일한 프로세스를 확보하는 것을 목적으로 하고 있다.The present invention has been made to solve the conventional problems as described above, and the present invention makes it possible to widen the gap in order to secure the uniformity of pressure in the processing tank, while supplying the gas is directly above the wafer. It aims at ensuring the uniform process also in the etching apparatus corresponding to large diameter.

또한, 본 발명은, 하나 이상의 프로세스 예를 들면, 웨이퍼의 표면이 거의 레지스트에 덮여 있는 컨택트 구조와, 에칭 면적이 반 이상 있는 배선 구조의 양방을, 균일성을 확보하여 에칭하는 것을 목적으로 하고 있다.In addition, an object of the present invention is to ensure uniformity and etching of both a contact structure in which at least one process, for example, the surface of a wafer is substantially covered with a resist, and a wiring structure having an etching area of at least half. .

도1은 본 발명의 일 실시 형태에 의한 에칭 장치의 개략 구조를 도시한 단면도.1 is a cross-sectional view showing a schematic structure of an etching apparatus according to an embodiment of the present invention.

도2는 도1에 있어서 가스 공급부의 구체적 구조를 설명하기 위한 사시도.FIG. 2 is a perspective view for explaining a specific structure of the gas supply unit in FIG. 1; FIG.

도3은 도2에 있어서 가스 공급부의 방사상관 또는 환상관을 웨이퍼측으로부터 본 부분 확대도.FIG. 3 is a partially enlarged view of the radial tube or annular tube of the gas supply unit seen from the wafer side in FIG. 2; FIG.

도4는 본 발명의 다른 실시 형태에 의한 에칭 장치의 개략적 구조를 도시하는 단면도.4 is a cross-sectional view showing a schematic structure of an etching apparatus according to another embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Description of the code | symbol about the principal part of drawing>

10 : 챔버(처리조)10: chamber (treatment tank)

11 : 하부 스테이지(하부 전극)11: lower stage (lower electrode)

12 : 가스 공급부12 gas supply unit

13 : 상부 전극13: upper electrode

14 : 제어부14: control unit

15 : 웨이퍼(반도체 시료)15 wafer (semiconductor sample)

11 : 방사상관11: radial coronation

22 : 환상관22: annular hall

23 : 세로관23: vertical tube

24 : 구멍(가스 공급구)24: hole (gas supply port)

이를 실현하기 위해, 본 발명의 반도체 시료의 처리 장치는, 처리조 내에 상부 전극 및 하부 전극을 구비하고, 상기 양 전극 사이에 플라즈마를 발생하여 반도체 시료를 처리하는 처리 장치에 있어서, 상기 상부 전극과 하부 전극의 중간 위치에 가스 공급구를 위치시켜 플라즈마용 가스를 공급하는 가스 공급 수단을 구비한 것을 특징으로 한다.In order to realize this, the processing apparatus for a semiconductor sample of the present invention includes an upper electrode and a lower electrode in a processing tank, and generates a plasma between the two electrodes to process the semiconductor sample. And a gas supply means for supplying a gas for plasma by placing a gas supply port at an intermediate position of the lower electrode.

또한, 본 발명의 다른 반도체 시료의 처리 장치는, 처리조 내에 상부 전극 및 하부 전극을 구비하고, 상기 양 전극 사이에 플라즈마를 발생하여 반도체 시료를 처리하는 처리 장치에 있어서, 상기 처리조의 상부 또는 측부에서 플라즈마용 가스를 도입하는 가스 도입 수단을 구비함과 동시에, 상기 상부 전극과 하부 전극의 중간 위치에 가스 공급구를 위치시켜 플라즈마용 가스를 공급하는 가스 공급 수단을 구비한 것을 특징으로 한다.In addition, another semiconductor sample processing apparatus of the present invention includes an upper electrode and a lower electrode in a processing tank, and generates a plasma between the two electrodes to process a semiconductor sample. In addition to the gas introducing means for introducing the gas for the plasma in the gas supply means for supplying the gas for plasma by placing a gas supply port in the intermediate position between the upper electrode and the lower electrode.

또한, 본 발명의 다른 반도체 시료의 처리 장치는, 상기 가스 공급 수단이, 내부에 플라즈마용 가스를 유통시키고, 또한, 다수의 가스 공급구를 갖는 복수의 관을 조합시켜 구성된 것을 특징으로 한다.Moreover, the processing apparatus of the other semiconductor sample of this invention is characterized in that the said gas supply means distribute | circulates the gas for plasma inside, and is comprised combining the several pipe | tube which has many gas supply ports.

또한, 본 발명의 다른 반도체 시료의 처리 장치는, 상기 가스 공급 수단이, 상기 가스 공급구와 상기 반도체 시료의 거리를 가변으로 제어하는 제어 수단을 구비한 것을 특징으로 한다.Moreover, the processing apparatus of the other semiconductor sample of this invention is characterized by the said gas supply means provided with the control means which variably controls the distance of the said gas supply port and the said semiconductor sample.

또한, 본 발명의 다른 반도체 시료의 처리 장치는, 상기 가스 공급 수단이, 상기 반도체 시료의 표면에 대응하여 분포가 조정된 다수의 가스 공급구를 갖는 것을 특징으로 한다.Moreover, the processing apparatus of the other semiconductor sample of this invention is characterized in that the said gas supply means has many gas supply ports with distribution adjusted according to the surface of the said semiconductor sample.

또, 본 발명의 반도체 시료의 처리 방법은, 처리조 내의 상부 전극과 하부 전극의 중간 위치에 플라즈마용 가스를 공급하여 반도체 시료를 처리하는 것을 특징으로 한다.Moreover, the processing method of the semiconductor sample of this invention is characterized by processing a semiconductor sample by supplying plasma gas to the intermediate position of the upper electrode and lower electrode in a processing tank.

또한, 본 발명의 다른 반도체 시료의 처리 방법은, 처리조의 상부 또는 측부에서 플라즈마용 가스를 도입함과 동시에, 상기 처리조 내의 상부 전극과 하부 전극의 중간 위치에 플라즈마용 가스를 공급하여 반도체 시료를 처리하는 것을 특징으로 한다.In addition, according to another method of processing a semiconductor sample of the present invention, the plasma sample is supplied from the upper portion or the side portion of the treatment vessel, and the plasma sample is supplied to the intermediate position between the upper electrode and the lower electrode in the treatment vessel. It is characterized by processing.

또한, 본 발명의 다른 반도체 시료의 처리 방법은, 상기 처리조의 상부 또는 측부에서 도입하는 플라즈마용 가스와, 상기 상부 전극과 하부 전극의 중간 위치에 공급하는 플라즈마용 가스를 다른 가스로 하는 것을 특징으로 한다.In another method of processing a semiconductor sample of the present invention, the plasma gas to be introduced into the upper or side portion of the processing tank and the plasma gas to be supplied to an intermediate position between the upper electrode and the lower electrode may be different gases. do.

실시 형태 1Embodiment 1

도1은, 본 발명의 일 실시 형태에 의한 에칭 장치의 개략적 구조를 도시하는 단면도이다.1 is a cross-sectional view showing a schematic structure of an etching apparatus according to an embodiment of the present invention.

도1에 도시된 바와 같이, 이 에칭 장치는, 챔버(10)(처리조)와, 이 챔버 하부에 설치된 하부 전극을 겸하는 하부 스테이지(11)와, 가스 공급구가 챔버 내에 상하 이동 가능하게 배치된 가스 공급부(12)와, 챔버 상부에 배치된 상부 전극(13)을 구비하고 있다. 하부 스테이지의 위에는 웨이퍼(15)(반도체 시료)가 놓여진다.As shown in Fig. 1, the etching apparatus includes a chamber 10 (treatment tank), a lower stage 11 serving as a lower electrode provided below the chamber, and a gas supply port so as to be movable up and down in the chamber. The provided gas supply part 12 and the upper electrode 13 arrange | positioned at the upper part of a chamber. On the lower stage, a wafer 15 (semiconductor sample) is placed.

또한, 하부 스테이지(11)의 하측에는, 제어부(14)가 배치되어 있다. 이 제어부(14)는, 가스 도입부(12)를 상하 이동시키는 기구를 갖추고 있는 것 외에 파워 도입 기구, 정전 처크 관계, 웨이퍼 승강 기구, 웨이퍼 온도 조절 기구 등을 포함하고 있다.In addition, the control unit 14 is disposed below the lower stage 11. The control unit 14 includes a mechanism for moving the gas introduction unit 12 up and down, and includes a power introduction mechanism, an electrostatic chuck relationship, a wafer lift mechanism, a wafer temperature control mechanism, and the like.

챔버(10)내에는 상부 전극(13), 혹은, 안테나로부터의 파워 도입에 의해 방전이 생기고, 플라즈마가 생성된다. 이 플라즈마 가스에 의해, 하부 스테이지(11)상에 적재된 웨이퍼(15)가 에칭된다.In the chamber 10, discharge is generated by the introduction of power from the upper electrode 13 or the antenna, and plasma is generated. The wafer 15 loaded on the lower stage 11 is etched by this plasma gas.

도2는, 도1에 있어서의 가스 도입부(12)의 구체적 구조를 설명하기 위한 사시도이다.FIG. 2 is a perspective view for explaining a specific structure of the gas introducing unit 12 in FIG.

가스 공급부(12)는, 중심으로부터 방사상에 배치되어 축이 되는 방사상 관(21), 링형의 환상관(22), 및 지지를 겸하는 세로관(23)으로 구성되고, 이들 복수의 관이 플라즈마용 가스를 도통시키도록 연통하고 있다.The gas supply part 12 is comprised from the radial tube 21 arrange | positioned radially from the center, and becomes a shaft, the ring-shaped annular tube 22, and the vertical tube 23 which serves as a support, and these several tubes are for plasmas. It communicates so as to conduct gas.

방사상관(21), 환상관(22) 및 세로관(23)의 수와 배치는 임의로 조정 가능하다. 다만, 인접하는 세로관(23)의 간격은 웨이퍼(15)를 삽입할 수 있도록 웨이퍼 직경 이상으로 한다. 웨이퍼(15)는, 반송 로보트에 의해 가스 공급부(15)의 바로 아래에 적재된다.The number and arrangement of the radial tube 21, the annular tube 22, and the vertical tube 23 can be arbitrarily adjusted. However, the space | interval of the adjacent vertical pipe 23 shall be more than the wafer diameter so that the wafer 15 can be inserted. The wafer 15 is loaded directly under the gas supply unit 15 by the transfer robot.

세로관(23)은, 제어부(14)의 액튜에이터에 이어져 있으며, 레시피로써 상하 이동이 가능하다.The vertical pipe 23 is connected to the actuator of the control part 14, and can move up and down as a recipe.

방사상관(21), 환상관(22) 및 세로관(23)은, 중공 형상의 파이프형 이며, 안을 프로세스 가스가 흐른다. 가스의 도입은 1개 혹은 여러개의 세로관(23)으로 행하고, 같이 가스의 배출도 1개 혹은 여러개의 세로관(23)으로 행한다.The radial tube 21, the annular tube 22, and the vertical tube 23 are hollow pipes, and process gas flows through them. The gas is introduced into one or more vertical pipes 23, and the gas is also discharged into one or more vertical pipes 23.

도3은, 방사상관(21) 또는 환상관(22)을 웨이퍼측으로부터 본 부분 확대도이다. 도면에 도시한 것같이, 이들 파이프의 웨이퍼측으로는, 가스의 분출 구멍(24)(가스 공급구)이 개방되고 있다. 구멍(24)의 수(밀도)는 임의로 조정 가능하고, 웨이퍼면에서의 에칭의 균일성을 향상시키기 위해서, 센터 부분과 엣지 부분으로 바꾸는 것도 가능하다. 또한 구멍(24)의 크기에 관해서도 센터, 엣지로 바꾸는 것이 가능하다. 더욱 구멍(24)의 방향에 관해서도 바로 아래 이외로 하방 경사 방향, 수평 방향 혹은 바로 위 방향(웨이퍼의 반대측, 상부 전극 방향에)으로 여는 것도 가능하다.3 is a partially enlarged view of the radial tube 21 or the annular tube 22 viewed from the wafer side. As shown in the figure, a gas blowing hole 24 (gas supply port) is opened on the wafer side of these pipes. The number (density) of the holes 24 can be arbitrarily adjusted, and can be changed to the center portion and the edge portion in order to improve the uniformity of etching on the wafer surface. Also, the size of the hole 24 can be changed to the center and the edge. In addition, the direction of the hole 24 can also be opened in the downward inclined direction, the horizontal direction, or the upper direction (on the opposite side of the wafer and in the upper electrode direction) in addition to just below.

이어서, 이 에칭 장치가 구체적인 구조와 사용의 형태에 관해서 설명한다. 일례로서, 예를 들면 상하 전극에 다른 주파수의 파워를 인가할 수 있는, 평행 평판 타입의(300㎜ 웨이퍼 대응 산화막 에칭 장치의 예를 들 수 있다.Next, this etching apparatus demonstrates a specific structure and the form of use. As an example, the example of the parallel plate type (300-mm wafer-compatible oxide film etching apparatus) which can apply the power of a different frequency to an up-and-down electrode is mentioned, for example.

에칭 조건의 일례는 다음과 같다.An example of etching conditions is as follows.

압력: 40mTorr,Pressure: 40mTorr,

가스 유량: C4F8=50sccm, Ar=300sccm, CO=200sccm, 02=30sccmGas flow rate: C4F8 = 50sccm, Ar = 300sccm, CO = 200sccm, 02 = 30sccm

파워: 상부 전극 3000W(13.56MHz), 하부 전극 1000W(400kHz)Power: Top electrode 3000 W (13.56 MHz), Bottom electrode 1000 W (400 kHz)

하부를 접지접지는 것과, 자장을 가하는 것도 가능It is possible to ground the lower part and to apply magnetic field

갭: 10cmGap: 10 cm

가스 공급 높이: 수밀리∼10cm로 가변Gas supply height: variable from several millimeters to 10 cm

상기의 조건으로 에칭하는 경우, 갭이 충분히 넓기 때문에, 압력의 균일성은 문제가 없고, 전극 부근이 높은 전자 온도의 플라즈마로부터 웨이퍼까지의 거리가 멀고 손상이나 전자 셰이딩의 걱정이 없다.In the case of etching under the above conditions, since the gap is sufficiently wide, the pressure uniformity is not a problem, and the distance from the plasma of the high electron temperature to the wafer is far from the electrode, and there is no worry of damage or electron shading.

에칭 대상물을 높은 레이트로 혹은 라디칼등의 뉴트럴에 대하여 이온(하전입자)를 늘린 조건으로 에칭을 행하고 싶은 경우는, 가스 공급부를 가장 높게, 혹은, 상부 전극의 위치에 설정한다. 이 경우 종래의 샤워 헤드에서의 에칭에 가까운 조건이 된다.When the etching target is to be etched at a high rate or under conditions in which ions (charged particles) are increased with respect to neutrals such as radicals, the gas supply part is set to the highest or the position of the upper electrode. In this case, it becomes a condition close to the etching in the conventional shower head.

이에 대해, (가) 센터에서 부착성이 지나치게 강한 것을 개선하거나, 엣지로 선택비가 저하되는 것을 저감시키는 등, 센터와 엣지의 불균일성을 개선하고 싶은 경우, 혹은, (나) 보다 해리가 진행되지 않는 가스로 에칭하는 것으로 대 레지스트나 대 SiN, Si와의 선택비를 향상시키고 싶은 경우에는, 가스 공급부의 높이를, 예를 들면 10mm로 설정한다.On the other hand, when (a) it is desired to improve the nonuniformity between the center and the edge, such as to improve the adhesion of the adhesive at the center too much or to reduce the decrease in the selection ratio at the edge, or (b) the dissociation does not proceed more. In order to improve the selection ratio of resist to resist, SiN, and Si by etching with gas, the height of the gas supply part is set to 10 mm, for example.

이상과 같이, 이 가스 공급부(12)는, 웨이퍼(15)의 바로 윗쪽에 석영(Quartz) 등의 내플라즈마성이 있는 재료로 이루어지며, 또한 플라즈마를 차폐하지 않는 샤워 헤드형으로 구성되어 있다.As described above, the gas supply part 12 is made of a plasma-resistant material such as quartz (Quartz) immediately above the wafer 15, and is configured as a shower head type that does not shield plasma.

이 가스 공급부(12)는 평판형이 아니라, 가스를 통과시키는 파이프로 이루어지기 때문에, 플라즈마중에 놓을 수 있고, 또 파이프에는 많은 구멍이 개방되어 있기 때문에, 웨이퍼에 균일하게 가스를 공급하는 것이 가능하다.Since this gas supply part 12 is not a flat plate but consists of a pipe which passes gas, it can be placed in a plasma, and since many holes are open in a pipe, it is possible to supply gas uniformly to a wafer. .

또한, 이 가스 공급부(12)는 레시피로써 상하 이동을 가능하게 하고,에칭하는 구조가 변한 경우라도, 레시피로 가스 공급부(12)를 최적의 높이로 설정 할 수 있기 때문에, 어떤 구조, 프로세스에 대하여도 균일한 에칭이 가능하다.In addition, since the gas supply part 12 can move up and down by a recipe, and even if the structure to be etched changes, the gas supply part 12 can be set to an optimal height with a recipe, Even etching is possible.

다음에, 이 에칭 장치의 동작·작용에 관해서 더욱 설명한다.Next, the operation and action of this etching apparatus will be further described.

일반적으로 웨이퍼의 센터에서는 부산물도 많고, 예를 들면 웨이퍼 엣지부에 비해 부착성이 강하다. 이 영향을 저감하기 위해서는 웨이퍼의 인접 부근으로부터 신선한 가스를 공급하는 것이 알맞은 방법이지만, 본 구조를 이용하는 것으로 실현된다.In general, there are many by-products in the center of the wafer, for example, the adhesion is stronger than the wafer edge portion. In order to reduce this effect, it is a suitable method to supply fresh gas from the vicinity of the wafer, but it is realized by using this structure.

즉, 웨이퍼 중심에 인접 부근으로부터 어느 정도 이상의 유속을 갖은 가스가 공급되는 것으로 부산물의 비율이 많은 부착성이 강한 성분을 갖는 가스를 추방하여, 신선한 가스로 대체하고, 웨이퍼 엣지와의 가스의 성분의 차이를 저감할 수 있다.That is, a gas having a certain flow rate or more is supplied to the wafer center from the vicinity of the wafer to expel a gas having a strong adhesion component with a large proportion of by-products, and replace it with a fresh gas, and replace the gas with the wafer edge. The difference can be reduced.

에칭 대상물의 구조에 따라서, 이 작용을 강하게 하고 싶은 경우에는 가스 공급부를 레시피로 웨이퍼에 가까이 하면 좋다.Depending on the structure of the etching target, when the action is to be strengthened, the gas supply unit may be close to the wafer by the recipe.

가스 공급부의 높이는, 가스의 해리의 정도에 크게 영향을 준다. 즉, 분출된 가스가 웨이퍼에 도달할 때 까지, 플라즈마속에서 저차의 분자나 라디칼, 이온에 분해되어 가지만, 웨이퍼로부터의 거리가 가까운 경우는 분해의 정도가 작다. 이러한 관점에서 각 구조에 대하여 최적의 높이를 설정한다.The height of the gas supply part greatly affects the degree of dissociation of the gas. That is, the gas is decomposed to lower molecules, radicals, and ions in the plasma until the ejected gas reaches the wafer, but the decomposition degree is small when the distance from the wafer is close. In view of this, an optimal height is set for each structure.

이상 설명한 바와 같이, 이 실시의 형태에 따르면 본 발명은, 챔버 내의 압력의 균일성을 확보하기 때문에, 갭을 넓게하는 것을 가능하게 하면서, 가스의 공급은, 가스 공급부에 의해서 웨이퍼 바로 윗쪽에서 행하기 때문에, 대구경화 대응의 에칭 장치에 있어서도, 균일한 프로세스를 확보할 수 있다.As described above, according to this embodiment, since the present invention ensures the uniformity of the pressure in the chamber, it is possible to widen the gap while supplying the gas directly above the wafer by the gas supply part. Therefore, even in the etching apparatus corresponding to large diameter, a uniform process can be ensured.

또한, 이 실시의 형태에 따르면, 하나 이상의 프로세스 예를 들면, 웨이퍼의 표면이 거의 레지스트에 덮어지고 있는 컨택트 구조와, 에칭 면적이 반 이상 있는 배선 구조의 양방을, 균일성을 확보하여 에칭할 수 있다.Further, according to this embodiment, one or more processes, for example, the contact structure of which the surface of the wafer is almost covered with the resist and the wiring structure of which the etching area is half or more can be etched while ensuring uniformity. have.

실시의 형태 2Embodiment 2

도4는, 본 발명의 다른 실시의 형태에 의한 에칭 장치의 개략적 구조를 도시하는 단면도이다.4 is a cross-sectional view showing a schematic structure of an etching apparatus according to another embodiment of the present invention.

이 실시의 형태는, 챔버(10)의 상부나 측벽에서 가스를 공급하는 종래의 에칭 장치에, 실시의 형태 1로 설명한 가스 공급부(12)와 그 제어 기구를 부가한 것이다. 도면 중의 부호는, 도1∼도3의 것과 동일한 것을 도시하기 때문에, 상세한 설명은 생략한다.This embodiment adds the gas supply part 12 and control mechanism which were demonstrated by Embodiment 1 to the conventional etching apparatus which supplies gas from the upper part and the side wall of the chamber 10. As shown in FIG. Reference numerals in the drawings show the same as those in Figs. 1 to 3, and thus detailed description thereof will be omitted.

이 에칭 장치에서는, 도면 부호 g로 도시한 바와 같이, 종래 대로 챔버(10)의 상부 혹은 측벽에서 가스를 공급한다. 또, 액튜에이터로 이어지고, 레시피로써 상하 이동이 가능한 가스 공급부(12)의 가스 공급구(23)로부터, 도면 부호 h로 도시한 바와 같이, 가스를 공급한다.In this etching apparatus, as shown by the reference numeral g, the gas is supplied from the upper side or the sidewall of the chamber 10 as conventionally. Moreover, as shown by the h, the gas is supplied from the gas supply port 23 of the gas supply part 12 which leads to an actuator and which can move up and down by a recipe.

이와 같이 두개의 방법으로 챔버(10) 내에 가스를 공급하도록 하면, 가스의 공급량과 그 분포 및 가스 속도 등의 제어의 가능성을 더욱 늘릴 수 있다.By supplying the gas into the chamber 10 in two ways as described above, the possibility of controlling the supply amount of the gas, its distribution, and the gas velocity can be further increased.

또한, 이 경우, 가스류(g)와 가스류(h)로 가스의 종류를 바꾸는 것도 가능하다.In this case, it is also possible to change the kind of gas by the gas flow g and the gas flow h.

예를 들면, 토탈 가스 유량: C4F8=50sccm, Ar=300sccm, CO=200sccm, 02=30sccm 중, 그다지 해리를 진행시키고 싶지 않은, C4F8은 가스류(h)로서 플라즈마중으로 흘려, 그 밖의 가스를 가스류(g)로서 챔버(10)의 상부로부터 흘리는 것도 가능하다.For example, among total gas flow rate: C4F8 = 50sccm, Ar = 300sccm, CO = 200sccm, 02 = 30sccm, C4F8, which does not want to dissociate very much, flows into the plasma as a gas flow h, and other gas is It is also possible to flow from the upper part of the chamber 10 as a flow g.

또한, 예를 들면, 가스 공급부(12)의 가스 공급구(23)로부터, 웨이퍼(15)의 바로 윗쪽의 플라즈마중 보다 예를 들면 선택비를 높일 목적으로 에칭에 관계하는 재료를 공급한다. 이것은, 가스 공급부 전체 혹은 웨이퍼 표면부의 소모 재료로 S1C, S1, SiN, C를 이용하는 경우 등이다.In addition, for example, the material related to etching is supplied from the gas supply port 23 of the gas supply part 12 in order to, for example, raise the selectivity from the plasma immediately above the wafer 15. This is the case of using S1C, S1, SiN, C as the consumption material of the entire gas supply part or the wafer surface part.

이상과 같이 ,이 실시의 형태에 있어서도, 동심원의 수개의 링 및 이들을 잇는 여러개의 파이프에 가스를 흘릴 수 있는 구조로 하고, 파이프에 개방된 다수의 구멍보다 가스를 웨이퍼에 분출되는 구멍을 설치한다.As mentioned above, also in this embodiment, it is set as the structure which can flow gas to several concentric rings and several pipes which connect these, and provides the hole which blows gas to a wafer rather than the many hole opened in the pipe. .

이에 따라, 플라즈마용 가스의 공급을 웨이퍼 인접 부근의 플라즈마 생성 영역에서 행하는, 즉 플라즈마 공간을 결정하는 상부 플레이트를 그대로 유지하면서 가스 공급부만을 웨이퍼에 가깝게 하는 것을 가능하게 한 것이다.This makes it possible to supply the gas for plasma in the plasma generation region near the wafer, i.e., to keep the gas supply portion close to the wafer while maintaining the upper plate which determines the plasma space.

또한, 이 가스 도입의 높이는 레시피로 가변으로 할 수 있고, 균일성 조정 등의 마진이 넓어진다. 또, 가스의 도입은 종래의 샤워 헤드 마찬가지로 넓은 영역의 다수의 구멍에서 공급하는 것이 가능하고, 웨이퍼의 인접 부근에 있기 때문에, 구멍의 수 등을 조정함으로써 웨이퍼의 중심과 엣지로 가스의 공급량을 최적화시키는 것이 용이하여 진다. 이에 따라 대구경 웨이퍼의 균일 에칭이 가능하게 된다. 재료에 관해서는 석영(Quartz)이 알맞지만, 이것을 C이나 Si, SiC로 함으로써 웨이퍼 바로 윗쪽에서 F 등의 스커벤지 효과를 갖게 하는 것도 가능해진다.In addition, the height of this gas introduction can be made variable by a recipe, and the margin of uniformity adjustment etc. becomes wider. In addition, the gas can be supplied from a large number of holes in a wide area as in the conventional shower head, and since the gas is in the vicinity of the wafer, the amount of gas is optimized to the center and the edge of the wafer by adjusting the number of holes. It is easy to make it. This enables uniform etching of large diameter wafers. As for the material, quartz (Quartz) is suitable, but by using this as C, Si, SiC, it is also possible to give a scarr effect such as F directly above the wafer.

이상 설명한 이 실시의 형태에 있어서도, 실시 형태 1과 동일한 효과를 발휘하는 것은 물론이다.Also in this embodiment described above, of course, the same effect as that of the first embodiment is obtained.

이상 설명한 바와 같이, 본 발명의 에칭 장치에 따르면, 다음과 같은 효과를 발휘한다.As described above, according to the etching apparatus of the present invention, the following effects are obtained.

(1) 웨이퍼내 에칭율의 균일성 향상을 꾀할 수 있다.(1) The uniformity of the etching rate in the wafer can be improved.

(2) 웨이퍼내 에칭 선택비의 균일성 향상을 꾀할 수 있다.(2) The uniformity of the etching selectivity in the wafer can be improved.

(3) 웨이퍼내 에칭형상의 균일성 향상을 꾀할 수 있다.(3) The uniformity of the etching shape in the wafer can be improved.

(4) 마이크로 로딩 효과의 저감을 꾀할 수 있다.(4) The micro loading effect can be reduced.

(5) 대 레지스트, 대 하지 선택비의 향상을 꾀할 수 있다.(5) Improved selection ratio of resist and resist.

(6) 차지업 손상의 저감을 꾀할 수 있다.(6) The charge up damage can be reduced.

Claims (8)

처리조 내에 상부 전극 및 하부 전극을 구비하고, 상기 양 전극 사이에 플라즈마를 발생하여 반도체 시료를 처리하는 처리 장치에 있어서, 상기 상부 전극과 하부 전극의 중간 위치에 플라즈마용 가스를 공급하는 가스 공급구를 갖는 가스 공급 수단을 갖춘 것을 특징으로 하는 반도체 시료의 처리 장치.A processing apparatus including an upper electrode and a lower electrode in a processing tank, and generating a plasma between the two electrodes to process a semiconductor sample, wherein the gas supply port supplies a gas for plasma to an intermediate position between the upper electrode and the lower electrode. An apparatus for processing a semiconductor sample, comprising: a gas supply means having a gas; 처리조 내에 상부 전극 및 하부 전극을 구비하고, 상기 양 전극 사이에 플라즈마를 발생하여 반도체 시료를 처리하는 처리 장치에 있어서, 상기 처리조의 상부 또는 측부에서 플라즈마용 가스를 도입하는 가스 도입 수단을 구비함과 동시에, 상기 상부 전극과 하부 전극의 중간 위치에 플라즈마용 가스를 공급하는 가스 공급구를 갖는 가스 공급 수단을 구비한 것을 특징으로 하는 반도체 시료의 처리 장치.A processing apparatus comprising an upper electrode and a lower electrode in a processing tank, and generating a plasma between the two electrodes to process a semiconductor sample, comprising: gas introduction means for introducing a gas for plasma from the upper side or the side of the processing tank And a gas supply means having a gas supply port for supplying a gas for plasma to an intermediate position between the upper electrode and the lower electrode. 제1항 또는 제2항에 있어서, 상기 가스 공급 수단은, 내부에 플라즈마용 가스를 유통시키고, 또한, 다수의 가스 공급구를 갖는 복수의 관을 조합시켜 구성된 것을 특징으로 하는 반도체 시료의 처리 장치.The semiconductor sample processing apparatus according to claim 1 or 2, wherein the gas supply means is configured by distributing a gas for plasma therein and combining a plurality of tubes having a plurality of gas supply ports. . 제1항 또는 제2항에 있어서, 상기 가스 공급 수단은, 상기 가스 공급구와 상기 반도체 시료의 거리를 가변으로 제어하는 제어 수단을 구비한 것을 특징으로 하는 반도체 시료의 처리 장치.The semiconductor sample processing apparatus according to claim 1 or 2, wherein the gas supply means includes control means for controlling the distance between the gas supply port and the semiconductor sample to be variable. 제1항 또는 제2항에 있어서, 상기 가스 공급 수단은, 상기 반도체 시료의 표면에 대응하여 분포가 조정된 다수의 가스 공급구를 구비한 것을 특징으로 하는 반도체 시료의 처리 장치.The semiconductor sample processing apparatus according to claim 1 or 2, wherein the gas supply means includes a plurality of gas supply ports whose distribution is adjusted in correspondence to the surface of the semiconductor sample. 처리조 내의 상부 전극과 하부 전극의 중간 위치에 플라즈마용 가스를 공급하고 반도체 시료를 처리하는 것을 특징으로 하는 반도체 시료의 처리 방법.A method for processing a semiconductor sample, comprising supplying a plasma gas to an intermediate position between an upper electrode and a lower electrode in the processing tank and processing the semiconductor sample. 처리조의 상부 또는 측부에서 플라즈마용 가스를 도입함과 동시에, 상기 처리조 내의 상부 전극과 하부 전극의 중간 위치에 플라즈마용 가스를 공급하여 반도체 시료를 처리하는 것을 특징으로 하는 반도체 시료의 처리 방법.A method for processing a semiconductor sample, comprising introducing a plasma gas from an upper portion or a side portion of a treatment tank and supplying a plasma gas to an intermediate position between an upper electrode and a lower electrode in the treatment tank. 상기 처리조의 상부 또는 측부에서 도입하는 플라즈마용 가스와, 상기 상부 전극과 하부 전극의 중간 위치에 공급하는 플라즈마용 가스를 다른 가스로 하는 것을 특징으로 하는 반도체 시료의 처리 방법.The plasma sample processing method introduced into the upper or side part of the said processing tank, and the plasma gas supplied to the intermediate position of the said upper electrode and a lower electrode are made into another gas, The semiconductor sample processing method characterized by the above-mentioned.
KR1020000015688A 1999-06-16 2000-03-28 Apparatus and method for processing semiconductor piece KR100716690B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP17029399A JP3411240B2 (en) 1999-06-16 1999-06-16 Apparatus and method for processing semiconductor sample
JP99-170293 1999-06-16

Publications (2)

Publication Number Publication Date
KR20010006881A true KR20010006881A (en) 2001-01-26
KR100716690B1 KR100716690B1 (en) 2007-05-09

Family

ID=15902285

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000015688A KR100716690B1 (en) 1999-06-16 2000-03-28 Apparatus and method for processing semiconductor piece

Country Status (2)

Country Link
JP (1) JP3411240B2 (en)
KR (1) KR100716690B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4638833B2 (en) * 2006-03-31 2011-02-23 三井造船株式会社 Plasma film forming apparatus and method for cleaning plasma film forming apparatus
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03203317A (en) * 1989-12-29 1991-09-05 Matsushita Electric Ind Co Ltd Plasma processor
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same

Also Published As

Publication number Publication date
JP2000357681A (en) 2000-12-26
KR100716690B1 (en) 2007-05-09
JP3411240B2 (en) 2003-05-26

Similar Documents

Publication Publication Date Title
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7879250B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
KR100774228B1 (en) Plasma processing system with dynamic gas distribution control
JP5580512B2 (en) Plasma confinement baffle and flow balancer for enhanced magnetic control of plasma radiation distribution
US7282454B2 (en) Switched uniformity control
JP5043288B2 (en) Adjustable multi-zone gas injection system
US8066895B2 (en) Method to control uniformity using tri-zone showerhead
EP1230666B1 (en) Plasma processing systems and method therefor
JP4970434B2 (en) Plasma reactor and method of using plasma reactor
KR101437522B1 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
US6433484B1 (en) Wafer area pressure control
CN107516626B (en) System and method for in-situ wafer edge and backside plasma cleaning
KR100716690B1 (en) Apparatus and method for processing semiconductor piece
JP2006344701A (en) Etching device and etching method
CN213583695U (en) Plasma processing device
CN114975064A (en) Hybrid plasma source array
JP4608827B2 (en) Plasma processing apparatus and plasma processing method
CN111095498B (en) Mounting table, substrate processing apparatus, and edge ring
CN114678270A (en) Inductively coupled plasma processing device and etching method thereof

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee