KR102639156B1 - Polishing compositions and methods of using same - Google Patents

Polishing compositions and methods of using same Download PDF

Info

Publication number
KR102639156B1
KR102639156B1 KR1020230017155A KR20230017155A KR102639156B1 KR 102639156 B1 KR102639156 B1 KR 102639156B1 KR 1020230017155 A KR1020230017155 A KR 1020230017155A KR 20230017155 A KR20230017155 A KR 20230017155A KR 102639156 B1 KR102639156 B1 KR 102639156B1
Authority
KR
South Korea
Prior art keywords
acid
group
polishing composition
removal rate
polishing
Prior art date
Application number
KR1020230017155A
Other languages
Korean (ko)
Other versions
KR20230022939A (en
Inventor
에릭 터너
압후다야 미쉬라
카를 바예스테로스
Original Assignee
후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. filed Critical 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Publication of KR20230022939A publication Critical patent/KR20230022939A/en
Priority to KR1020240022574A priority Critical patent/KR20240025577A/en
Application granted granted Critical
Publication of KR102639156B1 publication Critical patent/KR102639156B1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Abstract

본 발명은 적어도 하나의 연마제; 적어도 하나의 질화물 제거율 감소제, 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이다. 적어도 하나의 질화물 제거율 감소제는 C12 내지 C40 탄화수소기를 함유하는 소수성 부분; 및 설피나이트기(sulfinite group), 설페이트기, 설포네이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 함유하는 친수성 부분을 포함할 수 있고, 여기에서 소수성 부분과 친수성 부분은 0개 내지 10개의 알킬렌 산화물 기에 의해 분리된다. 연마 조성물은 약 2 내지 약 6.5의 pH를 가질 수 있다.The present invention provides at least one abrasive; at least one nitride removal rate reducer, acid or base; and a polishing composition comprising water. At least one nitride removal rate reducing agent includes a hydrophobic portion containing C 12 to C 40 hydrocarbon groups; and a hydrophilic portion containing at least one group selected from the group consisting of a sulfinite group, a sulfate group, a sulfonate group, a carboxylate group, a phosphate group, and a phosphonate group, wherein the hydrophilic portion contains The portion and the hydrophilic portion are separated by 0 to 10 alkylene oxide groups. The polishing composition may have a pH of about 2 to about 6.5.

Description

연마 조성물 및 이를 사용하는 방법{POLISHING COMPOSITIONS AND METHODS OF USING SAME}Polishing compositions and methods of using the same {POLISHING COMPOSITIONS AND METHODS OF USING SAME}

본 출원은 2018년 12월 19일자로 출원된 미국 가출원 일련번호 제62/781,648호에 대한 우선권을 주장하는, 2019년 3월 18일자로 출원된 미국 실용 특허출원 일련번호 제16/356,669호에 대한 우선권을 주장하며, 그 내용은 전체가 본원에 참조로 인용되어 있다.This application relates to U.S. Utility Patent Application Serial No. 16/356,669, filed March 18, 2019, which claims priority to U.S. Provisional Application Serial No. 62/781,648, filed December 19, 2018. Priority is claimed, the contents of which are incorporated herein by reference in their entirety.

반도체 산업은 공정 및 직접 혁신에 의한 장치의 추가 소형화로 인해 계속해서 칩 성능을 향상시키고 있다. 화학 기계적 연마/평탄화(Chemical Mechanical Polyshing/Planarization, CMP)는 트랜지스터 수준에서 다양하고 복잡한 집적 스킴(integration scheme)을 가능하게 하여 칩 밀도를 증가시키므로 강력한 기술이다.The semiconductor industry continues to improve chip performance due to further miniaturization of devices through process and direct innovations. Chemical mechanical polishing/planarization (CMP) is a powerful technology because it increases chip density by enabling diverse and complex integration schemes at the transistor level.

트랜지스터는 일반적으로 전공정(Front End of Line, FEOL) 트랜지스터 제작 단계에서 제조된다. FEOL 물질 스택(stack)은 전형으로 메탈 게이트(metal gate) 및 다수의 유전체(dielectric material)의 스택을 포함한다. 각 집적 회로에서 수십억 개의 활성 소자(active component)의 전기적인 소자분리(electrical isolation)가 FEOL의 목표이며, 쉘로우 트렌치 소자분리(shallow trench isolation, STI) 공정을 사용하여 이루어질 수 있다. 설명 목적으로 STI 공정의 일부가 도 1에 나타나 있다. 도 1에서 알 수 있는 바와 같이, STI CMP 공정 전에, 열적 산화규소와 SiN이 실리콘(예를 들어, 실리콘 웨이퍼)의 상단에 증착(도 1의 1(a))된 다음, 에칭(etching)되어 트렌치/소자분리 및 "활성(active)" 비-트렌치 영역(트랜지스터-함유 영역을 형성하기 위한 것임)(도 1의 1(b))을 생성할 수 있다. 그 후, 이들 트렌치/소자분리 영역은 활성 비-트렌치 영역이 트렌치 내의 산화규소에 의해서 소자분리될 수 있도록(도 1의 1(c)) 트렌치 내에 산화규소(예를 들어, TEOS)를 (예를 들어, 플라스마 강화 화학 증기 증착(plasma-enhanced chemical vapor deposition, PECVD)을 사용하여) 증착시킴으로써 충전될 수 있다. 그 후, 활성 비-트렌치 영역 위의 "과도한(overburden)/여분의(extra)" 산화규소는 쉘로우 트렌치에 산화규소는 유지시키면서(도 1의 1(d)) 선택적으로 제거될 수 있다. 산화규소의 선택적인 제거는 쉘로우 트렌치 소자분리(STI) 화학 기계적 연마/평탄화(CMP) 공정에 의해 이루어지고, 이 공정에서 질화규소(예를 들어, SiN)에 대한 산화규소의 높은 재료 제거율(material removal rate, MRR) 선택성(selectivity)을 갖는 (본 발명에 기술된 것과 같은) CMP 슬러리 조성물은 바람직하게는 질화규소(정지 층(stop-on layer))는 실질적으로 제거하지 않으면서 높은 비율로 산화규소를 제거하는데 사용된다. 상기 STI CMP 단계 후에, 에칭을 사용하여 규소를 노출시켜 소자분리를 완료하고, 활성 비-트렌치 영역에 형성된 인접한 트랜지스터가 서로 접촉하지 않도록 하여 전기 회로의 단락(shorting)을 방지할 수 있다.Transistors are generally manufactured at the front end of line (FEOL) transistor manufacturing stage. A FEOL material stack typically includes a metal gate and a stack of multiple dielectric materials. Electrical isolation of the billions of active components in each integrated circuit is the goal of FEOL and can be achieved using the shallow trench isolation (STI) process. For illustrative purposes, a portion of the STI process is shown in Figure 1. As can be seen in Figure 1, before the STI CMP process, thermal silicon oxide and SiN are deposited on top of silicon (e.g., a silicon wafer) (1(a) in Figure 1) and then etched. Trench/device isolation and an “active” non-trench region (to form a transistor-containing region) (1(b) in FIG. 1) can be created. Afterwards, these trench/isolation regions are formed by adding silicon oxide (e.g., TEOS) within the trench so that the active non-trench region can be isolated by the silicon oxide within the trench (1(c) in Figure 1). For example, it can be filled by deposition using plasma-enhanced chemical vapor deposition (PECVD). Thereafter, the “overburden/extra” silicon oxide over the active non-trench region can be selectively removed while retaining the silicon oxide in the shallow trench (1(d) in Figure 1). Selective removal of silicon oxide is achieved by the shallow trench isolation (STI) chemical mechanical polishing/planarization (CMP) process, which results in high material removal rates of silicon oxide relative to silicon nitride (e.g., SiN). CMP slurry compositions (such as those described herein) with selectivity (MRR) preferably contain a high percentage of silicon oxide without substantially removing silicon nitride (stop-on layer). It is used to remove After the STI CMP step, silicon is exposed using etching to complete device isolation, and adjacent transistors formed in the active non-trench region are prevented from contacting each other, thereby preventing shorting of the electric circuit.

STI에서 일반적으로 사용되는 유전체 필름(dielectric film)은 질화규소(예를 들어, SiN), 산화규소(예를 들어, TEOS: 테트라-에틸 오르쏘-실리케이트(tetra-ethyl ortho-silicate)), 폴리-실리콘(poly-silicon, P-Si), 질화탄소규소(silicon carbon nitride )(예를 들어, SiCN) 및 저-k(low-k)/초저-k(ultra-low-k) 유전체 필름(예를 들어, SiCOH)이다. 45 nm 칩 생산에서의 고-k 메탈 게이트 기술 및 22 nm 칩 생산에서의 FinFET 기술의 도입으로, SiN, TEOS, SiCN 및 P-Si 필름이 FEOL에서 더 많이 그리고 훨씬 더 많은 응용 분야에서 사용되기 시작하였다. 또한, 후공정(Back End of Line, BEOL)에서, 기존의 배리어(barrier) 물질(Ta/TaN; Ti/TiN)의 비저항(resistivity)은 진보된 10 nm 이하의 제조 노드(manufacturing node)에 대해 규모가 효과적으로 축소되지 않는 것으로 나타났기 때문에, 이러한 배리어 물질은 다양한 BEOL 재료 스택에 대해서 SiN, TEOS, SiCN, 및 P-Si와 같은 유전체로 대체될 수 있다. 따라서, FEOL과 BEOL 모두에 대하여, 이들 유전체 필름은 에칭 저지층(etch stop layer), 캡핑 물질(capping material), 스페이서 물질, 추가 라이너, 확산(diffusion)/패시베이션(passivation) 배리어, 하드 마스크 및/또는 정지 층으로서 사용될 수 있다.Dielectric films commonly used in STI include silicon nitride (e.g., SiN), silicon oxide (e.g., TEOS: tetra-ethyl ortho-silicate), poly- Silicon (poly-silicon, P-Si), silicon carbon nitride (e.g., SiCN), and low-k/ultra-low-k dielectric films (e.g. For example, SiCOH). With the introduction of high-k metal gate technology in 45 nm chip production and FinFET technology in 22 nm chip production, SiN, TEOS, SiCN, and P-Si films are starting to be used more in FEOL and in even more applications. did. Additionally, in back end of line (BEOL), the resistivity of existing barrier materials (Ta/TaN; Ti/TiN) is low for advanced 10 nm or less manufacturing nodes. Since they have not been shown to scale effectively, these barrier materials can be replaced by dielectrics such as SiN, TEOS, SiCN, and P-Si for various BEOL material stacks. Therefore, for both FEOL and BEOL, these dielectric films may be used as an etch stop layer, capping material, spacer material, additional liner, diffusion/passivation barrier, hard mask and/or Or it can be used as a stop layer.

일반적으로, 유전체 필름은 첨단 반도체 제조에서 훨씬 더 많이 사용되고 있다. CMP의 관점에서, 유전체를 통합하는 이들 집적화의 대부분은 SiN을 제거할 수 있지만 TEOS/P-Si는 제거(정지)할 수 없는 슬러리, 또는 TEOS/p-Si를 제거할 수 있지만 SiN은 제거(정지)할 수 없는 슬러리와 같은, 이들 필름상에서 작용/연마 및/또는 정지할 수 있는 연마 조성물(슬러리)을 필요로 한다.In general, dielectric films are becoming increasingly used in advanced semiconductor manufacturing. From a CMP perspective, most of these integrations incorporating dielectrics are slurries that can remove SiN but not TEOS/P-Si, or slurries that can remove TEOS/p-Si but not SiN ( There is a need for a polishing composition (slurry) that can act/polish and/or stop on these films, such as slurries that cannot stop.

본 발명은 질화규소 및 SiCN(질화탄소규소)과 같은 관련 규소 및 질소계 필름상에서 매우 낮은 연마/제거율을 달성하면서, 다양한 재료(예를 들어, 산화규소와 같은 산화물)를 선택적으로 연마할 수 있는 안정한 수성 슬러리에 관한 것이다. 예를 들어, 연마 조성물은 비교적 높은 재료 제거율(MRR)로 산화규소(예를 들어, SiO2)를 연마할 수 있고, 매우 낮은 비율로 질화규소(예를 들어, SiN) 또는 관련 필름을 정지시키거나 연마할 수 있다. 예를 들어, 본원에 기술된 연마 조성물에 의해 제거될 수 있는 산화규소는 TEOS, 열적 산화물(thermal oxide, TOX)(예를 들어, 베어 규소(bare silicon)의 오토클레이브 유도성 산화에 의해 야기됨), 플라스마 강화 PVD 증착(예를 들어, 고밀도 플라스마 또는 고 종횡비(aspect ratio) 플라스마)에 의해 형성된 산화규소, 후 플라스마 표면 경화(post plasma surface cure)를 이용한 CVD 증착에 의해 형성된 산화규소, 탄소 도핑된 산화규소(SiOC) 및 산화물 전구체(oxide precursor)의 액상 도포에 이은 빛 또는 열 유도성 경화에 의해 형성된 산화규소로부터 선택되는 산화규소를 포함한다. 일부 예에서, 높은 MRR로 제거될 대상(target) 필름은 산화규소 유전체보다는 금속 또는 금속 산화물 또는 금속 질화물일 수 있다. 금속, 금속 산화물 및 금속 질화물의 일반적인 예는 금속에 대해서는 구리, 코발트, 루테늄, 알루미늄, 티타늄, 텅스텐 및 탄탈럼; 금속 산화물에 대해서는 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 지르코늄 산화물 및 탄탈럼 산화물; 및 루테늄, 알루미늄, 티타늄, 텅스텐 및 탄탈럼의 질화물을 포함한다. 이와 같은 경우에, 정지(stop-on)/저 제거율 필름은 여전히 질화규소 필름일 수 있으므로, 본 발명으로부터의 질화물 제거율 감소제(removal rate reducing agent)를 함유하는 연마 조성물을 사용하여 원하는 선택성을 달성할 수 있다.The present invention provides a stable material that can selectively polish a variety of materials (e.g., oxides such as silicon oxide) while achieving very low polishing/removal rates on silicon nitride and related silicon and nitrogen-based films such as SiCN (silicon carbon nitride). It concerns aqueous slurries. For example, the polishing composition can polish silicon oxide (e.g., SiO 2 ) at a relatively high material removal rate (MRR) and suspend silicon nitride (e.g., SiN) or related films at very low rates. It can be polished. For example, silicon oxides that can be removed by the polishing compositions described herein include TEOS, thermal oxide (TOX) (e.g., caused by autoclave induced oxidation of bare silicon) ), silicon oxide formed by plasma enhanced PVD deposition (e.g. high density plasma or high aspect ratio plasma), silicon oxide formed by CVD deposition with post plasma surface cure, carbon doped silicon oxide (SiOC) and silicon oxide formed by liquid application of an oxide precursor followed by light or heat induced curing. In some examples, the target film to be removed with high MRR may be a metal or metal oxide or metal nitride rather than a silicon oxide dielectric. Common examples of metals, metal oxides, and metal nitrides include copper, cobalt, ruthenium, aluminum, titanium, tungsten, and tantalum for metals; For metal oxides, there are hafnium oxide, titanium oxide, aluminum oxide, zirconium oxide and tantalum oxide; and nitrides of ruthenium, aluminum, titanium, tungsten and tantalum. In such cases, the stop-on/low removal rate film may still be a silicon nitride film, so that the desired selectivity can be achieved using a polishing composition containing a nitride removal rate reducing agent from the present invention. You can.

보다 구체적으로, 본 발명은 연마제(abrasive), 질화물 제거율 감소제, 산 또는 염기, 물, 및 선택적으로, 디싱 감소제(예를 들어, 음이온성 디싱제(anionic dishing agent))를 포함하는 연마 조성물에 관한 것이다. 본원에 기술된 연마 조성물의 pH는 2 내지 6.5의 범위, 보다 구체적으로는 2 내지 4.5의 범위일 수 있다. 본 발명의 조성물은 또한 성능의 임의의 저하(deterioration) 없이 연마 조성물을 형성하기 위해 (예를 들어, 사용 시점에서) 희석될 수 있다. 본 발명은 또한 전술한 연마 조성물을 사용하여 반도체 기판을 연마하는 방법을 논의한다.More specifically, the present invention provides a polishing composition comprising an abrasive, a nitride removal rate reducer, an acid or base, water, and optionally a dishing reducer (e.g., an anionic dishing agent). It's about. The pH of the polishing composition described herein can range from 2 to 6.5, more specifically from 2 to 4.5. The compositions of the present invention may also be diluted (e.g., at the point of use) to form a polishing composition without any deterioration in performance. The present invention also discusses methods of polishing semiconductor substrates using the polishing compositions described above.

일 양상에서, 본원에서 개시된 구현예는 적어도 하나의 연마제, 적어도 하나의 질화물 제거율 감소제, 산 또는 염기 및 물을 포함하는 연마 조성물에 관한 것이다. 질화물 제거율 감소제는 C12 내지 C40 탄화수소기를 함유하는 소수성 부분; 및 설피나이트기(sulfinite group), 설페이트기, 설포네이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 함유하는 친수성 부분을 포함하고, 여기에서 소수성 부분과 친수성 부분은 0개 내지 10개의 알킬렌 산화물 기로 분리된다. 연마 조성물은 약 2 내지 약 6.5의 pH를 갖는다.In one aspect, embodiments disclosed herein relate to a polishing composition comprising at least one abrasive, at least one nitride removal rate reducer, an acid or base, and water. The nitride removal rate reducing agent includes a hydrophobic portion containing a C 12 to C 40 hydrocarbon group; and a hydrophilic portion containing at least one group selected from the group consisting of a sulfinite group, a sulfate group, a sulfonate group, a carboxylate group, a phosphate group, and a phosphonate group, wherein the hydrophobic portion and The hydrophilic portion is separated by 0 to 10 alkylene oxide groups. The polishing composition has a pH of about 2 to about 6.5.

다른 양상에서, 본원에 개시된 구현예는 적어도 하나의 연마제; 소수성 부분과 친수성 부분을 포함하는 적어도 하나의 질화물 제거율 감소제; 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이고; 여기에서 연마 조성물은 약 2 내지 약 6.5의 pH를 갖고; 연마 조성물은 적어도 질화규소 패턴을 포함하는 패턴화된 웨이퍼를 연마하는 동안 적어도 약 3:1의 질화규소에 대한 제거율에 대한 산화규소에 대한 제거율의 비를 가지며, 질화규소 패턴은 적어도 산화규소(및 선택적으로 금속 또는 유전체와 같은 다른 재료)로 오버레이된다.In another aspect, embodiments disclosed herein include at least one abrasive; at least one nitride removal rate reducing agent comprising a hydrophobic portion and a hydrophilic portion; acid or base; and water; wherein the polishing composition has a pH of about 2 to about 6.5; The polishing composition has a removal rate ratio for silicon oxide to a removal rate for silicon nitride of at least about 3:1 while polishing a patterned wafer comprising at least a silicon nitride pattern, wherein the silicon nitride pattern includes at least silicon oxide (and optionally metal or other materials such as dielectrics).

또 다른 양상에서, 본원에 개시된 구현예는 적어도 하나의 연마제; 소수성 부분과 친수성 부분을 포함하는 적어도 하나의 질화물 제거율 감소제; 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이고; 여기에서 연마 조성물은 약 2 내지 약 6.5의 pH를 갖고; 여기에서 연마 조성물을 사용하여 적어도 산화규소로 오버레이 된 적어도 질화규소 패턴을 포함하는 패턴화된 웨이퍼 연마시 약 1000 옹스트롬(angstrom) 미만의 산화규소 디싱이 발생하고, 연마는 패턴화된 웨이퍼 상에 질화규소 패턴을 노출시킨다.In another aspect, embodiments disclosed herein include at least one abrasive; at least one nitride removal rate reducing agent comprising a hydrophobic portion and a hydrophilic portion; acid or base; and water; wherein the polishing composition has a pH of about 2 to about 6.5; wherein polishing a patterned wafer comprising at least a silicon nitride pattern overlaid with at least silicon oxide using the polishing composition results in less than about 1000 angstroms of silicon oxide dishing, wherein the polishing produces a silicon nitride pattern on the patterned wafer. exposes.

또 다른 양상에서, 본원에 개시된 구현예는 적어도 하나의 연마제; 소수성 부분과 친수성 부분을 포함하는 적어도 하나의 질화물 제거율 감소제; 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이고; 여기에서 연마 조성물은 약 2 내지 약 6.5의 pH를 갖고; 여기에서 연마 조성물을 사용하여 적어도 산화규소로 오버레이된 적어도 질화규소 패턴을 포함하는 패턴화된 웨이퍼 연마시 약 500 옹스트롬 미만의 질화규소 부식(erosion)이 발생하고, 연마는 패턴화된 웨이퍼 상에 질화규소 패턴을 노출시킨다.In another aspect, embodiments disclosed herein include at least one abrasive; at least one nitride removal rate reducing agent comprising a hydrophobic portion and a hydrophilic portion; acid or base; and water; wherein the polishing composition has a pH of about 2 to about 6.5; Herein, when polishing a patterned wafer comprising at least a silicon nitride pattern overlaid with at least silicon oxide using the polishing composition, less than about 500 angstroms of silicon nitride erosion occurs, and the polishing produces a silicon nitride pattern on the patterned wafer. expose.

또 다른 양상에서, 본원에 개시된 구현예는 기판의 표면상에 적어도 질화규소 및 적어도 산화규소를 갖는 기판에 본원에 기술된 연마 조성물을 도포하는 단계; 및 패드를 기판의 표면과 접촉시키고 기판에 대해 패드를 이동시키는 단계를 포함하는 방법에 관한 것이다.In another aspect, embodiments disclosed herein include applying a polishing composition described herein to a substrate having at least silicon nitride and at least silicon oxide on a surface of the substrate; and contacting the pad with the surface of the substrate and moving the pad relative to the substrate.

동일한 조성물에서 연마제, 질화물 RR 감소제, 및 선택적인 디싱 감소제의 상승적(synergistic) 사용은 현재 이용 가능한 슬러리에서는 발견되지 않는 독특한 이점을 제공한다. 그 중에서도, 이들 이점은 다음을 포함한다:The synergistic use of an abrasive, a nitride RR reducer, and an optional dishing reducer in the same composition provides unique advantages not found in currently available slurries. Among others, these advantages include:

1. 본원에 기술된 조성물은 매우 낮은 질화규소(예를 들어, SiN) 제거율을 달성할 수 있다. 우수한 질화규소 보호는 질화규소 제거율 감소제의 현명한 선택과 제형화(formulation)/로딩(loading)을 통해 이루어질 수 있다. 또한, 낮은 질화규소 제거율은 본 발명에서 입증된 바와 같이, 블랭킷 웨이퍼(blanket wafer)(즉, 질화규소 필름만을 함유하는 웨이퍼)와 패턴화된 웨이퍼(즉, 질화규소 필름 및 패턴으로 에칭된 다른 필름, 예를 들어 TEOS를 함유하는 웨이퍼) 양쪽 모두에서 관찰된다.1. The compositions described herein can achieve very low silicon nitride (e.g., SiN) removal rates. Excellent silicon nitride protection can be achieved through wise selection and formulation/loading of silicon nitride removal rate reducers. Additionally, low silicon nitride removal rates can be achieved in blanket wafers (i.e. wafers containing only silicon nitride films) and patterned wafers (i.e. silicon nitride films and other films etched with a pattern, e.g. For wafers containing TEOS), it is observed on both sides.

2. 매우 낮은 질화규소 제거율은 패턴화된 웨이퍼 상에서 최소의 질화규소 손실을 얻어 매우 낮은 질화규소 연마 후 부식을 가능하게 한다.2. Very low silicon nitride removal rates achieve minimal silicon nitride loss on the patterned wafer, resulting in very low silicon nitride post-polish corrosion.

3. 조성물은 낮은 산화규소 디싱/단차(step height)를 달성할 수 있다. 디싱 성능은 디싱 감소제의 현명한 선택과 로딩/농도로 조정될 수 있다.3. The composition can achieve low silicon oxide dishing/step height. Dishing performance can be tuned by judicious selection and loading/concentration of dishing reducer.

4. 조성물은 다양한 연마제와 상용성이 있다. 입자 수정을 통해, 연마제의 제타 전위(zeta potential)는 대상 필름의 제거율을 추가로 조절하도록 조정될 수 있다. 음이온성, 양이온성 및 중성 연마제는 모두 보다 높은 산화규소 제거율 및 비교적 낮은 질화규소 제거율을 갖는 안정한 슬러리를 형성할 수 있다.4. The composition is compatible with various abrasives. Through particle modification, the zeta potential of the abrasive can be adjusted to further control the removal rate of the target film. Anionic, cationic and neutral abrasives can all form stable slurries with higher silicon oxide removal rates and relatively lower silicon nitride removal rates.

5. 조성물은 연마제로서 고순도 콜로이드성 실리카를 갖는 안정한 슬러리를 형성할 수 있다. 이는 통상적으로 사용되는 세리아(ceria) 연마제(일반적으로 연마된 웨이퍼 상에 많은 양의 결함을 생성함)로 연마된 웨이퍼와 비교할 때, 미량의 금속 계수(trace metal count)와 낮은 대형 입자 계수(large particle count)를 갖는 슬러리의 생성을 가능하게 하여, 연마된 웨이퍼 상에서 결함을 감소시킨다. 또한, 본원에 기술된 조성물은 높은 질화규소 제거율 및 산화규소와 질화규소 간의 낮은 제거 선택성과 같은 기존의 실리카계 STI CMP 조성물의 특정 단점을 극복할 수 있다.5. The composition can form a stable slurry with high purity colloidal silica as an abrasive. This results in a lower trace metal count and lower large particle count compared to wafers polished with the commonly used ceria abrasive (which typically produces a large amount of defects on the polished wafer). enables the creation of a slurry with a higher particle count, thereby reducing defects on the polished wafer. Additionally, the compositions described herein can overcome certain disadvantages of existing silica-based STI CMP compositions, such as high silicon nitride removal rates and low removal selectivity between silicon oxide and silicon nitride.

6. 조성물은 다양한 연마 조건에 걸쳐 낮은 질화물 제거율을 생성한다. 예를 들어, 질화규소 제거율은 경질 연마 패드(polish pads)(예를 들어, 폴리우레탄계 패드)와 연질 연마 패드(예를 들어, 다공성 및 저 쇼어(shore) D 경도 값 패드) 양쪽 모두에서 낮게 유지된다. 또한, 다운포스(downforce) 및 속도는 질화규소 제거율에 상당한 영향을 미치지 않는 것으로 관찰되었으며, 이는 정지(stop-on) 필름 거동이 비-프레스토니안(non-prestonian)이기 때문에 갖는 양호한 CMP 속성이다. 본 발명의 조성물이 압력 및 속도의 함수로서 제거율에서 거의 변화를 나타내지 않는다는 사실은 패턴화된 웨이퍼 연마 후에 매우 양호한 토포그래피(topography) 및 높은 수율을 가져온다. 당해 분야의 언어에서, 본 발명의 조성물은 낮은 값의 질화규소 부식/손실과 함께 산화규소 디싱 및 단차에 대한 낮은 값을 유도한다.6. The composition produces low nitride removal rates over a variety of polishing conditions. For example, silicon nitride removal rates remain low for both hard polish pads (e.g., polyurethane-based pads) and soft polish pads (e.g., porous and low shore D hardness value pads). . Additionally, downforce and speed were observed to have no significant effect on silicon nitride removal rate, which is a good CMP property to have since the stop-on film behavior is non-Prestonian. The fact that the compositions of the present invention show little change in removal rate as a function of pressure and speed results in very good topography and high yields after patterned wafer polishing. In the language of the art, the compositions of the present invention lead to low values for silicon oxide dishing and stepping along with low values for silicon nitride corrosion/loss.

본 발명에서 논의된 연마 조성물 및 농축액은 현재 세대의 집적 회로 기판에 성능의 유지를 제공하는 동시에 현재의 이용 가능한 현대의 슬러리와 대비되는 반면, 동시에 차세대 기판 및 집적 스킴에 대한 뚜렷한 이점을 나타낸다. 본 발명의 조성물은 질화규소 층을 제거하는 것보다 매우 높은 선택성으로 다양한 금속 및 유전체 층을 성공적이고 효율적으로 제거할 수 있다. 조성물은 쉘로우 트렌치 소자분리(STI) 공정, 자체 정렬(self-aligned) 접촉 공정 또는 매우 낮은 질화규소 재료 제거율이 요구되는 다른 공정에 대해서 사용될 수 있다.The polishing compositions and concentrates discussed herein provide maintenance of performance for current generation integrated circuit boards while contrasting with currently available modern slurries, while at the same time exhibiting distinct advantages for next generation substrates and integration schemes. The compositions of the present invention can successfully and efficiently remove a variety of metal and dielectric layers with much higher selectivity than those that remove silicon nitride layers. The composition can be used for shallow trench isolation (STI) processes, self-aligned contact processes, or other processes where very low silicon nitride material removal rates are required.

도 1은 반도체 제조에서 쉘로우 트렌치 소자분리(STI) 공정(STI CMP를 포함함)에서의 공정 흐름의 개략도이다. 도 1(a)는 쉘로우 트렌치 소자분리(STI) 화학 기계적 평탄화(CMP) 전에 열적 산화규소(TOX)와 질화규소(SiN)가 규소(Si)의 상단에 증착되는 것을 도시한다. 활성 영역을 생성하기 위해 에칭이 뒤따른다. 도 1(b)는 TOX와 SiN에 의해 덮인 규소의 활성 영역을 남기고 트렌치가 생성된 것을 도시한다. 이것은 그 다음에 유전체 - 일반적으로 PE-CVD 산화규소(SiO2)로 채워진다. 도 1(c)는 활성 영역이 쉘로우 트렌치에서 실리카 유전체에 의해 분리된 것을 도시한다. STI를 완료하기 위해, SiO2는 쉘로우 트렌치 내에 SiO2를 유지하면서 활성 영역으로부터 선택적으로 제거된다. 이것은 이 발명의 주제인 STI CMP에 의해 행하여질 수 있고, 여기에서 SiO2는 높은 비율로 제거되고 SiN(정지 층)은 제거되지 않는다. 도 1(d)는 에칭이 SiN을 제거하고 규소를 노출시켜 STI를 완료하는데 사용될 수 있음을 보여준다. 규소의 활성 영역은 일단 게이트, 금속 배선(metal wiring) 및 디바이스 제조가 완료되면 트랜지스터가 될 것이다.
도 2는 연마 전의 STI 패턴화된 웨이퍼 필름 스택의 개략도이다.
도 3은 본 발명에 따른 실리카계 연마 조성물을 사용하여 STI CMP 후 전체적인 결함을 나타내는 웨이퍼 맵(wafer map)이다.
도 4는 상업용 세리아 연마제 함유 조성물을 사용하여 STI CMP 후 전체적인 결함을 나타내는 웨이퍼 맵이다.
1 is a schematic diagram of the process flow in a shallow trench isolation (STI) process (including STI CMP) in semiconductor manufacturing. Figure 1(a) shows thermal silicon oxide (TOX) and silicon nitride (SiN) being deposited on top of silicon (Si) prior to shallow trench isolation (STI) chemical mechanical planarization (CMP). This is followed by etching to create the active area. Figure 1(b) shows a trench created leaving an active area of silicon covered by TOX and SiN. This is then filled with a dielectric - typically PE-CVD silicon oxide (SiO 2 ). Figure 1(c) shows the active regions separated by a silica dielectric in a shallow trench. To complete STI, SiO 2 is selectively removed from the active region while retaining SiO 2 within the shallow trench. This can be done by STI CMP, which is the subject of this invention, in which SiO 2 is removed at a high rate and SiN (stop layer) is not removed. Figure 1(d) shows that etching can be used to complete STI by removing SiN and exposing silicon. The active area of silicon will become the transistor once the gate, metal wiring and device fabrication are complete.
Figure 2 is a schematic diagram of an STI patterned wafer film stack before polishing.
Figure 3 is a wafer map showing overall defects after STI CMP using a silica-based polishing composition according to the present invention.
Figure 4 is a wafer map showing overall defects after STI CMP using a commercial ceria abrasive containing composition.

본 발명은 연마 조성물 및 이를 사용하여 반도체 기판을 연마하는 방법에 관한 것이다. 일부 구현예에서, 이 발명은 질화규소 표면 위의 산화규소 표면을 선택적으로 연마하는 것에 관한 것이다. 질화규소 위의 산화규소를 선택적으로 연마하는 것은 반도체 제조에서 중요한 공정이고, 쉘로우 트렌치 소자분리(STI) 공정 동안 일반적으로 수행된다. 통상적으로, STI 연마 조성물(슬러리)은 실리카 연마제를 사용하는 조성물이 적절하게 수행되지 않았기 때문에(예를 들어, 높은 질화규소 제거율) STI 공정에서 요구되는 연마 성능(예를 들어, 선택성)을 달성하기 위해 세리아 연마제를 사용한다. 그러나 세리아 연마제는 이들의 "무기 경질(inorganic hard)" 성질로 인해 연마 조성물에 사용될 때 높은 비율의 결함과 스크래치를 제공하는 것으로 알려져 있다. 또한, 세리아계 연마 조성물은 실리카계 연마 조성물보다 더 짧은 저장 수명(예를 들어, 더 낮은 저장 능력, 더 낮은 사용 가능 기간 및 더 짧은 유통 기한), 더 짧은 포트 수명(pot life)(예를 들어, 용기 개봉 후 및/또는 저장 탱크 또는 분배 루프에서의 활성)을 나타내고, 세리아는 실리카보다 가격 변동성이 크다. 또한, 세리아는 희토류 금속을 포함하며 실리카보다 더 비싸다. 이 발명에 따른 조성물은 STI 슬러리에 대해서 세리아 연마제보다 더 연질인 실리카 연마제의 사용을 가능하게 한다. 실리카 함유 연마 조성물은 질화규소(예를 들어, SiN)에 대한 산화규소(예를 들어, TEOS)의 재료 제거율(MRR)에서 매우 양호한 선택성을 제공할 수 있을 뿐만 아니라, 세리아 연마제를 사용하는 STI 공정과 비교하여 매우 낮은 결함을 갖는 연마된 웨이퍼 표면을 또한 제공한다. 따라서, 본 출원에 따른 연마 조성물은 세리아 연마제를 사용하는 통상적인 연마 조성물과 비교하여 웨이퍼의 디바이스 수율(device yield)을 증가시킬 수 있다.The present invention relates to a polishing composition and a method of polishing a semiconductor substrate using the same. In some embodiments, this invention relates to selectively polishing a silicon oxide surface over a silicon nitride surface. Selectively polishing silicon oxide on silicon nitride is an important process in semiconductor manufacturing and is commonly performed during shallow trench isolation (STI) processing. Typically, STI polishing compositions (slurry) are used to achieve the polishing performance (e.g., selectivity) required in the STI process because compositions using silica abrasives do not perform adequately (e.g., high silicon nitride removal rates). Use ceria abrasive. However, ceria abrasives are known to provide a high rate of defects and scratches when used in polishing compositions due to their “inorganic hard” nature. Additionally, ceria-based polishing compositions have a shorter shelf life (e.g., lower storage capacity, lower useful life, and shorter shelf life) and shorter pot life (e.g., lower shelf life) than silica-based polishing compositions. , activity after opening the container and/or in the storage tank or distribution loop), and ceria has greater price volatility than silica. Additionally, ceria contains rare earth metals and is more expensive than silica. The composition according to the invention allows the use of silica abrasives, which are softer than ceria abrasives, for STI slurries. Silica-containing polishing compositions can provide very good selectivity in material removal rate (MRR) of silicon oxide (e.g., TEOS) over silicon nitride (e.g., SiN), as well as STI processes using ceria abrasives. It also provides a polished wafer surface with comparatively very low defects. Accordingly, the polishing composition according to the present application can increase the device yield of wafers compared to a conventional polishing composition using a ceria abrasive.

본원에 기술된 연마 조성물은 (a) 연마제, (b) 질화물 제거율 감소제, (c) 산 또는 염기, (d) 물 및 선택적으로 (e) 디싱 감소제(예를 들어, 음이온성 디싱 감소제)를 포함할 수 있다. 연마 조성물은 적어도 약 2 내지 최대 약 6.5의 pH를 가질 수 있다. 본 발명의 연마 조성물은 질화규소를 연마하는 것에 대해 유전체 또는 금속을 연마하는 것에 대한 높은 선택성을 가질 수 있다. 본 발명은 또한 반도체 기판을 연마하기 위해 연마 조성물을 사용하는 방법을 제공한다. 특히, 본 발명은 질화규소에 대해 높은 선택성을 갖는 유전체 또는 금속을 연마하는 방법을 제공한다.The polishing composition described herein comprises (a) an abrasive, (b) a nitride removal rate reducer, (c) an acid or base, (d) water, and optionally (e) a dishing reducer (e.g., an anionic dishing reducer). ) may include. The polishing composition may have a pH of at least about 2 and up to about 6.5. The polishing compositions of the present invention can have high selectivity for polishing dielectrics or metals relative to polishing silicon nitride. The present invention also provides a method of using a polishing composition to polish a semiconductor substrate. In particular, the present invention provides a method for polishing dielectrics or metals with high selectivity for silicon nitride.

하나 이상의 구현예에서, 적어도 하나(예를 들어, 두 개 또는 세 개)의 연마제는 양이온성 연마제, 실질적으로 중성인 연마제 및 음이온성 연마제로부터 선택된다. 하나 이상의 구현예에서, 적어도 하나의 연마제는 알루미나, 실리카, 티타니아, 세리아, 지르코니아, 이들의 공동 형성된 생성물(co-formed products), 코팅된 연마제, 표면 변성 연마제 및 이들의 혼합물로 이루어지는 군으로부터 선택된다. 일부 구현예에서, 적어도 하나의 연마제는 세리아를 포함하지 않는다.In one or more embodiments, the at least one (e.g., two or three) abrasives are selected from cationic abrasives, substantially neutral abrasives, and anionic abrasives. In one or more embodiments, the at least one abrasive is selected from the group consisting of alumina, silica, titania, ceria, zirconia, co-formed products thereof, coated abrasives, surface modified abrasives, and mixtures thereof. . In some embodiments, the at least one abrasive does not include ceria.

하나 이상의 구현예에서, 연마제는 콜로이드 실리카, 흄드 실리카(fumed silica) 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것과 같은 실리카계 연마제이다. 하나 이상의 구현예에서, 연마제는 유기 그룹 및/또는 비콜로이드성(non-siliceous) 무기 그룹으로 변성된 표면을 갖는다. 예를 들어, 양이온성 연마제는 식 (I)의 말단 그룹을 포함할 수 있다:In one or more embodiments, the abrasive is a silica-based abrasive, such as selected from the group consisting of colloidal silica, fumed silica, and mixtures thereof. In one or more embodiments, the abrasive has a surface modified with organic groups and/or non-siliceous inorganic groups. For example, a cationic abrasive may include an end group of formula (I):

-Om-X-(CH2)n-Y (I),-O m -X-(CH 2 ) n -Y (I),

여기에서, m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Al, Si, Ti 또는 Zr이고; 그리고 Y는 양이온성 아미노 또는 티올 그룹이다. 다른 예로서, 음이온성 연마제는 식 (I)의 말단 그룹을 포함할 수 있다:Here, m is an integer from 1 to 3; n is an integer from 1 to 10; X is Al, Si, Ti or Zr; and Y is a cationic amino or thiol group. As another example, anionic abrasives may include terminal groups of formula (I):

-Om-X-(CH2)n-Y (I),-O m -X-(CH 2 ) n -Y (I),

여기에서, m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Al, Si, Ti 또는 Zr이고; 그리고 Y는 산성 그룹이다. 일부 구현예에서, 적어도 하나의 연마제는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.05 중량%(예를 들어, 적어도 약 0.1 중량%, 적어도 약 0.5 중량%, 적어도 약 1 중량%, 적어도 약 2 중량%, 적어도 약 3 중량% 또는 적어도 약 5 중량%) 내지 최대 약 20 중량%(예를 들어, 최대 약 15 중량%, 최대 약 10 중량%, 최대 약 8 중량%, 최대 약 6 중량%, 최대 약 4 중량% 또는 최대 약 2 중량%)의 양으로 존재할 수 있다.Here, m is an integer from 1 to 3; n is an integer from 1 to 10; X is Al, Si, Ti or Zr; And Y is an acidic group. In some embodiments, the at least one abrasive agent is added to the polishing composition described herein in an amount of at least about 0.05% by weight (e.g., at least about 0.1% by weight, at least about 0.5% by weight, at least about 1% by weight) based on the total weight of the composition. % by weight, at least about 2% by weight, at least about 3% by weight or at least about 5% by weight) to up to about 20% by weight (e.g., up to about 15% by weight, up to about 10% by weight, up to about 8% by weight, up to about 6% by weight, up to about 4% by weight, or up to about 2% by weight).

하나 이상의 구현예에서, 본원에 기술된 연마제는 적어도 약 1 nm(예를 들어, 적어도 약 5 nm, 적어도 약 10 nm, 적어도 약 20 nm, 적어도 약 40 nm, 적어도 약 50 nm, 적어도 약 60 nm, 적어도 약 80 nm 또는 적어도 약 100 nm) 내지 최대 약 1000 nm(예를 들어, 최대 약 800 nm, 최대 약 600 nm, 최대 약 500 nm, 최대 약 400 nm 또는 최대 약 200 nm)의 평균 입자 크기를 가질 수 있다. 본원에 사용된 바와 같이, 평균 입자 크기(mean particle size, MPS)는 동적 광 산란(dynamic light scattering) 기술에 의해 결정된다.In one or more embodiments, the abrasives described herein have an abrasive thickness of at least about 1 nm (e.g., at least about 5 nm, at least about 10 nm, at least about 20 nm, at least about 40 nm, at least about 50 nm, at least about 60 nm). , at least about 80 nm or at least about 100 nm) to at most about 1000 nm (e.g., at most about 800 nm, at most about 600 nm, at most about 500 nm, at most about 400 nm, or at most about 200 nm). You can have As used herein, mean particle size (MPS) is determined by dynamic light scattering techniques.

하나 이상의 구현예에서, 적어도 하나(예를 들어, 별개의 2개 또는 3개)의 질화물 제거율 감소제는 C12 내지 C40 탄화수소기(예를 들어, 알킬기 및/또는 알케닐기를 함유함)를 함유하는 소수성 부분; 및 설피나이트기, 설페이트기, 설포네이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 함유하는 친수성 부분을 포함하는 화합물이다. 하나 이상의 구현예에서, 소수성 부분과 친수성 부분은 0개 내지 10개(예를 들어, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 8개 또는 9개)의 알킬렌 산화물 기(예를 들어, -(CH2)nO- 기, 여기에서 n은 1, 2, 3 또는 4일 수 있음)로 분리된다. 하나 이상의 구현예에서, 질화물 제거율 감소제는 소수성 부분과 친수성 부분을 분리하는 0개의 알킬렌 산화물 기를 갖는다. 이론에 얽매이지 않고, 질화물 제거율 감소제 내의 알킬렌 산화물 기의 존재는 이들이 슬러리 안정성 문제를 일으키고 질화규소 제거율을 증가시킬 수 있기 때문에, 일부 구현예에서는 바람직하지 않을 수 있는 것으로 여겨진다.In one or more embodiments, at least one (e.g., two or three separate) nitride removal rate reducing agents comprise a C 12 to C 40 hydrocarbon group (e.g., containing an alkyl group and/or alkenyl group). Containing a hydrophobic portion; and a hydrophilic portion containing at least one group selected from the group consisting of a sulfinite group, a sulfate group, a sulfonate group, a carboxylate group, a phosphate group, and a phosphonate group. In one or more embodiments, the hydrophobic moiety and the hydrophilic moiety have 0 to 10 (e.g., 1, 2, 3, 4, 5, 6, 7, 8, or 9) It is separated into alkylene oxide groups (e.g. -(CH 2 ) n O- groups, where n may be 1, 2, 3 or 4). In one or more embodiments, the nitride removal rate reducing agent has zero alkylene oxide groups separating the hydrophobic and hydrophilic portions. Without wishing to be bound by theory, it is believed that the presence of alkylene oxide groups in the nitride removal rate reducer may be undesirable in some embodiments because they can cause slurry stability issues and increase silicon nitride removal rates.

하나 이상의 구현예에서, 질화물 제거율 감소제는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.1 ppm(예를 들어, 적어도 약 0.5 ppm, 적어도 약 1 ppm, 적어도 약 5 ppm, 적어도 약 10 ppm, 적어도 약 25 ppm, 적어도 약 50 ppm, 적어도 약 75 ppm 또는 적어도 약 100 ppm) 내지 최대 약 1000 ppm(예를 들어, 최대 약 900 ppm, 최대 약 800 ppm, 최대 약 700 ppm, 최대 약 600 ppm, 최대 약 500 ppm 또는 최대 약 250 ppm)의 양으로 포함된다.In one or more embodiments, the nitride removal rate reducer is present in the polishing compositions described herein in an amount of at least about 0.1 ppm (e.g., at least about 0.5 ppm, at least about 1 ppm, at least about 5 ppm, at least) based on the total weight of the composition. about 10 ppm, at least about 25 ppm, at least about 50 ppm, at least about 75 ppm, or at least about 100 ppm) to up to about 1000 ppm (e.g., up to about 900 ppm, up to about 800 ppm, up to about 700 ppm, up to about 600 ppm, up to about 500 ppm, or up to about 250 ppm).

하나 이상의 구현예에서, 질화물 제거율 감소제는 적어도 12개의 탄소 원자(C12)(예를 들어, 적어도 14개의 탄소 원자(C14), 적어도 16개의 탄소 원자(C16), 적어도 18개의 탄소 원자(C18), 적어도 20개의 탄소 원자(C20) 또는 적어도 22개의 탄소 원자(C22)) 및/또는 최대 40개의 탄소 원자(C40)(예를 들어, 최대 38개의 탄소 원자(C38), 최대 36개의 탄소 원자(C36), 최대 34개의 탄소 원자(C34), 최대 32개의 탄소 원자(C32), 최대 30개의 탄소 원자(C30), 최대 28개의 탄소 원자(C28), 최대 26개의 탄소 원자(C26), 최대 24개의 탄소 원자(C24) 또는 최대 22개의 탄소 원자(C22))를 포함하는 탄화수소기를 함유하는 소수성 부분을 갖는다. 본원에 언급된 탄화수소기는 탄소와 수소 원자만을 함유하는 기를 나타내고, 포화된 기(예를 들어, 선형, 분지형 또는 고리형 알킬기) 및 불포화된 기(예를 들어, 선형, 분지형 또는 고리형 알케닐기; 선형, 분지형 또는 고리형 알키닐기; 또는 방향족기(예를 들어, 페닐 또는 나프틸)) 양쪽 모두를 포함할 수 있다. 하나 이상의 구현예에서, 질화물 제거율 감소제의 친수성 부분은 포스페이트기와 포스포네이트기로부터 선택되는 적어도 하나의 기를 함유한다. "포스포네이트기"라는 용어는 포스폰산기를 포함하는 것을 의미한다는 것에 유의하여야 한다.In one or more embodiments, the nitride removal rate reducing agent has at least 12 carbon atoms (C 12 ) (e.g., at least 14 carbon atoms (C 14 ), at least 16 carbon atoms (C 16 ), at least 18 carbon atoms. (C 18 ), at least 20 carbon atoms (C 20 ) or at least 22 carbon atoms (C 22 )) and/or up to 40 carbon atoms (C 40 ) (e.g. up to 38 carbon atoms (C 38 ), up to 36 carbon atoms (C 36 ), up to 34 carbon atoms (C 34 ), up to 32 carbon atoms (C 32 ), up to 30 carbon atoms (C 30 ), up to 28 carbon atoms (C 28 ), up to 26 carbon atoms (C 26 ), up to 24 carbon atoms (C 24 ) or up to 22 carbon atoms (C 22 )). Hydrocarbon groups referred to herein refer to groups containing only carbon and hydrogen atoms, and include saturated groups (e.g. linear, branched or cyclic alkyl groups) and unsaturated groups (e.g. linear, branched or cyclic alkyl groups). It may include both a nyl group; a linear, branched, or cyclic alkynyl group; or an aromatic group (eg, phenyl or naphthyl). In one or more embodiments, the hydrophilic portion of the nitride removal rate reducer contains at least one group selected from a phosphate group and a phosphonate group. It should be noted that the term “phosphonate group” is meant to include phosphonic acid groups.

하나 이상의 구현예에서, 질화물 제거율 감소제는 나프탈렌설폰산-포르말린 축합물(condensate), 라우릴 포스페이트, 미리스틸 포스페이트, 스테아릴 포스페이트, 옥타데실포스폰산, 올레일 포스페이트, 베헤닐 포스페이트, 옥타데실 설페이트, 라세릴 포스페이트, 올레쓰-3-포스페이트 및 올레쓰-10-포스페이트로 이루어지는 군으로부터 선택된다.In one or more embodiments, the nitride removal rate reducing agent is naphthalenesulfonic acid-formalin condensate, lauryl phosphate, myristyl phosphate, stearyl phosphate, octadecylphosphonic acid, oleyl phosphate, behenyl phosphate, octadecyl sulfate. , raceryl phosphate, oleth-3-phosphate and oleth-10-phosphate.

하나 이상의 구현예에서, 본원에 기술된 연마 조성물은 선택적으로 적어도 하나(예를 들어, 2개 또는 3개)의 디싱 감소제(예를 들어, 음이온성 디싱 감소제)를 추가로 포함한다. 하나 이상의 구현예에서, 적어도 하나의 디싱 감소제는 하이드록시, 설페이트, 포스포네이트, 포스페이트, 설포네이트, 아민, 나이트레이트, 나이트라이트, 카르복실레이트 및 카보네이트 기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 포함하는 화합물이다. 하나 이상의 구현예에서, 적어도 하나의 디싱 감소제는 다당류 및 치환된 다당류로 이루어지는 군으로부터 선택되는 적어도 하나이다. 하나 이상의 구현예에서, 적어도 하나의 디싱 감소제는 카라기난, 잔탄 검, 하이드록시프로필 셀룰로오스, 메틸 셀룰로오스, 에틸 셀룰로오스, 하이드록시프로필 메틸 셀룰로오스 및 카르복시메틸 셀룰로오스로 이루어지는 군으로부터 선택되는 적어도 하나이다. 하나 이상의 구현예에서, 적어도 하나의 질화물 제거율 감소제와 적어도 하나의 디싱 감소제는 화학적으로 서로 상이하다.In one or more embodiments, the polishing compositions described herein optionally further include at least one (e.g., two or three) dishing reducers (e.g., anionic dishing reducers). In one or more embodiments, the at least one dishing reducer comprises at least one group selected from the group consisting of hydroxy, sulfate, phosphonate, phosphate, sulfonate, amine, nitrate, nitrite, carboxylate and carbonate groups. It is a compound containing In one or more embodiments, the at least one dishing reducing agent is at least one selected from the group consisting of polysaccharides and substituted polysaccharides. In one or more embodiments, the at least one dishing reducing agent is at least one selected from the group consisting of carrageenan, xanthan gum, hydroxypropyl cellulose, methyl cellulose, ethyl cellulose, hydroxypropyl methyl cellulose, and carboxymethyl cellulose. In one or more embodiments, the at least one nitride removal rate reducer and the at least one dishing reducer are chemically different from each other.

하나 이상의 구현예에서, 디싱 감소제는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.1 ppm(예를 들어, 적어도 약 0.5 ppm, 적어도 약 1 ppm, 적어도 약 5 ppm, 적어도 약 10 ppm, 적어도 약 25 ppm, 적어도 약 50 ppm, 적어도 약 75 ppm 또는 적어도 약 100 ppm) 내지 최대 약 1000 ppm(예를 들어, 최대 약 900 ppm, 최대 약 800 ppm, 최대 약 700 ppm, 최대 약 600 ppm 또는 최대 약 500 ppm)의 양으로 포함된다.In one or more embodiments, the dishing reducing agent is present in the polishing composition described herein in an amount of at least about 0.1 ppm (e.g., at least about 0.5 ppm, at least about 1 ppm, at least about 5 ppm, at least about 10 ppm, at least about 25 ppm, at least about 50 ppm, at least about 75 ppm, or at least about 100 ppm) to up to about 1000 ppm (e.g., up to about 900 ppm, up to about 800 ppm, up to about 700 ppm, up to about 600 ppm or up to about 500 ppm).

하나 이상의 구현예에서, 산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카르복시산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노 아세트산, 과산화 아세트산, 아세트산 칼륨, 페녹시 아세트산, 글리신, 바이신, 디글리콜산, 글리세르산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 라이신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어지는 군으로부터 선택된다.In one or more embodiments, the acid is formic acid, acetic acid, malonic acid, citric acid, propionic acid, malic acid, adipic acid, succinic acid, lactic acid, oxalic acid, hydroxyethylidene diphosphonic acid, 2-phosphono-1,2,4- Butane tricarboxylic acid, aminotrimethylene phosphonic acid, hexamethylenediamine tetra(methylenephosphonic acid), bis(hexamethylene)triamine phosphonic acid, amino acetic acid, peroxyacetic acid, potassium acetate, phenoxy acetic acid, glycine, bicine, diglycol. Acids, glyceric acid, trisine, alanine, histidine, valine, phenylalanine, proline, glutamine, aspartic acid, glutamic acid, arginine, lysine, tyrosine, benzoic acid, nitric acid, sulfuric acid, sulfurous acid, phosphoric acid, phosphonic acid, hydrochloric acid, periodic acid and mixtures thereof.

하나 이상의 구현예에서, 염기는 수산화 칼륨, 수산화 나트륨, 수산화 세슘, 수산화 암모늄, 트리에탄올 아민, 디에탄올 아민, 모노에탄올 아민, 수산화 테트라부틸 암모늄, 수산화 테트라메틸 암모늄, 수산화 리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨릴트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어지는 군으로부터 선택된다.In one or more embodiments, the base is potassium hydroxide, sodium hydroxide, cesium hydroxide, ammonium hydroxide, triethanol amine, diethanol amine, monoethanol amine, tetrabutyl ammonium hydroxide, tetramethyl ammonium hydroxide, lithium hydroxide, imidazole, triazole, It is selected from the group consisting of aminotriazole, tetrazole, benzotriazole, tolyltriazole, pyrazole, isothiazole, and mixtures thereof.

하나 이상의 구현예에서, 산 또는 염기는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.01 중량%(예를 들어, 적어도 약 0.05 중량%, 적어도 약 0.1 중량%, 적어도 약 0.5 중량% 또는 적어도 약 1 중량%) 내지 최대 약 10 중량%(예를 들어, 최대 약 8 중량%, 최대 약 6 중량%, 최대 약 5 중량%, 최대 약 4 중량% 또는 최대 약 2 중량%)의 양으로 존재할 수 있다. 예를 들어, 산 또는 염기는 연마 조성물의 pH를 원하는 값으로 조절하기에 충분한 양으로 첨가될 수 있다.In one or more embodiments, the acid or base is present in the polishing composition described herein in an amount of at least about 0.01% by weight (e.g., at least about 0.05% by weight, at least about 0.1% by weight, at least about 0.5% by weight) based on the total weight of the composition. % or at least about 1% by weight) to up to about 10% by weight (e.g., up to about 8% by weight, up to about 6% by weight, up to about 5% by weight, up to about 4% by weight, or up to about 2% by weight). It can exist in quantity. For example, the acid or base can be added in an amount sufficient to adjust the pH of the polishing composition to a desired value.

하나 이상의 구현예에서, 물은 본원에 기술된 연마 조성물에 (예를 들어, 액체 매질 또는 담체로서) 조성물의 총 중량을 기준으로 적어도 약 50 중량%(예를 들어, 적어도 약 55 중량%, 적어도 약 60 중량%, 적어도 약 65 중량%, 적어도 약 70 중량% 또는 적어도 약 75 중량%) 내지 최대 약 99.9 중량%(예를 들어, 최대 약 99.5 중량%, 최대 약 99 중량%, 최대 약 97 중량%, 최대 약 95 중량% 또는 최대 약 90 중량%)의 양으로 존재할 수 있다.In one or more embodiments, water is present in the polishing compositions described herein (e.g., as a liquid medium or carrier) at least about 50% by weight (e.g., at least about 55% by weight, at least about 60% by weight, at least about 65% by weight, at least about 70% by weight or at least about 75% by weight) to up to about 99.9% by weight (e.g., up to about 99.5% by weight, up to about 99% by weight, up to about 97% by weight) %, up to about 95% by weight or up to about 90% by weight).

하나 이상의 구현예에서, 본원에 기술된 연마 조성물은 적어도 약 2(예를 들어, 적어도 약 2.5, 적어도 약 3, 적어도 약 3.5 또는 적어도 약 4) 내지 최대 약 6.5(예를 들어, 최대 약 6, 최대 약 5.5, 최대 약 5 또는 최대 약 4.5)의 pH를 가질 수 있다. 이론에 얽매이지 않고, 6.5를 초과하는 pH를 갖는 연마 조성물은 산화규소/질화규소 제거율 선택성을 감소시키며 안정성 문제를 가질 수 있는 것으로 여겨진다.In one or more embodiments, the polishing compositions described herein have a polishing strength of at least about 2 (e.g., at least about 2.5, at least about 3, at least about 3.5, or at least about 4) and up to about 6.5 (e.g., at least about 6, may have a pH of up to about 5.5, up to about 5, or up to about 4.5). Without wishing to be bound by theory, it is believed that polishing compositions with pH exceeding 6.5 reduce silicon oxide/silicon nitride removal rate selectivity and may have stability issues.

하나 이상의 구현예에서, 본원에 기술된 연마는 염(예를 들어, 할로겐화물 염), 중합체(예를 들어, 양이온성 또는 음이온성 중합체, 또는 디싱 감소제 이외의 중합체), 계면 활성제(예를 들어, 질화물 제거율 감소제 이외의 것), 가소제, 산화제, 부식 억제제(예를 들어, 아졸 또는 비-아졸 부식 억제제) 및/또는 특정 연마제(예를 들어, 세리아 연마제 또는 비이온성 연마제)와 같은 하나 이상의 특정 성분을 실질적으로 포함하지 않을 수 있다. 연마 조성물로부터 배제될 수 있는 할로겐화물 염은 알칼리 금속 할로겐화물(예를 들어, 나트륨 할로겐화물 또는 칼륨 할로겐화물) 또는 암모늄 할로겐화물(예를 들어, 염화 암모늄)을 포함하며, 염화물, 브롬화물 또는 요오드화물일 수 있다. 본원에 사용된 바와 같이, 연마 조성물이 "실질적으로 포함하지 않는" 성분은 연마 조성물에 의도적으로 첨가되지 않은 성분을 말한다. 일부 구현예에서, 본원에 기술된 연마 조성물은 연마 조성물을 실질적으로 포함하지 않는 상기 성분 중 하나 이상을 최대 약 1000 ppm(예를 들어, 최대 약 500 ppm, 최대 약 250 ppm, 최대 약 100 ppm, 최대 약 50 ppm, 최대 약 10 ppm 또는 최대 약 1 ppm)으로 가질 수 있다. 일부 구현예에서, 기술된 연마 조성물은 하나 이상의 상기 성분을 전혀 포함하지 않을 수 있다.In one or more embodiments, polishing described herein may be performed using salts (e.g., halide salts), polymers (e.g., cationic or anionic polymers, or polymers other than dishing reducers), surfactants (e.g. For example, other than nitride removal rate reducers), plasticizers, oxidizers, corrosion inhibitors (e.g., azole or non-azole corrosion inhibitors) and/or certain abrasives (e.g., ceria abrasives or non-ionic abrasives). It may not substantially contain the specific ingredients above. Halide salts that can be excluded from the polishing composition include alkali metal halides (e.g., sodium or potassium halides) or ammonium halides (e.g., ammonium chloride), including chloride, bromide, or iodine. It could be cargo. As used herein, a polishing composition is “substantially free from” components that are not intentionally added to the polishing composition. In some embodiments, the polishing compositions described herein contain up to about 1000 ppm (e.g., up to about 500 ppm, up to about 250 ppm, up to about 100 ppm, up to about 50 ppm, up to about 10 ppm, or up to about 1 ppm). In some embodiments, the described polishing compositions may not include one or more of the above ingredients at all.

하나 이상의 구현예에서, 본원에 기술된 연마 조성물은 적어도 약 3:1, 또는 적어도 약 4:1, 또는 적어도 약 5:1, 또는 적어도 약 10:1, 또는 적어도 약 25:1, 또는 적어도 약 50:1, 또는 적어도 약 60:1, 또는 적어도 약 75:1, 또는 적어도 약 100:1, 또는 적어도 약 150:1, 또는 적어도 약 200:1, 또는 적어도 약 250:1, 또는 적어도 약 300:1, 또는 적어도 약 500:1, 또는 적어도 약 750:1, 또는 최대 약 1000:1 또는 최대 약 5000:1의 질화규소에 대한 제거율(즉, 제거율 선택성)에 대한 산화규소(예를 들어, TEOS)에 대한 제거율의 비율을 갖는다. 하나 이상의 구현예에서, 블랭킷 웨이퍼 또는 패턴화된 웨이퍼(즉, 질화규소 패턴이 적어도 산화규소(및 선택적으로 금속 및 유전체와 같은 다른 재료)로 오버레이된, 적어도 질화규소 패턴을 포함하는 웨이퍼) 중 어느 하나를 연마하기 위한 제거율 측정시, 상기 기술된 비율이 적용될 수 있다.In one or more embodiments, the polishing composition described herein has a polishing ratio of at least about 3:1, or at least about 4:1, or at least about 5:1, or at least about 10:1, or at least about 25:1, or at least about 50:1, or at least about 60:1, or at least about 75:1, or at least about 100:1, or at least about 150:1, or at least about 200:1, or at least about 250:1, or at least about 300 :1, or at least about 500:1, or at least about 750:1, or up to about 1000:1, or up to about 5000:1. ) has a ratio of removal rate to . In one or more embodiments, either a blanket wafer or a patterned wafer (i.e., a wafer comprising at least a silicon nitride pattern, in which the silicon nitride pattern is overlaid with at least silicon oxide (and optionally other materials such as metals and dielectrics)). When measuring removal rates for polishing, the rates described above can be applied.

하나 이상의 구현예에서, 패턴화된 웨이퍼(적어도 산화규소로 오버레이된 적어도 질화규소 패턴을 포함할 수 있음)를 연마 조성물로 연마할 때(예를 들어, 연마가 패턴화된 웨이퍼 상의 질화규소 패턴을 노출시킬 때까지), 최대 약 1000 옹스트롬, 최대 약 500 옹스트롬, 또는 최대 약 375 옹스트롬, 또는 최대 약 250 옹스트롬, 또는 최대 약 200 옹스트롬, 또는 최대 약 100 옹스트롬, 또는 최대 약 50 옹스트롬 및/또는 적어도 약 0 옹스트롬의 산화규소(예를 들어, TEOS) 디싱이 발생한다. 하나 이상의 구현예에서, 패턴화된 웨이퍼(적어도 산화규소로 오버레이된 적어도 질화규소 패턴을 포함할 수 있음)를 연마 조성물로 연마할 때(예를 들어, 연마가 패턴화된 웨이퍼 상의 질화규소 패턴을 노출시킬 때까지), 최대 약 500 옹스트롬, 또는 최대 약 400 옹스트롬, 또는 최대 약 300 옹스트롬, 또는 최대 약 250 옹스트롬, 최대 약 200 옹스트롬, 최대 약 100 옹스트롬, 또는 최대 약 75 옹스트롬, 또는 최대 약 65 옹스트롬, 또는 최대 약 50 옹스트롬, 또는 최대 약 32 옹스트롬 및/또는 적어도 약 0 옹스트롬의 질화규소 부식이 발생한다.In one or more embodiments, when a patterned wafer (which may include at least a silicon nitride pattern overlaid with silicon oxide) is polished with a polishing composition (e.g., the polishing may expose the silicon nitride pattern on the patterned wafer). (until), up to about 1000 Angstroms, up to about 500 Angstroms, or up to about 375 Angstroms, or up to about 250 Angstroms, or up to about 200 Angstroms, or up to about 100 Angstroms, or up to about 50 Angstroms, and/or at least about 0 Angstroms. Dishing of silicon oxide (e.g., TEOS) occurs. In one or more embodiments, when a patterned wafer (which may include at least a silicon nitride pattern overlaid with silicon oxide) is polished with a polishing composition (e.g., the polishing may expose the silicon nitride pattern on the patterned wafer). up to about 500 Angstroms, or up to about 400 Angstroms, or up to about 300 Angstroms, or up to about 250 Angstroms, up to about 200 Angstroms, up to about 100 Angstroms, or up to about 75 Angstroms, or up to about 65 Angstroms, or Up to about 50 angstroms of silicon nitride corrosion occurs, or up to about 32 angstroms and/or at least about 0 angstroms.

하나 이상의 구현예에서, 평탄화 효율(즉, 산화규소 단차의 변화를 연마 동안 제거된 산화규소의 양으로 나누고, 100을 곱함)은 본 발명에 따른 연마 조성물을 사용하여 패턴화된 웨이퍼를 연마할 때, 적어도 약 14%(예를 들어, 적어도 약 20%, 적어도 약 30%, 적어도 약 38%, 적어도 약 40%, 적어도 약 46%, 적어도 약 50%, 적어도 약 60%, 적어도 약 70% 또는 적어도 약 74%) 및 최대 약 100%(예를 들어, 최대 약 99.9%, 최대 약 99%, 최대 약 95%, 최대 약 90%, 최대 약 80%, 최대 약 70% 및 최대 약 60%)이다. 하나 이상의 구현예에서, 12 인치(즉, 약 300 mm)의 직경을 갖는 패턴화된 웨이퍼 상의 총 결함 수(defect count)는 본 발명에 따른 연마 조성물(예를 들어, 실리카 연마제와 질화물 제거율 감소제를 포함하는 조성물)을 사용하여 패턴화된 웨이퍼를 연마할 때, 최대 175개(예를 들어, 최대 170개, 최대 160개, 최대 150개, 최대 125개, 최대 100개, 최대 75개, 최대 50개, 최대 25개, 최대 10개, 또는 최대 5개)이다. 본원에 기술된 바와 같이, 계수된 결함은 크기가 적어도 약 90 nm인 것이다.In one or more embodiments, the planarization efficiency (i.e., the change in silicon oxide step divided by the amount of silicon oxide removed during polishing and multiplied by 100) is determined when polishing a patterned wafer using a polishing composition according to the present invention. , at least about 14% (e.g., at least about 20%, at least about 30%, at least about 38%, at least about 40%, at least about 46%, at least about 50%, at least about 60%, at least about 70%, or at least about 74%) and up to about 100% (e.g., up to about 99.9%, up to about 99%, up to about 95%, up to about 90%, up to about 80%, up to about 70%, and up to about 60%) am. In one or more embodiments, the total defect count on a patterned wafer having a diameter of 12 inches (i.e., about 300 mm) is reduced by a polishing composition according to the present invention (e.g., a silica abrasive and a nitride removal rate reducer). When polishing a patterned wafer using a composition comprising), up to 175 (e.g., up to 170, up to 160, up to 150, up to 125, up to 100, up to 75, up to 50, maximum 25, maximum 10, or maximum 5). As described herein, defects counted are those that are at least about 90 nm in size.

하나 이상의 구현예에서, 본 발명은 기판의 표면상에 적어도 질화규소와 산화규소를 갖는 기판(예를 들어, 웨이퍼)에 본 발명에 따른 연마 조성물을 도포하는 단계; 및 패드를 기판의 표면과 접촉시키고 기판에 대해 패드를 이동시키는 단계를 포함할 수 있는 연마 방법을 특징으로 한다. 일부 구현예에서, 기판이 적어도 산화규소(예를 들어, 규소계 유전체(예를 들어, 탄화규소 등), 금속, 금속 산화물 및 질화물 등과 같은 다른 재료의 존재하에서 산화규소)로 오버레이된 적어도 질화규소 패턴을 포함할 때, 상기 방법은 질화규소를 노출시키기 위해 산화규소(예를 들어, 활성, 비-트렌치 영역 상의 산화규소)의 적어도 일부를 제거할 수 있다. 본원에 기술된 "질화규소" 및 "산화규소"라는 용어는 질화규소 및/또는 산화규소의 도핑되지 않은 버전과 도핑된 버전 모두를 포함하는 것으로 명백히 의도되었음에 유의하여야 한다. 예를 들어, 하나 이상의 구현예에서, 질화규소와 산화규소는 독립적으로 탄소, 질소(산화규소의 경우), 산소, 수소, 또는 질화규소 또는 산화규소에 대한 임의의 다른 공지된 도펀트(dopant)로부터 선택되는 적어도 하나의 도펀트로 도핑될 수 있다. 산화규소 필름 유형의 일부 예는 몇 가지 예를 들면, TEOS(테트라-에틸 오르쏘실리케이트), SiOC, SiOCN, SiOCH, SiOH 및 SiON을 포함한다. 질화규소 필름 유형의 일부 예는 몇 가지 예를 들면, SiN(순수한 질화규소), SiCN, SiCNH 및 SiNH를 포함한다.In one or more embodiments, the invention provides a method comprising: applying a polishing composition according to the invention to a substrate (e.g., a wafer) having at least silicon nitride and silicon oxide on the surface of the substrate; and contacting the pad with the surface of the substrate and moving the pad relative to the substrate. In some embodiments, the substrate has at least a silicon nitride pattern overlaid with at least silicon oxide (e.g., silicon oxide in the presence of other materials such as silicon-based dielectrics (e.g., silicon carbide, etc.), metals, metal oxides, nitrides, etc.) When comprising, the method may remove at least a portion of the silicon oxide (eg, silicon oxide on the active, non-trench area) to expose silicon nitride. It should be noted that the terms “silicon nitride” and “silicon oxide” as used herein are expressly intended to include both undoped and doped versions of silicon nitride and/or silicon oxide. For example, in one or more embodiments, the silicon nitride and silicon oxide are independently selected from carbon, nitrogen (for silicon oxide), oxygen, hydrogen, or any other known dopant for silicon nitride or silicon oxide. It may be doped with at least one dopant. Some examples of silicon oxide film types include TEOS (tetra-ethyl orthosilicate), SiOC, SiOCN, SiOCH, SiOH, and SiON, to name a few. Some examples of silicon nitride film types include SiN (pure silicon nitride), SiCN, SiCNH, and SiNH, to name a few.

일부 구현예에서, 본원에 기술된 연마 조성물을 사용하는 방법은 연마 조성물에 의해 처리된 기판으로부터 반도체 디바이스를 제조하기 위해 하나 이상의 추가 단계를 추가로 포함할 수 있다. 예를 들어, 방법은 상기 기술된 연마 방법 전에 다음 단계들 중 하나 이상을 포함할 수 있다: (1) 기판(예를 들어, 실리콘 웨이퍼)상에 산화규소(예를 들어, 열적 산화규소)를 증착시켜 산화규소 층을 형성하는 단계, (2) 산화규소 층 상에 질화규소를 증착시켜 질화규소 층을 형성하는 단계, (3) 기판을 에칭하여 트렌치 및 비-트렌치 영역을 형성하는 단계, 및 (4) 에칭된 기판에 산화규소를 증착시켜 산화규소로 트렌치를 채우는 단계. 다른 예로서, 방법은 웨이퍼 기판상에 규소 및/또는 산화규소 또는 다른 불균질한 필름을 노출시키기 위해, 상기 기술된 연마 방법 후에 (예를 들어, 질화규소와 산화규소를 제거하기 위해) 기판을 에칭하는 것과 같은 적어도 하나의 추가 단계를 포함할 수 있다.In some embodiments, methods of using the polishing compositions described herein may further include one or more additional steps to fabricate a semiconductor device from a substrate treated by the polishing composition. For example, the method may include one or more of the following steps prior to the polishing method described above: (1) depositing silicon oxide (e.g., thermal silicon oxide) on a substrate (e.g., a silicon wafer); depositing to form a silicon oxide layer, (2) depositing silicon nitride on the silicon oxide layer to form a silicon nitride layer, (3) etching the substrate to form trench and non-trench regions, and (4) ) Depositing silicon oxide on the etched substrate to fill the trench with silicon oxide. As another example, the method includes etching the substrate (e.g., to remove silicon nitride and silicon oxide) after the polishing method described above to expose silicon and/or silicon oxide or other heterogeneous films on the wafer substrate. It may include at least one additional step, such as:

실시예Example

본 발명의 연마 조성물 및 방법의 능력을 추가로 예시하기 위하여 실시예를 제공한다. 제공된 실시예는 본 발명의 범위를 제한하고자 하는 것이 아니며, 제한하는 것으로 해석되어서는 안된다. 열거된 임의의 퍼센트는 달리 명시되지 않는 한 중량을 기준으로 한다(중량%). 실시예에 기술된 질화물 제거율 감소제는 다양한 공급업체로부터 얻어졌으며, 일부 경우에는 아래 표에 명시된 것보다 작거나 큰 탄소 사슬 길이를 갖는 유사 화합물을 소량 포함할 수 있다. 표에 명시된 탄소 사슬 길이는 질화물 제거율 감소제의 주성분을 나타낸다.Examples are provided to further illustrate the capabilities of the polishing compositions and methods of the present invention. The provided examples are not intended to limit the scope of the invention and should not be construed as limiting. Any percentages listed are by weight (% by weight) unless otherwise specified. The nitride removal rate reducers described in the examples were obtained from various suppliers and, in some cases, may contain small amounts of similar compounds having carbon chain lengths smaller or larger than those specified in the table below. The carbon chain lengths specified in the table represent the main components of the nitride removal rate reducer.

실시예 1: 질화물 정지(stop)의 입증Example 1: Demonstration of nitride stop

이 실시예에서, 샘플 1A~1F에 사용된 연마 조성물은 주로 3 w/w%의 중성 콜로이드성 실리카 연마제, pH 조절제로서 말론산, 질화물 제거율 감소제(존재할 경우) 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2.3이었다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드(pad) 상에서 Applied Materials Mirra CMP 연마기(polisher)를 사용하여, 200 mm 산화규소(TEOS) 및 질화규소(SiN) 블랭킷 웨이퍼를 연마하였다In this example, the polishing composition used in Samples 1A-1F comprised primarily 3 w/w% of a neutral colloidal silica abrasive, malonic acid as a pH adjuster, a nitride removal rate reducer (if present), and water as a liquid carrier. . The pH of the polishing composition was 2.3. 200 mm silicon oxide (TEOS) and silicon nitride (SiN) blanket wafers were polished using an Applied Materials Mirra CMP polisher on a Dow VP6000 pad with a down force of 2 psi and a flow rate of 175 mL/min.

TEOS 및 SiN 제거율 대(vs.) 계면활성제 종을 감소시키는 질화물 제거율TEOS and SiN removal rates vs. nitride removal rates to reduce surfactant species 샘플Sample 질화물 제거율 감소제Nitride removal rate reducer EO 기(group)EO group TEOS RR
[Å/분]
TEOS RR
[Å/min]
SiN RR
[Å/분]
SiN RR
[Å/min]
TEOS RR/
SiN RR
TEOS RR/
SiN RR
대조군control group 없음doesn't exist 00 982982 121121 88 1A1A 스테아릴 포스페이트stearyl phosphate 00 816816 22 408408 1B1B n-옥타데실포스포네이트n-octadecylphosphonate 00 868868 1One 868868 1C1C 올레일 포스페이트oleyl phosphate 00 965965 44 241241 1D1D 옥타데실 설페이트octadecyl sulfate 00 854854 1One 854854 1E1E 올레쓰-3 포스페이트Oleth-3 Phosphate 33 790790 1010 7979 1F1F 올레쓰-10 포스페이트Oleth-10 Phosphate 1010 629629 77 9090

"EO"는 에틸렌 산화물을 나타낸다. "RR"은 제거율을 나타낸다.“EO” stands for ethylene oxide. “RR” stands for removal rate.

표 1의 결과는 대조군 연마 조성물(질화물 제거율 감소제를 포함하지 않음)이 산화규소와 질화규소 사이의 제거율 선택성(removal rate selectivity)이 8인 것을 나타내며, 이는 낮은 질화규소 비율을 필요로 하는 대부분의 적용에 대해서 지나치게 낮다. 그러나 질화물 제거율 감소제의 첨가로, 연마 조성물의 질화규소 제거율은 1 Å/분까지 떨어졌고, 제거율 선택성은 868까지 올라갔다.The results in Table 1 show that the control polishing composition (without nitride removal rate reducer) has a removal rate selectivity between silicon oxide and silicon nitride of 8, which is suitable for most applications requiring low silicon nitride ratios. Too low for this. However, with the addition of the nitride removal rate reducer, the silicon nitride removal rate of the polishing composition decreased to 1 Å/min, and the removal rate selectivity increased to 868.

실시예 2: pH 범위 및 상이한 연마 표면 전하의 입증Example 2: Demonstration of pH ranges and different polishing surface charges

이 실시예에서, 샘플 2A~2I에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, n-옥타데실 포스폰산 및 액체 담체로서 물을 포함하였다. n-옥타데실 포스폰산은 본원에 기술된 질화물 제거율 감소제의 종류를 대표한다. 또한, 이 실시예에서, 콜로이드성 실리카 전하(colloidal silica charge)는 표 2에 나타낸 바와 같이 중성, 양이온성 및 음이온성 실리카를 사용하여 변화시켰다. 연마 조성물의 pH는 약 2.25 내지 약 4.25로 다르게 하였다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 산화규소(TEOS) 및 질화규소(SiN) 블랭킷 웨이퍼를 연마하였다.In this example, the polishing composition used in Samples 2A-2I included 3 w/w% colloidal silica abrasive, an organic acid as a pH adjuster, n-octadecyl phosphonic acid, and water as a liquid carrier. n-Octadecyl phosphonic acid represents a class of nitride removal rate reducers described herein. Additionally, in this example, the colloidal silica charge was varied using neutral, cationic, and anionic silica as shown in Table 2. The pH of the polishing composition varied from about 2.25 to about 4.25. 200 mm silicon oxide (TEOS) and silicon nitride (SiN) blanket wafers were polished using an Applied Materials Mirra CMP polisher on a Dow VP6000 pad with a down force of 2 psi and a flow rate of 175 mL/min.

TEOS 및 SiN 제거율 대 3가지 유형의 실리카를 이용한 pHTEOS and SiN removal rates versus pH using three types of silica 샘플Sample 연마제abrasive n-옥타데실포스폰산
상대 농도
n-octadecylphosphonic acid
relative concentration
pHpH TEOS RR
[Å/분]
TEOS RR
[Å/min]
SiN RR
[Å/분]
SiN RR
[Å/min]
TEOS RR/
SiN RR
TEOS RR/
SiN RR
2A2A 중성 실리카neutral silica ×× 2.252.25 925925 33 308308 2B2B ×× 2.752.75 11861186 22 593593 2C2C ×× 3.503.50 921921 33 307307 2D2D ×× 4.254.25 587587 99 6565 2E2E 양이온성 실리카cationic silica 2.752.75 389389 22 194194 2F2F 3.503.50 458458 22 229229 2G2G 4.254.25 815815 22 407407 2H2H 음이온성 실리카anionic silica 2.252.25 4747 2727 22 2I2I 3.253.25 4444 1313 33

표 2에 나타낸 바와 같이, 질화물 제거율 감소제는 약 2.25 내지 약 4.25의 pH 범위에서 중성, 양이온성 및 음이온성 실리카로 질화규소 제거율을 제어할 수 있었다. 실리카 연마제의 표면 전하와 관계없이, 상기 시스템의 확실한(robust) 질화물 비율 감소는 놀랍다. 예를 들어, 양이온성 연마제는 음이온성 질화물 제거율 감소제와 상용성이 좋지 않을 것으로 일반적으로 생각된다. 대조적으로, 이 시스템에서 슬러리는 안정한 상태로 남아 있고, 질화물 제거율 감소제는 활성 상태로 남아 있다.As shown in Table 2, the nitride removal rate reducer was able to control the silicon nitride removal rate with neutral, cationic, and anionic silica in a pH range of about 2.25 to about 4.25. Regardless of the surface charge of the silica abrasive, the robust nitride ratio reduction of this system is surprising. For example, it is generally believed that cationic abrasives will have poor compatibility with anionic nitride removal rate reducers. In contrast, in this system the slurry remains stable and the nitride removal rate reducer remains active.

통상적으로, 음이온성 연마재를 사용할 때 질화규소 제거율은 일반적으로 매우 높고(~ 400 Å/분) 제어하기 어렵다. 중요하게도, 본원에 기술된 질화물 제거율 감소제는 질화규소 제거율을 현저하게 감소시킬 수 있었다. 이러한 유형의 시스템은 음이온성 연마제에 의해서 잘 연마된 필름(예를 들어, 탄화 규소 필름) 상에 높은 제거율로 낮은 TEOS 및 질화규소 제거율이 요구되는 경우에 유용할 수 있다.Typically, silicon nitride removal rates when using anionic abrasives are typically very high (~ 400 Å/min) and difficult to control. Importantly, the nitride removal rate reducing agent described herein was capable of significantly reducing silicon nitride removal rate. This type of system may be useful where low TEOS and silicon nitride removal rates are desired with high removal rates on films that have been well polished by anionic abrasives (e.g., silicon carbide films).

실시예 3: 질화물 제거율 감소제의 사슬 길이 및 헤드 유형의 효과 입증Example 3: Demonstrating the effect of chain length and head type of nitride removal rate reducer

이 실시예에서, 샘플 3A~3L에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 말론산, 표 3에 나타낸 질화물 제거율 감소제 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2.25였다. 구체적으로, 샘플 3A~3L에 사용된 질화물 제거율 감소제는 임의의 알킬렌 산화물 기를 포함하지 않고 표 3에 기술된 헤드 유형과 소수성을 포함하였다. 또한, 샘플 3I, 3J 및 3K에 사용된 질화물 제거율 감소제는 라우릴/미리스틸 포스페이트, 스테아릴 포스페이트 및 라세릴 포스페이트가 각기 주요 성분인 계면 활성제의 혼합물을 포함하였다.In this example, the polishing composition used in Samples 3A-3L included 3 w/w% colloidal silica abrasive, malonic acid as a pH adjuster, a nitride removal rate reducer shown in Table 3, and water as a liquid carrier. The pH of the polishing composition was 2.25. Specifically, the nitride removal rate reducer used in Samples 3A-3L did not contain any alkylene oxide groups and included the head type and hydrophobicity described in Table 3. Additionally, the nitride removal rate reducer used in Samples 3I, 3J, and 3K included a mixture of surfactants in which lauryl/myristyl phosphate, stearyl phosphate, and raceryl phosphate were the major components, respectively.

2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 산화규소(TEOS) 및 질화규소 블랭킷 웨이퍼를 연마하였다.200 mm silicon oxide (TEOS) and silicon nitride blanket wafers were polished using an Applied Materials Mirra CMP polisher on a Dow VP6000 pad with a down force of 2 psi and a flow rate of 175 mL/min.

TEOS 및 SiN 제거율 대 질화물 제거율 감소제의 헤드 그룹 및 소수성Headgroup and hydrophobicity of TEOS and SiN removal versus nitride removal reducers 샘플Sample 헤드 유형head type 소수성 물질
(Hydrophobe)
hydrophobic substance
(Hydrophobe)
TEOS RR
[Å/분]
TEOS RR
[Å/min]
SiN RR
[Å/분]
SiN RR
[Å/min]
TEOS RR/
SiN RR
TEOS RR/
SiN RR
3A3A 포스포네이트phosphonate n-헥실n-hexyl 953953 157157 66 3B3B 포스포네이트phosphonate n-옥틸n-octyl 906906 138138 77 3C3C 포스포네이트phosphonate n-데실n-decyl 895895 146146 66 3D3D 포스포네이트phosphonate n-도데실n-dodecyl 940940 33 313313 3E3E 포스포네이트phosphonate n-테트라데실n-tetradecyl 919919 33 306306 3F3F 포스포네이트phosphonate n-헥사데실n-hexadecyl 855855 22 427427 3G3G 포스포네이트phosphonate n-옥타데실n-octadecyl 811811 22 405405 3H3H 포스페이트Phosphate 헥실(C6)Hexyl (C6) 946946 139139 77 3I3I 포스페이트Phosphate 라우릴/미리스틸
(C12/C14)
Lauryl/Myristel
(C12/C14)
925925 44 231231
3J3J 포스페이트Phosphate 스테아릴(C18)Stearyl (C18) 835835 33 279279 3K3K 포스페이트Phosphate 베헤닐(C22)Behenyl (C22) 828828 22 414414 3L3L 포스페이트Phosphate 라세릴(C32)Raceryl (C32) 898898 2323 3939

표 3에 나타낸 바와 같이, 질화물 제거율 감소제에서 소수성 물질의 크기는 질화규소의 비율 감소 효과를 결정하는 데 중요한 역할을 수행한다. 표 3은 시험된 약품 중에서 사슬 길이가 12 이상인 것이 시험 된 조건하에서 효과적인 질화물 정지을 위해 가장 잘 수행함을 보여준다. 질화물 제거율 감소제에서 12 이상의 탄소 사슬 길이(표 3에서 샘플 3D, 3E, 3F, 3G, 3I, 3J, 3K 및 3L 참고)는 낮은 SiN RR(전형적으로, < 5 Å/분)을 보장하고, 블랭킷 필름에 대해서는 TEOS:SiN RR (> 250)에 대해 높은 선택성 비(selectivity ratio)를 생성한다. 따라서, 이러한 연마 조성물은 질화규소에 대한 산화규소의 높은 선택성 비가 요구되는 STI CMP 공정에 이상적으로 적합하다.As shown in Table 3, the size of the hydrophobic material in the nitride removal rate reducer plays an important role in determining the effect of reducing the rate of silicon nitride. Table 3 shows that among the drugs tested, chain lengths greater than 12 performed best for effective nitride arrest under the conditions tested. A carbon chain length greater than 12 in the nitride removal rate reducer (see samples 3D, 3E, 3F, 3G, 3I, 3J, 3K, and 3L in Table 3) ensures low SiN RR (typically <5 Å/min); For blanket films, it produces high selectivity ratios for TEOS:SiN RR (>250). Therefore, these polishing compositions are ideally suited for STI CMP processes where a high selectivity ratio of silicon oxide to silicon nitride is required.

실시예 4: 다운 포스 효과의 입증Example 4: Demonstration of down force effect

이 실시예에서, 샘플 4A~4C에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, n-옥타데실 포스폰산 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2 내지 6.5였다. 2, 3, 및 4 psi의 다운 포스와 175 mL/분의 유속으로 Dow IC1010 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 고밀도 플라스마(HDP) 산화규소, 테트라에틸 오르쏘 실리케이트 산화물(TEOS), 보로포스포 실리케이트 유리(BPSG) 및 질화규소 코팅 웨이퍼를 연마하였다.In this example, the polishing composition used in Samples 4A-4C included 3 w/w% colloidal silica abrasive, an organic acid as a pH adjuster, n-octadecyl phosphonic acid, and water as a liquid carrier. The pH of the polishing composition was 2 to 6.5. 200 mm high-density plasma (HDP) silicon oxide, tetraethyl orthosilicate oxide (TEOS), using an Applied Materials Mirra CMP polisher on a Dow IC1010 pad with down forces of 2, 3, and 4 psi and a flow rate of 175 mL/min. , borophosphosilicate glass (BPSG) and silicon nitride coated wafers were polished.

HDP, TEOS, BPSG 및 SiN 제거율 대 다운 포스HDP, TEOS, BPSG, and SiN Removal Rate vs. Downforce 샘플Sample 압력[psi]pressure [psi] HDP RR[Å/분]HDP RR [Å/min] TEOS RR[Å/분]TEOS RR[Å/min] BPSG RR[Å/분]BPSG RR[Å/min] SiN RR[Å/분]SiN RR[Å/min] 4A4A 22 11471147 18351835 49714971 44 4B4B 33 15061506 23242324 66756675 22 4C4C 44 17521752 31403140 81738173 1One

표 4에 나타낸 바와 같이, 산화규소 필름(HDP, TEOS 및 BPSG)은 프레스토니안 거동을 보였으나, 질화규소 제거율은 비-프레스토니안 거동을 나타내었고, 적용된 다운 포스에 상관없이 잘 제어되었다. CMP 언어에서, 제거율의 프레스토니안 거동은 연마율(polishing rate)이 연마기의 연마 압력 및/또는 각속도(angular velocity)/rpm(분당 회전수)의 증가에 따라 선형적으로 증가함을 의미한다. 고속(high rate)의 대상 필름의 경우, 프레스토니안 거동이 바람직하다(본원의 산화규소 필름). 비-프레스토니안 거동은 연마율이 압력 또는 속도의 변화에 따라 크게 변하지 않는다는 것을 의미한다. 비-프레스토니안 거동은 정지(stop on) 필름(본원에서 SiN)에 대해 다소 바람직하다. 표 4에서 볼 수 있는 바와 같이, 산화규소 필름의 제거율은 다운 포스의 증가에 따라 선형적으로/프레스토니안적으로 증가한다(예를 들어, TEOS RR은 다운 포스가 2 내지 3 내지 4 psi의 압력으로 증가함에 따라 1835 내지 2324 내지 3140 Å/분으로 증가한다). 이와 반대로, SiN(정지 필름) 제거율은 압력의 증가에 따라 크게 변하지 않는다(즉, SiN RR은 다운 포스가 2 내지 3 내지 4 psi 압력으로 증가함에 따라 4 내지 2 내지 1 Å/분으로 변동한다). 또한, 이 실시예는 연마 조성물이 앞에서 정의된 바와 같은 산화규소 패밀리의 필름상에서 유사한 거동을 갖는다는 것을 증명한다. 보다 명확히 하기 위해, 표 4에 세 가지 실시예의 산화규소 필름을 묘사한다: HDP, TEOS 및 BPSG. 본 발명의 연마 조성물은 모든 상이한 유형의 산화규소 필름에 대해 높은 재료 제거율을 제공하는 데 매우 효과적으로 작용한다. 상이한 종류의 질화규소 필름(SiN, SiCN 등)의 예를 사용한 동등한 실험은 표 4에 묘사된 SiN 필름상에서 달성된 것과 유사한 슬러리 정지 거동을 보여주었다. 단순화를 위해, 표 4에는 SiN 필름 비율만 도시된다. As shown in Table 4, the silicon oxide films (HDP, TEOS, and BPSG) showed Prestonian behavior, but the silicon nitride removal rate showed non-Prestonian behavior and was well controlled regardless of the applied down force. In CMP language, the Prestonian behavior of the removal rate means that the polishing rate increases linearly with increasing polishing pressure and/or angular velocity/rpm (revolutions per minute) of the polisher. For high rate target films, Prestonian behavior is preferred (silicon oxide films herein). Non-Prestonian behavior means that the removal rate does not change significantly with changes in pressure or speed. Non-Prestonian behavior is somewhat desirable for stop on films (here SiN). As can be seen in Table 4, the removal rate of silicon oxide film increases linearly/Prestonianically with increasing down force (for example, TEOS RR has a down force of 2 to 3 to 4 psi). As it increases, it increases from 1835 to 2324 to 3140 Å/min). In contrast, SiN (static film) removal rates do not change significantly with increasing pressure (i.e., SiN RR varies from 4 to 2 to 1 Å/min as down force increases from 2 to 3 to 4 psi pressure). . This example also demonstrates that the polishing composition has similar behavior on films of the silicon oxide family as previously defined. For greater clarity, Table 4 depicts the silicon oxide films of three examples: HDP, TEOS, and BPSG. The polishing compositions of the present invention work very effectively to provide high material removal rates for all different types of silicon oxide films. Equivalent experiments using examples of different types of silicon nitride films (SiN, SiCN, etc.) showed slurry suspension behavior similar to that achieved on SiN films depicted in Table 4. For simplicity, only the SiN film ratio is shown in Table 4.

실시예 5: 패드 효과의 입증Example 5: Demonstration of pad effectiveness

이 실시예에서, 샘플 5A~5C에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, 질화물 제거율 감소제 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2 내지 6.5였다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 또는 Fujibo H800 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 테트라에틸 오르쏘실리케이트 산화물(TEOS) 및 질화규소(SiN) 블랭킷 웨이퍼를 연마하였다.In this example, the polishing composition used in Samples 5A-5C included 3 w/w% colloidal silica abrasive, an organic acid as a pH adjuster, a nitride removal rate reducer, and water as a liquid carrier. The pH of the polishing composition was 2 to 6.5. 200 mm tetraethyl orthosilicate oxide (TEOS) and silicon nitride (SiN) blanket wafers were polished using an Applied Materials Mirra CMP polisher on a Dow VP6000 or Fujibo H800 pad with a down force of 2 psi and a flow rate of 175 mL/min. .

TEOS 및 SiN 제거율 대 패드 및 질화물 제거율 감소제TEOS and SiN removal rates vs. pad and nitride removal rate reducers 샘플Sample 패드(Pad)Pad 질화물 제거율 감소제Nitride removal rate reducer TEOS RR
[Å/분]
TEOS RR
[Å/min]
SiN RR
[Å/분]
SiN RR
[Å/min]
TEOS RR/
SiN RR
TEOS RR/
SiN RR
5A5A Dow VP6000Dow VP6000 스테아릴 포스페이트stearyl phosphate 745745 22 373373 5B5B n-옥타데실 포스포네이트n-octadecyl phosphonate 756756 1One 756756 5C5C 올레일 포스페이트oleyl phosphate 835835 33 278278 5A5A Fujibo H800Fujibo H800 스테아릴 포스페이트stearyl phosphate 951951 88 119119 5B5B n-옥타데실 포스포네이트n-octadecyl phosphonate 942942 22 471471 5C5C 올레일 포스페이트oleyl phosphate 970970 6161 1616

표 5에 나타낸 바와 같이, 질화물 제거율 감소제는 질화규소 보호에 영향을 미쳤다. 중간 경도(medium hardness)를 갖는 Dow VP6000 패드 상에서, 모든 샘플(5A~5C)은 낮은 SiN 제거율과 높은 TEOS/SiN 제거율 선택성에 의해 입증된 바와 같이 효과적인 질화물 보호를 제공하였다. 그러나 연질 패드인 Fujibo H800 패드 상에서, 긴 사슬 포화 소수성 물질(hydrophobe)을 갖는 질화물 제거율 감소제를 함유하는 샘플(5A, 5B)만이 효과적인 질화물 정지를 제공하였다. 따라서, 이 실시예는 본 발명의 연마 조성물이 모든 종류의 연마 패드 상에서 효과적으로 작용함을 입증한다. 또한, 이 실시예는 질화물 제거율 감소제가 더 긴 소수성 물질을 포함하고, 더 포화되고/되거나 더 소수성일 때 질화물 보호가 증가되는 경향을 시사한다.As shown in Table 5, the nitride removal rate reducer affected silicon nitride protection. On Dow VP6000 pads with medium hardness, all samples (5A-5C) provided effective nitride protection as evidenced by low SiN removal rates and high TEOS/SiN removal selectivity. However, on the soft pad Fujibo H800 pad, only samples (5A, 5B) containing a nitride removal rate reducer with a long chain saturated hydrophobe provided effective nitride arrest. Therefore, this example demonstrates that the polishing compositions of the present invention work effectively on all types of polishing pads. Additionally, this example suggests that nitride protection tends to increase when the nitride removal rate reducer includes a longer hydrophobic material and is more saturated and/or more hydrophobic.

실시예 6: 디싱 감소의 입증Example 6: Demonstration of Dishing Reduction

이 실시예에서, 샘플 6A~6D에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, n-옥타데실 포스폰산, 음이온성 디싱 감소 중합체(존재할 경우) 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 3.0이었다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm STI 1 산화규소/질화규소 패턴화된 웨이퍼를 연마하였다. 웨이퍼는 약 50초 후에 레이저 측정에 의해 종료점이 지정되고, 20초 과-연마(over-polish) 된다.In this example, the polishing composition used in Samples 6A-6D consisted of 3 w/w% colloidal silica abrasive, an organic acid as a pH adjuster, n-octadecyl phosphonic acid, an anionic dishing reducing polymer (if present), and a liquid carrier. It included water. The pH of the polishing composition was 3.0. A 200 mm STI 1 silicon oxide/silicon nitride patterned wafer was polished using an Applied Materials Mirra CMP polisher on a Dow VP6000 pad with a down force of 2 psi and a flow rate of 175 mL/min. The wafer is endpointed by laser measurement after approximately 50 seconds and over-polished for 20 seconds.

산화물 디싱에 대한 음이온성 디싱 감소 중합체의 효과Effect of anionic dishing reducing polymers on oxide dishing 샘플Sample 음이온성
디싱 감소 중합체
anionic
Dish Reducing Polymer
디싱[Å]
5 ㎛ 피쳐 │ 50% 밀집
Dishing[Å]
5 ㎛ feature │ 50% dense
디싱[Å]
20 ㎛ 피쳐 │ 50% 밀집
Dishing[Å]
20 ㎛ features │ 50% dense
6A6A -- 11941194 12231223 6B6B 카라기난Carrageenan 9696 180180 6C6C 잔탄 검xanthan gum 3737 10681068 6D6D 카르복시메틸셀룰로오스Carboxymethylcellulose 172172 900900

표 6에 나타낸 바와 같이, 음이온성 디싱 감소 중합체의 첨가는 특히 작은 피쳐(feature) 상에서 산화물 디싱을 제어하는 데 효과적이다. 샘플 6A는 디싱 감소제를 포함하지 않은 반면, 샘플 6B, 6C 및 6D는 세 가지 상이한 유형의 디싱 감소제를 포함하였다. 표 6에서 볼 수 있는 바와 같이, 5 ㎛ 및 20 ㎛ 피쳐 모두에 대한 산화규소 디싱 값은 샘플 6A와 비교했을 때, 샘플 6B, 6C 및 6D에 대해서 훨씬 더 작다. As shown in Table 6, the addition of anionic dishing reducing polymers is effective in controlling oxide dishing, especially on small features. Sample 6A contained no dishing reducer, while samples 6B, 6C and 6D contained three different types of dishing reducer. As can be seen in Table 6, the silicon oxide dishing values for both 5 μm and 20 μm features are much smaller for Samples 6B, 6C and 6D compared to Sample 6A.

실시예 7: 농축액의 입증Example 7: Demonstration of Concentrate

이 실시예에서, 샘플 7A~7C에 사용된 연마 조성물은 3 w/w%의 중성 콜로이드성 실리카 연마제, pH 조절제로서 유기산 및/또는 수산화칼륨, n-옥타데실 포스폰산 및 액체 담체로서 물의 사용 시점 제형(point of use formulation)에 해당하는 농축액을 포함하였다. 단일 포트 용액(single pot solution)은 연마하는 데 필요한 모든 성분을 함유한 반면, 2-부분 시스템은 유기산을 제외한 모든 성분을 함유하였다. 평균 입자 크기(MPS)는 슬러리 안정성의 신뢰할 수 있는 지표이다. 불안정한 시스템에서, 입자는 시간이 지남에 따라 응집되어, 측정 가능한 MPS 성장을 야기하였다. 동적 광 산란 기술을 사용하여 Malvern 도구에서 MPS를 측정하였다. 슬러리를 60℃로 설정된 오븐에 보관하고, 7일마다 측정하였다. 가속 노화(accelerated aging) 시험에 대한 아레니우스 관계(Arrhenius relationship)에 따라, 21일의 전체 시험 실행(full test run)은 실온 노화의 대략 1년에 해당한다. 즉, 슬러리를 60℃에서 21일 동안 유지하고 실리카의 MPS가 현저하게 증가하지 않으면, 슬러리는 일 년의 실시간 저장 수명/유통 기한을 갖는다는 것이 확인될 수 있다.In this example, the polishing composition used in Samples 7A-7C consisted of 3 w/w% neutral colloidal silica abrasive, organic acid and/or potassium hydroxide as pH adjuster, n-octadecyl phosphonic acid, and water as liquid carrier. Concentrates corresponding to the point of use formulation were included. The single pot solution contained all ingredients needed for polishing, while the two-part system contained all ingredients except organic acids. Mean particle size (MPS) is a reliable indicator of slurry stability. In unstable systems, particles aggregated over time, resulting in measurable MPS growth. MPS was measured on a Malvern instrument using dynamic light scattering techniques. The slurry was stored in an oven set at 60°C and measured every 7 days. According to the Arrhenius relationship for accelerated aging testing, a full test run of 21 days corresponds to approximately one year of room temperature aging. That is, if the slurry is kept at 60°C for 21 days and the MPS of silica does not increase significantly, it can be confirmed that the slurry has a real-time shelf life/shelf life of one year.

슬러리 농축액의 가속 노화(60℃)Accelerated aging of slurry concentrate (60℃) 샘플Sample 유형category pHpH MPS [nm]
0 일
MPS [nm]
0 days
MPS [nm]
7 일
MPS [nm]
7 days
MPS [nm]
14 일
MPS [nm]
14 days
MPS [nm]
21 일
MPS [nm]
21st
7A7A 2× 단일 포트2× single port 2.22.2 6868 6969 6969 6969 7B7B 2× 단일 포트2× single port 3.03.0 6565 6565 6666 6666 7C7C 5× 2-부분5×2-part 9.59.5 7272 7373 7373 7474

표 7에 나타낸 바와 같이, 모든 제형은 전체 시험 수행을 통해 안정적이다. 중성 실리카에 대한 산성 영역에서의 안정성은 전형적으로 달성하기 어렵다. 단일 포트 용액은 2× 농도(표 7에 나타낸 데이터 선택) 및 다른 농도 수준(예를 들어, 3×, 4× 및 최대 10× 농도)(미도시)에서 약 2 내지 약 6.5의 pH에서 안정하였다. 2-부분 용액(7C)에서, 산을 제외하고는 모든 성분이 훨씬 더 많이 농축되어 안정적으로 유지될 수 있었다(최대 10배까지 안정하게 유지됨). 사용 시점에서, 산과 물은 연마 도구 상에서 연마하기 전에 슬러리를 재구성하기 위해 첨가될 것이다.As shown in Table 7, all formulations were stable throughout the entire test run. Stability in acidic regions for neutral silica is typically difficult to achieve. The single pot solution was stable at a pH of about 2 to about 6.5 at 2× concentration (data selection shown in Table 7) and other concentration levels (e.g., 3×, 4× and up to 10× concentration) (not shown). . In the two-part solution (7C), all components except the acid were much more concentrated and could remain stable (up to 10 times more stable). At the point of use, acid and water will be added to reconstitute the slurry prior to grinding on the grinding tool.

실시예 8: 패턴화된 웨이퍼 제거율 선택성의 입증Example 8: Demonstration of patterned wafer removal rate selectivity

이 실시예에서, 표 1, 3 및 5에 나타낸 콜로이드성 실리카 연마제 및 질화물 제거율 감소제를 함유하는 샘플 8A, 8B 및 8C에 사용된 연마 조성물을 사용하여 200 mm STI 패턴화된 웨이퍼를 연마하였고, 여기에서 패턴화된 질화규소는 도 2에 나타낸 바와 같이 고밀도 산화규소로 충전된다. 질화규소에서의 패턴은 다양한 피치(pitch) 및 밀도의 다수의 라인 스페이스, 스퀘어, 체커 및 메시 어레이가 웨이퍼 표면 전체에 걸쳐 정렬되도록 배치되었다.In this example, a 200 mm STI patterned wafer was polished using the polishing compositions used in Samples 8A, 8B, and 8C containing colloidal silica abrasives and nitride removal rate reducers shown in Tables 1, 3, and 5; Here the patterned silicon nitride is filled with high density silicon oxide as shown in Figure 2. The pattern in silicon nitride was arranged so that multiple arrays of line spaces, squares, checkers and meshes of various pitch and density were aligned across the wafer surface.

DowDupont VP6000 패드, 3M A165 CIP1 컨디셔닝 디스크가 장착된 Applied Materials 200 mm Mirra 연마 도구 상에서 연마를 하였으며, 2 PSI 웨이퍼 배압(back pressure)을 사용하였다. 연마 시간은 모터 토오크(motor torque) 및 적색 레이저(650 nm) 흡광도에 의한 현장 종점 검출(in-situ endpoint detection)에 기초하여 변화하였다. 연마 동안, 두 종점 신호 내의 피쳐가 관찰되어 필름 스택의 활성 라인에서 산화규소가 제거되고, 아래에 있는 질화규소가 노출되었음을 나타낸다. 패턴화된 산화규소 제거율은 질화규소의 노출 전에 제거된 재료의 양을 연마 시간으로 나눈 값으로 계산하였다. 이와 반대로, 패턴화된 질화규소 제거율은 제거된 재료의 양을 연마 조성물에 노출된 후의 시간으로 나눈 값으로 계산하였다. 연마가 완료되면, Fujifilm Wako 8901 CMP 후(post-CMP) 세정 화학 물질을 사용하여 200 mm 온트랙(OnTrack) CMP 후 세정 도구(Lam Research company)를 통해 웨이퍼를 세정하였다. KLA Tencor F5X 엘립소미터(ellipsometer)를 사용하여 모든 웨이퍼의 (예를 들어, 제거율을 결정하기 위해) 필름 두께 측정치를 측정하였다.Polishing was done on an Applied Materials 200 mm Mirra polishing tool equipped with a DowDupont VP6000 pad, 3M A165 CIP1 conditioning disk, and 2 PSI wafer back pressure. Polishing time was varied based on motor torque and in-situ endpoint detection by red laser (650 nm) absorbance. During polishing, features in the two endpoint signals are observed, indicating that silicon oxide is removed from the active lines of the film stack, exposing the underlying silicon nitride. The patterned silicon oxide removal rate was calculated as the amount of material removed before exposure to silicon nitride divided by the polishing time. In contrast, patterned silicon nitride removal rate was calculated as the amount of material removed divided by the time after exposure to the polishing composition. Once polishing was complete, the wafer was cleaned through a 200 mm OnTrack post-CMP cleaning tool (Lam Research company) using Fujifilm Wako 8901 post-CMP cleaning chemistry. Film thickness measurements (e.g., to determine removal rates) of all wafers were taken using a KLA Tencor F5X ellipsometer.

다양한 라인 스페이스 어레이에서의 패턴화된 웨이퍼 제거율과 선택성Patterned wafer removal rate and selectivity in various line space arrays
샘플

Sample
어레이 활성 라인 폭
(㎛)
Array active line width
(㎛)
어레이
피치
(㎛)
array
pitch
(㎛)
어레이 패턴 밀도
(%)
Array pattern density
(%)
산화규소
제거율
(Å/분)
silicon oxide
removal rate
(Å/min)
질화규소
제거율
(Å/분)
silicon nitride
removal rate
(Å/min)

선택성

selectivity
8A8A 55 5050 1010 13011301 1515 86.786.7 4545 5050 9090 749749 88 93.693.6 0.180.18 0.360.36 5050 13301330 77 190.0190.0 0.500.50 1.001.00 5050 10721072 1010 107.2107.2 100100 200200 5050 19201920 18.018.0 106.6106.6 8B8B 100100 200200 5050 17101710 31.831.8 53.853.8 8C8C 0.050.05 0.50.5 1010 10431043 238238 4.34.3

표 8에 제시된 데이터로부터, 블랭킷 웨이퍼 상에서 이전에 관찰된 산화규소와 질화규소 재료 제거율 사이의 높은 선택성은 산화규소(상단) 및 질화규소(하단) 모두를 함유하는 패턴화된 웨이퍼에서도 관찰된다. 표 8에서 알 수 있는 바와 같이, 샘플 8A에 대해서는, 산화규소 대 질화규소 선택성은 패턴 크기, 밀도 및 피치에 따라 86에서 190까지 다양하다. 샘플 8B에 대해서는, 산화규소 대 질화규소 선택성은 54인 반면, 샘플 8C에 대해서 선택성은 4이다. 표 8은 패턴화된 웨이퍼의 성능에 대한 대표적인 예만을 제공한다. 사내 실험에서 선택성 비율은 필름 복잡성에 따라 패턴화된 시험 웨이퍼 상에서 3(패턴화된 웨이퍼에 대해 만족스러운 것으로 간주됨)에서 약 1000까지 다양한 것으로 관찰되었다. 또한, 본원에 제시된 질화물 제거율 감소제를 함유하는 연마 조성물의 선택성은 선행 기술에 제시된 많은 유산, 산업 표준, 상업적으로 이용 가능한 세리아계 STI 연마 조성물의 선택성을 초과한다.From the data presented in Table 8, the high selectivity between silicon oxide and silicon nitride material removal rates previously observed on blanket wafers is also observed on patterned wafers containing both silicon oxide (top) and silicon nitride (bottom). As can be seen in Table 8, for Sample 8A, the silicon oxide to silicon nitride selectivity varied from 86 to 190 depending on pattern size, density and pitch. For sample 8B, the silicon oxide to silicon nitride selectivity is 54, while for sample 8C the selectivity is 4. Table 8 provides only representative examples of the performance of patterned wafers. In in-house experiments, selectivity ratios were observed to vary from 3 (considered satisfactory for patterned wafers) to about 1000 on patterned test wafers, depending on film complexity. Additionally, the selectivity of the polishing compositions containing the nitride removal rate reducers presented herein exceeds the selectivity of many legacy, industry standard, commercially available ceria-based STI polishing compositions presented in the prior art.

실시예 9: 패턴화된 웨이퍼 디싱 및 부식의 입증Example 9: Demonstration of Patterned Wafer Dishing and Erosion

이 실시예에서, 종점에서의 산화규소 디싱/단차 및 질화규소 부식/손실을 정량하기 위해 실시예 8에서 사용된 것과 유사한 패턴화된 웨이퍼를 Park Systems AFM 도구에서 측정하였다. 샘플 9A와 9B에 사용된 연마 조성물은 표 1, 3 및 5에 나타낸 질화물 제거율 감소제를 함유하며, 그 스택을 도 2에 도시되어 있는 패턴화된 웨이퍼를 연마하는 데 사용하였다. 산화규소 디싱/단차 및 질화규소 부식/손실 결과는 표 9에 나타나 있다. 평탄화 효율(Planarization efficiency, PE)은 백분율로 표시되며, 산화규소 단차의 변화를 연마 중에 제거된 산화물의 양으로 나눈 다음 (백분율로 변환시키기 위해) 백을 곱한 값과 같다.In this example, patterned wafers similar to those used in Example 8 were measured on a Park Systems AFM tool to quantify silicon oxide dishing/stepping and silicon nitride corrosion/loss at the endpoints. The polishing compositions used in Samples 9A and 9B contained the nitride removal rate reducers shown in Tables 1, 3, and 5, and the stack was used to polish the patterned wafer shown in Figure 2. Silicon oxide dishing/stepping and silicon nitride corrosion/loss results are shown in Table 9. Planarization efficiency (PE), expressed as a percentage, is equal to the change in silicon oxide step divided by the amount of oxide removed during polishing and multiplied by one hundred (to convert to a percentage).

패턴화된 웨이퍼 디싱 및 부식Patterned Wafer Dishing and Erosion 샘플Sample 어레이 활성 라인 폭(㎛)Array active line width (㎛) 어레이 피치
(㎛)
array pitch
(㎛)
어레이 패턴 밀도(%)Array pattern density (%) 산화물 디싱
(Å)
oxide dishing
(Å)
질화규소 부식(Å)Silicon Nitride Corrosion (Å) 평탄화 효율
(%)
Flattening efficiency
(%)
9A9A 55 5050 1010 4040 7474 4646 4545 5050 9090 157157 1010 3838 0.180.18 0.360.36 5050 4848 7070 1414 0.500.50 1.001.00 5050 35.635.6 6060 1717 100100 200200 5050 245245 3030 7474 9B9B 100100 200200 5050 375375 3434 7272

표 9에서 알 수 있는 바와 같이, 산화규소 디싱 및 질화규소 부식은 매우 작다. 전형적으로, 디싱과 부식을 위해, 매우 낮은 수치가 바람직하다. 디싱 및 부식 수치는 패턴화된 웨이퍼의 최종 토포그래피 CMP 후 연마의 평탄성을 나타낸다. 그래서, 이들 수치는 패턴화된 웨이퍼에서 여러 필름 유형을 포함하는 웨이퍼의 필름의 피크와 밸리에서의 분리를 측정하기 때문에, 이들 수치의 낮은 값(Å 단위)이 바람직하다. 수치가 낮을수록, 피크(peak)와 골(trough) 사이에 존재하는 간격이 줄어들며, 이는 반도체 제조에서 CMP 공정 단계의 전반적인 목표인 웨이퍼 표면이 더 평평해지는 것을 의미한다. 이상적으로는, 0의 디싱 및 부식 값이 바람직하다(완전하게 평평한 웨이퍼 표면을 의미함). 그러나, 통상적으로는, 이러한 수치는 일반적으로 실제 디바이스/제품 패턴화된 웨이퍼 상에서 수백 또는 수천 Å이다. 따라서, 표 9에 나타낸 데이터는, 연마 조성물이 매우 낮은 디싱 및 부식 값을 제공하고, 이에 따라 패턴화된 웨이퍼의 매우 양호한 토포그래피를 제공함에 있어서 독특하고/탁월한 성능을 제공함을 나타낸다. 표 9에서 알 수 있는 바와 같이, 산화규소 디싱은 35Å 정도로 낮고 375Å 정도로 높을 수 있다. 부식 수치는 30Å 정도로 낮고 74Å 정도로 높기 때문에, SiN 부식은 디싱보다 훨씬 낫다. 또한, 이들은 대표적인 실시예이며, 이러한 디싱 및 부식 수치는 본 실험에서 1000Å 정도로 높고 1Å 정도로 낮다는 것을 알았으며, 이는 본 발명의 목적에 여전히 만족스럽고 반도체 제조사가 수용할 수 있다.As can be seen in Table 9, silicon oxide dishing and silicon nitride corrosion are very small. Typically, for dishing and corrosion, very low values are desirable. The dishing and corrosion values indicate the smoothness of the polish after the final topography CMP of the patterned wafer. Therefore, low values (in Angstroms) of these values are desirable because they measure the separation in the peaks and valleys of the films of wafers containing different film types on patterned wafers. The lower the number, the smaller the gap between peaks and troughs, which means a flatter wafer surface, which is the overall goal of the CMP process step in semiconductor manufacturing. Ideally, a dishing and corrosion value of zero is desirable (implying a perfectly flat wafer surface). However, typically, these numbers are typically hundreds or thousands of Å on actual device/product patterned wafers. Accordingly, the data presented in Table 9 indicates that the polishing composition provides unique/excellent performance in providing very low dishing and corrosion values, and thus very good topography of the patterned wafer. As can be seen in Table 9, silicon oxide dishing can be as low as 35 Å and as high as 375 Å. With corrosion values as low as 30Å and as high as 74Å, SiN corrosion is much better than dishing. Additionally, these are representative examples, and these dishing and corrosion values were found in this experiment to be as high as 1000 Å and as low as 1 Å, which is still satisfactory for the purposes of the present invention and acceptable to semiconductor manufacturers.

평탄화 효율(PE)에 대해서는, 수치가 높을수록 결과가 우수하다. 이상적으로, 100%의 PE는 그 값이 전체 웨이퍼가 평탄화되고 평평하다는 것, 즉 피크와 밸리 사이에 단차가 없음을 의미하기 때문에 바람직하다. 표 9의 데이터로부터, PE는 14%의 낮은 수치부터 74%까지 다양하다는 것을 알 수 있다. 따라서, 이들 연마 조성물은 패턴화된 웨이퍼 상에 양호한 평탄화 효율을 제공한다.Regarding planarization efficiency (PE), the higher the value, the better the result. Ideally, a PE of 100% is desirable because that value means that the entire wafer is planarized and flat, i.e., there are no steps between peaks and valleys. From the data in Table 9, it can be seen that PE varies from a low of 14% to 74%. Accordingly, these polishing compositions provide good planarization efficiency on patterned wafers.

또한, 표 9에 제시된 데이터는 본원에 제시된 연마 조성물이 시판되는 상업적으로 입수 가능한 세리아계 STI 연마 조성물의 산화물 디싱, 질화규소 부식 및 평탄화 효율을 초과한다는 것을 보여준다.Additionally, the data presented in Table 9 show that the polishing compositions presented herein exceed the oxide dishing, silicon nitride corrosion and planarization efficiencies of commercially available ceria-based STI polishing compositions.

실시예 10: 연마 후 패턴화된 웨이퍼 결함의 입증Example 10: Demonstration of patterned wafer defects after polishing

이 실시예에서, 실시예 8 및 9에서 사용된 것과 유사한 패턴화된 웨이퍼의 결함을 시판중인 세리아계 STI 제형 및 실시예 8에 기술된 조성물 8A(질화물 제거율 감소제를 함유하는 실리카계 연마 조성물임)를 사용하여 KLA-AIT XUV 결함 카운터 도구에서 측정하였다. 조성물 8A를 사용하여 연마된 웨이퍼에 대한 웨이퍼 맵이 도 3에 제시되어 있다. 시판중인 세리아계 STI 연마 조성물을 사용하여 연마된 웨이퍼에 대한 웨이퍼 맵이 도 4에 제시되어 있다.In this example, defects in patterned wafers similar to those used in Examples 8 and 9 were treated with a commercially available ceria-based STI formulation and Composition 8A described in Example 8, which is a silica-based polishing composition containing a nitride removal rate reducer. ) was measured on a KLA-AIT XUV defect counter tool. A wafer map for a wafer polished using composition 8A is shown in Figure 3. A wafer map for a wafer polished using a commercially available ceria-based STI polishing composition is presented in Figure 4.

도 4에 의해 입증된 바와 같이, 세리아계 제형은 연마재의 상대적인 경도 및 크기로 인해 웨이퍼 전체에 걸친 많은 결함(총 결함 개수는 10,000개를 초과함)과 함께 심한 아크 스크래치(heavy arc scratching)를 일으키기 쉬웠다. 결함의 면밀한 조사는 많은 잔류물에 의해 수반되는 많은 매크로 및 미세 스크래치가 있었으며, 이 중 많은 것이 전체적인 디바이스 킬링 결함(device killing defect)으로 간주될 수 있음을 보여준다. 그러나 도 3은 연마제로서 고순도의 콜로이드성 실리카를 함유하는 연마 조성물 8A가 세리아계 조성물보다 훨씬 적은 스크래치를 갖는 것을 보여준다(도 4). 실제로, 실리카 연마 조성물은 거의 "결함이 없고(defect-free)" 깨끗한 표면을 보여준다. 총 결함 수는 크기가 적어도 90 nm인 결함에 대해서 대략 175개이다. 결함은 최종 디바이스 수율 및 판매 가능한 칩의 생산의 핵심이다. 도 4에 도시된 패턴화된 웨이퍼에서는, 패턴화된 웨이퍼당 1000개의 다이(die)(각 정사각형)가 있다고 가정한다. 결함이 있는 각각의 다이는 결함이 디바이스 킬러 결함일 경우, 판매할 수 없는 것으로 판명될 수 있다. 따라서, 세리아계 연마 조성물은 다량의 결함을 나타내므로, 웨이퍼당 판매 가능한 칩의 수율은 더 낮을 것이다. 이와 반대로, 본 발명의 연마 조성물에 있어서, 결함은 상당히 더 적어서 웨이퍼당 판매 가능한 칩의 수율이 현저히 높다.As evidenced by Figure 4, ceria-based formulations cause heavy arc scratching with many defects throughout the wafer (total number of defects exceeds 10,000) due to the relative hardness and size of the abrasive. It was easy. A closer examination of the defects shows that there were many macro and micro scratches accompanied by a lot of residue, many of which could be considered overall device killing defects. However, Figure 3 shows that polishing composition 8A containing high purity colloidal silica as an abrasive has significantly fewer scratches than the ceria-based composition ( Figure 4 ). In fact, silica polishing compositions exhibit virtually “defect-free” and clean surfaces. The total number of defects is approximately 175 for defects at least 90 nm in size. Defects are key to final device yield and production of salable chips. For the patterned wafer shown in Figure 4, assume there are 1000 dies (each square) per patterned wafer. Each defective die may turn out to be unsaleable if the defect is a device killer defect. Therefore, since ceria-based polishing compositions exhibit a large amount of defects, the yield of salable chips per wafer will be lower. In contrast, with the polishing compositions of the present invention, defects are significantly lower and the yield of salable chips per wafer is significantly higher.

따라서, 본 발명의 연마 조성물을 사용함으로써 얻어지는 낮은 결함은 이들의 수익의 상한선과 하한선을 증가시키기 때문에 반도체 회사에 매우 매력적이다. 기술적인 관점에서, 세리아 연마제는 성질이 무기성이며(예를 들어, 세륨 란탄족 금속계 산화물), 일반적으로 경질이고 실리카 연마제보다 크기가 더 커서 웨이퍼 표면 위에 다량의 스크래치 및 결함을 제공하기 쉽다. 이와 반대로, 콜로이드성 실리카 연마제는 성질이 유기성이며(규소 비금속계 산화물이며 콜로이드성 분산 형태임) 일반적으로 연질이므로, 연마 중에 스크래치 또는 결함을 발생시키지 않는다.Therefore, the low defects achieved by using the polishing compositions of the present invention are very attractive to semiconductor companies because it increases the upper and lower limits of their profits. From a technical standpoint, ceria abrasives are inorganic in nature (e.g., cerium lanthanide metal-based oxide), are generally hard, and are larger in size than silica abrasives, making them prone to providing a large number of scratches and defects on the wafer surface. In contrast, colloidal silica abrasives are organic in nature (they are non-metallic oxides of silicon and are in colloidal dispersion form) and are generally soft, so they do not cause scratches or defects during polishing.

당업자는 질화규소에 대한 산화규소의 만족할만한 제거 선택성을 갖는 실리카계 STI 연마 조성물을 개발할 수는 없었다. 본원에 개시된 바와 같이, 본 발명자들은 실리카계 STI 연마 조성물을 업계에 공급할 수 있는 실리카와 질화규소 제거율 감소제의 시너지 효과의 조합을 발견하였다. 또한, 본 명세서에 기술된 발명은 실리카 이외의 연마제(알루미나, 티타니아 등)에도 적용할 수 있다.Those skilled in the art have not been able to develop silica-based STI polishing compositions with satisfactory removal selectivity of silicon oxide over silicon nitride. As disclosed herein, the inventors have discovered a synergistic combination of silica and silicon nitride removal rate reducers that can provide the industry with silica-based STI polishing compositions. Additionally, the invention described herein can also be applied to abrasives other than silica (alumina, titania, etc.).

본 발명은 본원에 설명된 실시예에 관하여 기술되었지만, 첨부된 청구범위에 정의된 바와 같은 본 발명의 사상과 범위를 벗어나지 않으면서 다른 수정 및 변형이 가능한 것으로 이해된다.Although the invention has been described with respect to the embodiments described herein, it is understood that other modifications and variations are possible without departing from the spirit and scope of the invention as defined in the appended claims.

Claims (20)

연마 조성물(polishing composition)로서,
적어도 하나의 연마제;
적어도 하나의 질화물 제거율 감소제(nitride removal rate reducing agent)로서
C12 내지 C40 탄화수소기를 포함하는 소수성 부분; 및
설피나이트기(sulfinite group), 설페이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 포함하는 친수성 부분
을 포함하며, 여기에서 소수성 부분과 친수성 부분은 0개 내지 10개의 알킬렌 산화물 기에 의해 분리되는 것인 적어도 하나의 질화물 제거율 감소제;
산 또는 염기; 및

을 포함하며, 여기에서 연마 조성물은 염 및 산화제를 포함하지 않고, 연마 조성물은 2 내지 6.5의 pH를 갖는 연마 조성물.
As a polishing composition,
at least one abrasive;
As at least one nitride removal rate reducing agent
A hydrophobic portion containing a C 12 to C 40 hydrocarbon group; and
A hydrophilic portion containing at least one group selected from the group consisting of a sulfinite group, a sulfate group, a carboxylate group, a phosphate group, and a phosphonate group.
At least one nitride removal rate reducer comprising: wherein the hydrophobic portion and the hydrophilic portion are separated by 0 to 10 alkylene oxide groups;
acid or base; and
water
wherein the polishing composition is free of salts and oxidizing agents, and the polishing composition has a pH of 2 to 6.5.
제1항에 있어서,
적어도 하나의 디싱 감소제(dishing reducing agent)를 더 포함하고;
여기에서 적어도 하나의 디싱 감소제는 하이드록실, 설페이트, 포스포네이트, 포스페이트, 설포네이트, 아민, 나이트레이트, 나이트라이트, 카르복실레이트 및 카보네이트 기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 포함하는 화합물인 것인 연마 조성물.
According to paragraph 1,
further comprising at least one dishing reducing agent;
wherein the at least one dishing reducing agent is a compound comprising at least one group selected from the group consisting of hydroxyl, sulfate, phosphonate, phosphate, sulfonate, amine, nitrate, nitrite, carboxylate and carbonate groups. A polishing composition.
제2항에 있어서,
적어도 하나의 디싱 감소제는 다당류 및 치환된 다당류로 이루어지는 군으로부터 선택되는 적어도 하나인 것인 연마 조성물.
According to paragraph 2,
A polishing composition, wherein the at least one dishing reducing agent is at least one selected from the group consisting of polysaccharides and substituted polysaccharides.
제2항에 있어서,
적어도 하나의 디싱 감소제는 카라기난, 잔탄 검, 하이드록시프로필 셀룰로오스, 메틸 셀룰로오스, 에틸 셀룰로오스, 하이드록시프로필 메틸 셀룰로오스 또는 카르복시메틸 셀룰로오스를 포함하는 것인 연마 조성물.
According to paragraph 2,
A polishing composition, wherein the at least one dishing reducing agent comprises carrageenan, xanthan gum, hydroxypropyl cellulose, methyl cellulose, ethyl cellulose, hydroxypropyl methyl cellulose, or carboxymethyl cellulose.
제1항에 있어서,
소수성 부분은 C12 내지 C32 탄화수소기를 포함하는 것인 연마 조성물.
According to paragraph 1,
A polishing composition wherein the hydrophobic portion includes a C 12 to C 32 hydrocarbon group.
제1항에 있어서,
소수성 부분은 C16 내지 C22 탄화수소기를 포함하는 것인 연마 조성물.
According to paragraph 1,
A polishing composition wherein the hydrophobic portion includes a C 16 to C 22 hydrocarbon group.
제1항에 있어서,
친수성 부분은 포스페이트기 또는 포스포네이트기를 포함하는 것인 연마 조성물.
According to paragraph 1,
A polishing composition wherein the hydrophilic portion includes a phosphate group or a phosphonate group.
제1항에 있어서,
적어도 하나의 질화물 제거율 감소제는 라우릴 포스페이트, 미리스틸 포스페이트, 스테아릴 포스페이트, 옥타데실포스폰산, 올레일 포스페이트, 베헤닐 포스페이트, 옥타데실 설페이트, 라세릴 포스페이트, 올레쓰-3-포스페이트 및 올레쓰-10-포스페이트로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
According to paragraph 1,
At least one nitride removal rate reducing agent is lauryl phosphate, myristyl phosphate, stearyl phosphate, octadecylphosphonic acid, oleyl phosphate, behenyl phosphate, octadecyl sulfate, raceryl phosphate, oleth-3-phosphate and oleth. A polishing composition selected from the group consisting of -10-phosphate.
제1항에 있어서,
적어도 하나의 질화물 제거율 감소제는 소수성 부분과 친수성 부분을 분리하는 0개(zero)의 알킬렌 산화물 기를 갖는 것인 연마 조성물.
According to paragraph 1,
A polishing composition, wherein the at least one nitride removal rate reducer has zero alkylene oxide groups separating the hydrophobic portion and the hydrophilic portion.
제2항에 있어서,
적어도 하나의 질화물 제거율 감소제와 적어도 하나의 디싱 감소제는 화학적으로 서로 다른 것인 연마 조성물.
According to paragraph 2,
A polishing composition wherein the at least one nitride removal rate reducer and the at least one dishing reducer are chemically different from each other.
제1항에 있어서,
연마 조성물은 적어도 3:1의 산화규소에 대한 제거율 대 질화규소에 대한 제거율의 비를 갖는 것인 연마 조성물.
According to paragraph 1,
The polishing composition has a removal rate for silicon oxide to removal rate for silicon nitride of at least 3:1.
제1항에 있어서,
연마 조성물은 적어도 100:1의 산화규소에 대한 제거율 대 질화규소에 대한 제거율의 비를 갖는 것인 연마 조성물.
According to paragraph 1,
The polishing composition has a removal rate for silicon oxide to removal rate for silicon nitride of at least 100:1.
제1항에 있어서,
적어도 하나의 연마제는 양이온성 연마제, 중성인 연마제 및 음이온성 연마제로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
According to paragraph 1,
A polishing composition, wherein the at least one abrasive is selected from the group consisting of cationic abrasives, neutral abrasives, and anionic abrasives.
제1항에 있어서,
적어도 하나의 연마제는 알루미나, 실리카, 티타니아, 세리아(ceria), 지르코니아, 이들의 공동 형성 생성물(co-formed products), 코팅된 연마제, 표면 변성 연마제 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
According to paragraph 1,
The at least one abrasive is selected from the group consisting of alumina, silica, titania, ceria, zirconia, co-formed products thereof, coated abrasives, surface modified abrasives, and mixtures thereof. Composition.
제1항에 있어서,
산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카르복시산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노 아세트산, 과산화 아세트산, 페녹시 아세트산, 글리신, 바이신, 디글리콜산, 글리세르산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 라이신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
According to paragraph 1,
Acids include formic acid, acetic acid, malonic acid, citric acid, propionic acid, malic acid, adipic acid, succinic acid, lactic acid, oxalic acid, hydroxyethylidene diphosphonic acid, 2-phosphono-1,2,4-butane tricarboxylic acid, aminotri Methylene phosphonic acid, hexamethylenediamine tetra(methylenephosphonic acid), bis(hexamethylene)triamine phosphonic acid, amino acetic acid, peroxyacetic acid, phenoxy acetic acid, glycine, bicine, diglycolic acid, glyceric acid, tricine, selected from the group consisting of alanine, histidine, valine, phenylalanine, proline, glutamine, aspartic acid, glutamic acid, arginine, lysine, tyrosine, benzoic acid, nitric acid, sulfuric acid, sulfurous acid, phosphoric acid, phosphonic acid, hydrochloric acid, periodic acid, and mixtures thereof. A polishing composition that is.
제1항에 있어서,
염기는 수산화 칼륨, 수산화 나트륨, 수산화 세슘, 수산화 암모늄, 트리에탄올 아민, 디에탄올 아민, 모노에탄올 아민, 수산화 테트라부틸 암모늄, 수산화 테트라메틸 암모늄, 수산화 리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨릴트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
According to paragraph 1,
Bases include potassium hydroxide, sodium hydroxide, cesium hydroxide, ammonium hydroxide, triethanol amine, diethanol amine, monoethanol amine, tetrabutyl ammonium hydroxide, tetramethyl ammonium hydroxide, lithium hydroxide, imidazole, triazole, aminotriazole, and tetrazole. A polishing composition selected from the group consisting of benzotriazole, tolyltriazole, pyrazole, isothiazole, and mixtures thereof.
제1항에 있어서,
연마 조성물은 음이온성 중합체를 포함하지 않는 연마 조성물.
According to paragraph 1,
The polishing composition is a polishing composition that does not include an anionic polymer.
기판의 표면상에 적어도 질화규소 및 적어도 산화규소를 갖는 기판에 제1항 내지 제17항 중 어느 한 항의 연마 조성물을 도포하는 단계; 및
패드(pad)를 기판의 표면과 접촉시키고 기판에 대해 패드를 이동시키는 단계
를 포함하는 연마 방법.
Applying the polishing composition of any one of claims 1 to 17 to a substrate having at least silicon nitride and at least silicon oxide on the surface of the substrate; and
contacting a pad with the surface of the substrate and moving the pad relative to the substrate
A polishing method comprising:
제18항에 있어서,
질화규소와 산화규소 중 적어도 하나는 탄소, 질소, 산소 및 수소로 이루어지는 군으로부터 선택되는 적어도 하나의 도펀트(dopant)로 도핑되는 것인 연마 방법.
According to clause 18,
A polishing method wherein at least one of silicon nitride and silicon oxide is doped with at least one dopant selected from the group consisting of carbon, nitrogen, oxygen, and hydrogen.
제18항에 있어서,
기판으로부터 반도체 디바이스를 형성하는 단계를 더 포함하는 것인 연마 방법.
According to clause 18,
A polishing method further comprising forming a semiconductor device from the substrate.
KR1020230017155A 2018-12-19 2023-02-09 Polishing compositions and methods of using same KR102639156B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020240022574A KR20240025577A (en) 2018-12-19 2024-02-16 Polishing compositions and methods of using same

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862781648P 2018-12-19 2018-12-19
US62/781,648 2018-12-19
US16/356,669 US10759970B2 (en) 2018-12-19 2019-03-18 Polishing compositions and methods of using same
US16/356,669 2019-03-18
KR1020210121586A KR102499874B1 (en) 2018-12-19 2021-09-13 Polishing compositions and methods of using same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020210121586A Division KR102499874B1 (en) 2018-12-19 2021-09-13 Polishing compositions and methods of using same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020240022574A Division KR20240025577A (en) 2018-12-19 2024-02-16 Polishing compositions and methods of using same

Publications (2)

Publication Number Publication Date
KR20230022939A KR20230022939A (en) 2023-02-16
KR102639156B1 true KR102639156B1 (en) 2024-02-20

Family

ID=66625751

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020190054845A KR102303864B1 (en) 2018-12-19 2019-05-10 Polishing compositions and methods of using same
KR1020210121586A KR102499874B1 (en) 2018-12-19 2021-09-13 Polishing compositions and methods of using same
KR1020230017155A KR102639156B1 (en) 2018-12-19 2023-02-09 Polishing compositions and methods of using same
KR1020240022574A KR20240025577A (en) 2018-12-19 2024-02-16 Polishing compositions and methods of using same

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020190054845A KR102303864B1 (en) 2018-12-19 2019-05-10 Polishing compositions and methods of using same
KR1020210121586A KR102499874B1 (en) 2018-12-19 2021-09-13 Polishing compositions and methods of using same

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020240022574A KR20240025577A (en) 2018-12-19 2024-02-16 Polishing compositions and methods of using same

Country Status (8)

Country Link
US (2) US10759970B2 (en)
EP (1) EP3670621B1 (en)
JP (1) JP2022514788A (en)
KR (4) KR102303864B1 (en)
CN (1) CN111334194A (en)
SG (1) SG11202106584QA (en)
TW (2) TWI719463B (en)
WO (1) WO2020131153A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763119B2 (en) 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
KR20210018607A (en) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 Polishing slurry, method for manufacturing a display device using the same and disple device
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
KR20230162028A (en) * 2021-03-26 2023-11-28 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Polishing composition and method of use thereof
CN113913115B (en) * 2021-10-20 2022-09-06 博力思(天津)电子科技有限公司 Alkaline polishing solution for silicon through hole barrier layer

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001507739A (en) 1996-12-30 2001-06-12 キャボット マイクロエレクトロニクス コーポレイション Composition for oxide CMP
JP2002231666A (en) 2001-01-31 2002-08-16 Fujimi Inc Composition for polishing, and polishing method using the composition
US20060068589A1 (en) 2004-09-29 2006-03-30 Jinru Bian Selective barrier slurry for chemical mechanical polishing
JP2013045944A (en) 2011-08-25 2013-03-04 Hitachi Chemical Co Ltd Polishing method of substrate
US20150221521A1 (en) 2014-02-05 2015-08-06 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
WO2017163847A1 (en) 2016-03-25 2017-09-28 株式会社フジミインコーポレーテッド Polishing composition and polishing method, and method for manufacturing semiconductor substrate
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100464748B1 (en) * 1996-09-27 2005-01-05 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 Composition and Method for Polishing a Composite
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
FR2785614B1 (en) * 1998-11-09 2001-01-26 Clariant France Sa NOVEL SELECTIVE MECHANICAL CHEMICAL POLISHING BETWEEN A SILICON OXIDE LAYER AND A SILICON NITRIDE LAYER
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US20040123528A1 (en) * 2002-12-30 2004-07-01 Jung Jong Goo CMP slurry for semiconductor device, and method for manufacturing semiconductor device using the same
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
TWI288046B (en) 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
JP4316406B2 (en) 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド Polishing composition
JP2006179678A (en) * 2004-12-22 2006-07-06 Hitachi Chem Co Ltd Cmp abrasive for semiconductor insulating film and method for polishing substrate
CN101443890A (en) 2006-05-16 2009-05-27 昭和电工株式会社 Method for producing polishing composition
KR101256551B1 (en) * 2008-03-06 2013-04-19 주식회사 엘지화학 Cmp slurry and polishing method using the same
JP2012109287A (en) * 2009-03-13 2012-06-07 Asahi Glass Co Ltd Abrasive for semiconductor, manufacturing method thereof, and polishing method
US8728341B2 (en) * 2009-10-22 2014-05-20 Hitachi Chemical Company, Ltd. Polishing agent, concentrated one-pack type polishing agent, two-pack type polishing agent and method for polishing substrate
US8491808B2 (en) * 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride
US8273142B2 (en) * 2010-09-02 2012-09-25 Cabot Microelectronics Corporation Silicon polishing compositions with high rate and low defectivity
CN102559058B (en) * 2010-12-21 2015-05-27 安集微电子(上海)有限公司 Chemical-mechanical polishing liquid
DE102011089221A1 (en) 2011-12-20 2013-06-20 Henkel Ag & Co. Kgaa Colorants with substantive dyes and phosphate surfactants
JPWO2014069457A1 (en) 2012-11-02 2016-09-08 株式会社フジミインコーポレーテッド Polishing composition
CN103834305B (en) * 2012-11-22 2017-08-29 安集微电子(上海)有限公司 A kind of chemical mechanical polishing liquid
JP2014130957A (en) * 2012-12-28 2014-07-10 Kao Corp Polishing liquid composition for semiconductor substrate
TWI611049B (en) 2014-10-21 2018-01-11 卡博特微電子公司 Corrosion inhibitors and related compositions and methods
JP6538368B2 (en) 2015-02-24 2019-07-03 株式会社フジミインコーポレーテッド Polishing composition and polishing method
US10946494B2 (en) 2015-03-10 2021-03-16 Showa Denko Materials Co., Ltd. Polishing agent, stock solution for polishing agent, and polishing method
JP6582567B2 (en) * 2015-06-03 2019-10-02 日立化成株式会社 Slurry and manufacturing method thereof, and polishing method
KR102463863B1 (en) * 2015-07-20 2022-11-04 삼성전자주식회사 Polishing compositions and methods of manufacturing semiconductor devices using the same
US10066126B2 (en) 2016-01-06 2018-09-04 Cabot Microelectronics Corporation Tungsten processing slurry with catalyst

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001507739A (en) 1996-12-30 2001-06-12 キャボット マイクロエレクトロニクス コーポレイション Composition for oxide CMP
JP2002231666A (en) 2001-01-31 2002-08-16 Fujimi Inc Composition for polishing, and polishing method using the composition
US20060068589A1 (en) 2004-09-29 2006-03-30 Jinru Bian Selective barrier slurry for chemical mechanical polishing
JP2013045944A (en) 2011-08-25 2013-03-04 Hitachi Chemical Co Ltd Polishing method of substrate
US20150221521A1 (en) 2014-02-05 2015-08-06 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
WO2017163847A1 (en) 2016-03-25 2017-09-28 株式会社フジミインコーポレーテッド Polishing composition and polishing method, and method for manufacturing semiconductor substrate
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity

Also Published As

Publication number Publication date
SG11202106584QA (en) 2021-07-29
US10759970B2 (en) 2020-09-01
TW202116970A (en) 2021-05-01
KR102499874B1 (en) 2023-02-13
WO2020131153A1 (en) 2020-06-25
EP3670621A1 (en) 2020-06-24
KR20200077372A (en) 2020-06-30
TW202223060A (en) 2022-06-16
KR20210117233A (en) 2021-09-28
KR20230022939A (en) 2023-02-16
KR20240025577A (en) 2024-02-27
US20200199409A1 (en) 2020-06-25
TWI719463B (en) 2021-02-21
TW202024285A (en) 2020-07-01
KR102303864B1 (en) 2021-09-17
TWI758069B (en) 2022-03-11
CN111334194A (en) 2020-06-26
EP3670621B1 (en) 2023-10-11
US20200339837A1 (en) 2020-10-29
JP2022514788A (en) 2022-02-15

Similar Documents

Publication Publication Date Title
KR102303865B1 (en) Polishing compositions and methods of using same
KR102639156B1 (en) Polishing compositions and methods of using same
US20120070989A1 (en) Stabilized, Concentratable Chemical Mechanical Polishing Composition And Method Of Polishing A Substrate
US20230265313A1 (en) Polishing Compositions and Methods of Using Same
US8232208B2 (en) Stabilized chemical mechanical polishing composition and method of polishing a substrate
TWI836341B (en) Polishing compositions and methods of using same
TWI836290B (en) Polishing compositions and methods of using same

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant