KR102494132B1 - 유전체 필름 형성 조성물 - Google Patents

유전체 필름 형성 조성물 Download PDF

Info

Publication number
KR102494132B1
KR102494132B1 KR1020187027425A KR20187027425A KR102494132B1 KR 102494132 B1 KR102494132 B1 KR 102494132B1 KR 1020187027425 A KR1020187027425 A KR 1020187027425A KR 20187027425 A KR20187027425 A KR 20187027425A KR 102494132 B1 KR102494132 B1 KR 102494132B1
Authority
KR
South Korea
Prior art keywords
dielectric film
acrylate
meth
conductive metal
carboxyethyl
Prior art date
Application number
KR1020187027425A
Other languages
English (en)
Other versions
KR20200053388A (ko
Inventor
산제이 말릭
윌리엄 에이. 라이너스
오그냔 디모프
라즈 사카무리
Original Assignee
후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. filed Critical 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Publication of KR20200053388A publication Critical patent/KR20200053388A/ko
Application granted granted Critical
Publication of KR102494132B1 publication Critical patent/KR102494132B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F283/00Macromolecular compounds obtained by polymerising monomers on to polymers provided for in subclass C08G
    • C08F283/04Macromolecular compounds obtained by polymerising monomers on to polymers provided for in subclass C08G on to polycarbonamides, polyesteramides or polyimides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F2/00Processes of polymerisation
    • C08F2/46Polymerisation initiated by wave energy or particle radiation
    • C08F2/48Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light
    • C08F2/50Polymerisation initiated by wave energy or particle radiation by ultraviolet or visible light with sensitising agents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/102Esters of polyhydric alcohols or polyhydric phenols of dialcohols, e.g. ethylene glycol di(meth)acrylate or 1,4-butanediol dimethacrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/105Esters of polyhydric alcohols or polyhydric phenols of pentaalcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F267/00Macromolecular compounds obtained by polymerising monomers on to polymers of unsaturated polycarboxylic acids or derivatives thereof as defined in group C08F22/00
    • C08F267/10Macromolecular compounds obtained by polymerising monomers on to polymers of unsaturated polycarboxylic acids or derivatives thereof as defined in group C08F22/00 on to polymers of amides or imides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1003Preparatory processes
    • C08G73/1007Preparatory processes from tetracarboxylic acids or derivatives and diamines
    • C08G73/101Preparatory processes from tetracarboxylic acids or derivatives and diamines containing chain terminating or branching agents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1039Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors comprising halogen-containing substituents
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1067Wholly aromatic polyimides, i.e. having both tetracarboxylic and diamino moieties aromatically bound
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1085Polyimides with diamino moieties or tetracarboxylic segments containing heterocyclic moieties
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/1092Polysuccinimides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J3/00Processes of treating or compounding macromolecular substances
    • C08J3/24Crosslinking, e.g. vulcanising, of macromolecules
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/18Manufacture of films or sheets
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J5/00Manufacture of articles or shaped materials containing macromolecular substances
    • C08J5/24Impregnating materials with prepolymers which can be polymerised in situ, e.g. manufacture of prepregs
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/01Use of inorganic substances as compounding ingredients characterized by their specific function
    • C08K3/013Fillers, pigments or reinforcing additives
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K3/00Use of inorganic substances as compounding ingredients
    • C08K3/34Silicon-containing compounds
    • C08K3/36Silica
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/0008Organic ingredients according to more than one of the "one dot" groups of C08K5/01 - C08K5/59
    • C08K5/0025Crosslinking or vulcanising agents; including accelerators
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K5/00Use of organic ingredients
    • C08K5/04Oxygen-containing compounds
    • C08K5/09Carboxylic acids; Metal salts thereof; Anhydrides thereof
    • C08K5/098Metal salts of carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • C09D4/06Organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond in combination with a macromolecular compound other than an unsaturated polymer of groups C09D159/00 - C09D187/00
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/025Non-macromolecular photopolymerisable compounds having carbon-to-carbon triple bonds, e.g. acetylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/037Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0387Polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3737Organic materials with or without a thermoconductive filler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/106Esters of polycondensation macromers
    • C08F222/1065Esters of polycondensation macromers of alcohol terminated (poly)urethanes, e.g. urethane(meth)acrylates
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2379/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen, or carbon only, not provided for in groups C08J2361/00 - C08J2377/00
    • C08J2379/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08J2379/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08KUse of inorganic or non-macromolecular organic substances as compounding ingredients
    • C08K2201/00Specific properties of additives
    • C08K2201/002Physical properties
    • C08K2201/005Additives being defined by their particle size in general
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Optics & Photonics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Laminated Bodies (AREA)
  • Paints Or Removers (AREA)
  • Graft Or Block Polymers (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Materials For Photolithography (AREA)
  • Inorganic Insulating Materials (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

본 발명은 a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; b) 적어도 하나의 금속 함유 (메트)아크릴레이트; c) 적어도 하나의 촉매; 및 d) 적어도 하나의 용매를 함유하는 유전체 필름 형성 조성물뿐만 아니라, 관련 방법 및 관련 제품에 관한 것이다. 조성물은 유전체 필름이 레이저 어블레이션 공정에 의해 패턴화될 때, 실질적으로 부스러기를 발생시키지 않는 유전체 필름을 형성할 수 있다.

Description

유전체 필름 형성 조성물
관련 출원에 대한 상호 참조
본 출원은 2017년 11월 6일자로 출원된 미국 가출원 번호 제62/581,895호, 및 2017년 9월 11일자로 출원된 미국 가출원 번호 제62/556,723호에 대한 우선권을 청구한다. 그 이전 출원은 본원에 그 전체가 참조로 포함되어 있다.
모바일 컴퓨팅 애플리케이션의 지속적인 확장은 더 작은 디바이스 풋프린트에서 점점 더 커지는 연산력 레벨의 패킹을 요구한다. 반도체 디바이스의 설계자는 새로운 디바이스 요건을 충족시키기 위해 다양한 새로운 칩 아키텍처의 사용에 의존하고 있다. 이들 새로운 아키텍처는 새로운 집적 회로의 설계자뿐만 아니라, 이들 디바이스에 사용될 패키징 재료의 설계자에게도 중요한 도전 과제를 제기한다.
패키징 애플리케이션을 위한 유전체 재료 요건은 이러한 새롭고 진보된 디바이스가 웨이퍼와 패널-레벨 패키징(WLP와 PLP) 및 3D 집적화(integration)에 크게 의존하고 있기 때문에, 계속해서 진화하고 있다. 구조를 통해 다단계(multistage)를 통하여 연결된 다중 유전체 빌드업 층으로 이루어진 고밀도 인터커넥트(HDI) 아키텍처는 더 작은 공간에 더 많은 연산력을 패키징하기 위해 사용되고 있다. 진보된 반도체 패키징 애플리케이션에서, 구리 트레이스(copper trace)의 극도로 작은 치수와 조밀한 피치(예를 들어, 2×2 미크론)를 갖는 구리 인터커넥트 구조를 생산할 필요가 있게 되었다. 유전체 필름의 엑시머 레이저 어블레이션(excimer laser ablation)은 이러한 수준의 패터닝을 달성하기 위한 편리한 기술 중 하나이다. 그러나, 종래의 유전체 재료의 경우, 어블레이션 공정 동안 많은 양의 부스러기가 형성된다. 부스러기의 존재는 균일한 시드 층(seed layer) 증착을 막아 구리 증착 공정을 방해할 수 있다. 따라서, 어블레이션 부스러기를 제거하는 것이 필수적이다. 이러한 어블레이션 부스러기의 제거는 흔히 화학 및/또는 플라스마 처리에 의한 추가적인 세정 공정 단계를 필요로 하며, 이는 비용을 증가시킨다. 이러한 요구되는 세정 공정을 줄이거나 또는 제거하기 위해, 고해상도 패터닝이 가능하면서 또한 어블레이션 부스러기를 거의 또는 전혀 생성하지 않는 유전체 재료를 개발하는 것이 유리할 것이다. 본 발명은 본 발명의 신규한 유전체 필름 형성 조성물의 사용을 통해 이러한 요구를 처리한다.
본 발명의 일 실시예는 다음을 함유하는 신규한 유전체 필름 형성 조성물을 특징으로 한다:
a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체;
b) 적어도 하나의 금속 함유 (메트)아크릴레이트;
c) 적어도 하나의 촉매; 및
d) 적어도 하나의 용매.
일부 실시예에서, 본 발명은 본원에 기술된 조성물에 의해 형성된 신규한 유전체 필름(예를 들어, 자립형(self-standing) 필름)을 특징으로 한다. 일부 실시예에서, 유전체 필름은: a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; b) 적어도 하나의 금속 함유 (메트)아크릴레이트 (예를 들어, 비가교된 금속 함유 (메트)아크릴레이트); 및 c) 적어도 하나의 촉매를 함유할 수 있다. 일부 실시예에서, 유전체 필름은, (예를 들어, 가교 후): a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; 및 b) 적어도 하나의 가교된 금속 함유 (메트)아크릴레이트를 포함할 수 있다.
일부 실시예에서, 본 발명은 다음의 단계를 포함하는, 전도성 금속 층을 증착하는(예를 들어, 내장된(embedded) 구리 트레이스 구조를 생성하는) 공정을 특징으로 한다:
a) 기판 위에 본원에 기술된 유전체 필름 형성 조성물을 코팅하여(예를 들어, 스핀 코팅에 의해) 유전체 필름을 형성하는 단계;
b) 유전체 필름을 방사선 또는 열 공급원에 노출시키는 단계;
c) 필름을 패터닝하여 개구(opening)를 갖는 패턴화된 유전체 필름을 형성하는 단계; 및
d) 패턴화된 유전체 필름의 적어도 하나의 개구에 전도성 금속을 증착시키는 단계.
일부 실시예에서, 공정은 전도성 금속을 증착하기 전에 패턴화된 유전체 필름 위에 시드 층을 증착하는 단계를 더 포함한다.
일부 실시예에서, 본 발명은 건식(dry) 필름 구조를 제조하는 방법을 특징으로 한다. 이 방법은 다음을 포함한다:
(A) 캐리어 기판을 본원에 기술된 유전체 필름 형성 조성물로 코팅하는 단계;
(B) 코팅된 조성물을 건조시켜 유전체 필름을 형성하는 단계; 및
(C) 선택적으로, 유전체 필름 위에 보호층을 도포하는 단계.
도 1은 패턴화된 유전체 필름 위에 구리를 증착시키기 위한 종래 공정의 예시적인 도해이다.
도 2는 본 발명의 예시적인 발명의 공정의 예시적인 도해이다.
본원에 사용된 바와 같은 "완전하게 이미드화된(fully imidized)"이라는 용어는 본 발명의 폴리이미드 중합체가 적어도 약 90%(예를 들어, 적어도 약 95%, 적어도 약 98%, 적어도 약 99%, 또는 약 100%) 이미드화된 것을 의미한다. 본원에 사용된 바와 같은 금속 함유 (메트)아크릴레이트(MCA)는 금속과 (메트)아크릴레이트 리간드를 함유하는 화합물을 말한다. MCA의 (메트)아크릴레이트 리간드는 MCA가 MCA 함유 필름의 자유 라디칼 유도성 가교에 참여할 수 있도록 충분히 반응성이다. 본원에 사용된 바와 같은 "(메트)아크릴레이트"라는 용어는 아크릴레이트와 메타크릴레이트 모두를 포함한다. 본원에 사용된 바와 같은 촉매(예를 들어, 개시제)는 열 및/또는 방사선 공급원에 노출시 중합 또는 가교를 유도할 수 있는 화합물이다. 본원에 사용된 바와 같은 가교제는 촉매의 존재하에 가교 또는 중합을 할 수 있는 둘 이상의 알케닐 또는 알키닐 기를 함유하는 화합물이다.
일부 실시예에서, 본 발명은 다음을 함유하는 유전체 필름 형성 조성물을 특징으로 한다:
a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체;
b) 적어도 하나의 금속 함유 (메트)아크릴레이트;
c) 적어도 하나의 촉매; 및
d) 적어도 하나의 용매.
일부 실시예에서, 유전체 필름 형성 조성물 중 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체는, 적어도 하나의 디카복실산 이무수물과 적어도 하나의 디아민의 반응에 의해 제조된다. 적합한 디아민의 예는, 1-(4-아미노페닐)-1,3,3-트리메틸인단-5-아민(4,4'-[1,4-페닐렌-비스(1-메틸에틸리덴)] 비스아닐린을 포함하는 대안적인 명칭), 1-(4-아미노페닐)-1,3,3-트리메틸-2H-인덴-5-아민, 1-(4-아미노페닐)-1,3,3-트리메틸-인단-5-아민, [1-(4-아미노페닐)-1,3,3-트리메틸-인단-5-일]아민, 1-(4-아미노페닐)-2,3-디히드로-1,3,3-트리메틸-1H-인덴-5-아민, 5-아미노-6-메틸-1-(3'-아미노-4'-메틸페닐)-1,3,3-트리메틸인단, 4-아미노-6-메틸-1-(3'-아미노-4'-메틸페닐)-1,3,3-트리메틸인단, 5,7-디아미노-1,1-디메틸인단, 4,7-디아미노-1,1-디메틸인단, 5,7-디아미노-1,1,4-트리메틸인단, 5,7-디아미노-1,1,6-트리메틸인단, 5,7-디아미노-1,1-디메틸-4-에틸인단, p-페닐렌디아민, m-페닐렌디아민, o-페닐렌디아민, 3-메틸-1,2-벤젠-디아민, 1,2-디아미노에탄, 1,3-디아미노프로판, 1,4-디아미노부탄, 1,5-디아미노펜탄, 1,6-디아미노헥산, 1,7-디아미노헵탄, 1,8-디아미노옥탄, 1,9-디아미노노난, 1,10-디아미노데칸, 1,2-디아미노시클로헥산, 1,4-디아미노시클로헥산, 1,3-시클로헥산비스(메틸아민), 5-아미노-1,3,3-트리메틸시클로헥산메탄아민, 2,5-디아미노벤조트리플루오라이드, 3,5-디아미노벤조트리플루오라이드, 1,3-디아미노-2,4,5,6-테트라플루오로벤젠, 4,4'-옥시디아닐린, 3,4'-옥시디아닐린, 3,3'-옥시디아닐린, 3,3'-디아미노디페닐설폰, 4,4'-디아미노디페닐설폰, 4,4'-이소프로필리덴디아닐린, 4,4'-디아미노디페닐메탄, 2,2-비스(4-아미노페닐)프로판, 4,4'-디아미노디페닐 프로판, 4,4'-디아미노디페닐 설파이드, 4,4'-디아미노디페닐설폰, 4-아미노페닐-3-아미노벤조에이트, 2,2'-디메틸-4,4'-디아미노바이페닐, 3,3'-디메틸-4,4'-디아미노바이페닐, 2,2'-비스(트리플루오로메틸)벤지딘, 3,3'-비스(트리플루오로메틸)벤지딘, 2,2-비스[4-(4-아미노페녹시 페닐)]헥사플루오로프로판, 2,2-비스(3-아미노-4-메틸페닐)-헥사플루오로프로판, 2,2-비스(3-아미노페닐)-1,1,1,3,3,3-헥사플루오로프로판, 1,3-비스-(4-아미노페녹시)벤젠, 1,3-비스-(3-아미노페녹시)벤젠, 1,4-비스-(4-아미노페녹시)벤젠, 1,4-비스-(3-아미노페녹시)벤젠, 1-(4-아미노페녹시)-3-(3-아미노페녹시)벤젠, 2,2'-비스-(4-페녹시아닐린)이소프로필리덴, 비스(p-베타-아미노-t-부틸페닐)에테르, p-비스-2-(2-메틸-4-아미노펜틸)벤젠, p-비스(1,1-디메틸-5-아미노펜틸)벤젠, 3,3'-디메틸-4,4'-디아미노바이페닐, 4,4'-디아미노벤조페논, 3'-디클로로벤지딘, 2,2-비스[4-(4-아미노페녹시)페닐] 프로판, 4,4'-[1,3-페닐렌비스(1-메틸-에틸리덴)] 비스아닐린, 4,4'-[1,4-페닐렌비스(1-메틸-에틸리덴)]비스아닐린, 2,2-비스[4-(4-아미노페녹시)페닐] 설폰, 2,2-비스[4-(3-아미노페녹시) 벤젠], 1,4-비스(4-아미노페녹시) 벤젠, 1,3-비스(4-아미노페녹시) 벤젠, (1,3'-비스(3-아미노페녹시) 벤젠 및 9H-플루오렌-2,6-디아민을 포함하지만, 이에 한정되지 않는다. 이들 디아민 중 임의의 것은 생성된 폴리이미드 중합체가 본 발명의 요건을 충족시키는 한, 개별적으로 또는 임의의 비로 조합하여 사용될 수 있다.
테트라카복실산 이무수물 단량체의 예는, 1-(3',4'-디카복시페닐)-1,3,3-트리메틸인단-5,6-디카복실산 이무수물, 1-(3',4'-디카복시페닐)-1,3,3-트리메틸인단-6,7-디카복실산 이무수물, 1-(3',4'-디카복시페닐)-3-메틸인단-5,6-디카복실산 이무수물, 1-(3',4'-디카복시페닐)-3-메틸인단-6,7-디카복실산 무수물, 피라진-2,3,5,6-테트라카복실산 이무수물, 티오펜-2,3,4,5-테트라카복실산 이무수물, 2,3,5,6-피리딘테트라카복실산 이무수물, 노르보르난-2,3,5,6-테트라카복실산 이무수물, 바이시클로[2.2.2]옥트-7-엔-3,4,8,9-테트라카복실산 이무수물, 테트라시클로[4.4.1.02,5.07, 10]운데칸-1,2,3,4-테트라카복실산 이무수물, 3,3',4,4'-벤조페논 테트라카복실산 이무수물, 3,3',4,4'-디페닐설폰 테트라카복실산 이무수물, 3,3',4,4'-디페닐 에테르 테트라카복실산 이무수물, 2,3,3',4'-디페닐 에테르 테트라카복실산 이무수물, 2,2-[비스(3,4-디카복시페닐)] 헥사플루오로프로판 이무수물, 에틸렌글리콜 비스(무수트리멜리테이트) 및 5-(2,5-디옥소테트라히드로)-3-메틸-3-시클로헥센-1,2-디카복실산 무수물을 포함하지만, 이에 한정되지 않는다. 더 바람직한 테트라카복실산 이무수물 단량체는, 2,2-[비스(3,4-디카복시페닐)] 헥사플루오로프로판 이무수물, 3,3',4,4'-벤조페논 테트라카복실산 이무수물, 3,3',4,4'-디페닐설폰 테트라카복실산 이무수물 및 3,3',4,4'-디페닐 에테르 테트라카복실산 이무수물을 포함한다. 이들 테트라카복실산 이무수물 중 임의의 것은 생성된 폴리이미드 중합체가 본 발명의 요건을 충족시키는 한, 개별적으로 또는 임의의 비로 조합하여 사용될 수 있다.
일반적으로, 이렇게 형성된 폴리이미드 중합체는 유기 용매에 용해될 수 있다. 일부 실시예에서, 폴리이미드 중합체는 25℃에서 적어도 약 50 mg/mL(예를 들어, 적어도 약 100 mg/mL 또는 적어도 약 200 mg/mL)의 유기 용매에서의 용해도를 가질 수 있다. 유기 용매의 예는 감마-부티로락톤, ε-카프로락톤, γ-카프로락톤 및 δ-발레로락톤과 같은 락톤, 시클로펜타논 및 시클로헥사논과 같은 시클로케톤, 메틸 에틸 케톤(MEK)과 같은 선형 케톤, n-부틸 아세테이트와 같은 에스테르, 에틸 락테이트와 같은 에스테르 알코올, 테트라히드로푸르푸릴 알코올과 같은 에테르 알코올, 프로필렌 글리콜 메틸 에테르 아세테이트와 같은 글리콜 에스테르, 및 n-메틸 피롤리돈과 같은 피롤리돈을 포함하지만, 이에 한정되지 않는다.
일부 실시예에서, 완전하게 이미드화된 폴리이미드(PI) 중합체를 합성하기 위해, 폴리이미드 전구체 중합체가 먼저 제조된다. 일부 실시예에서, PI 전구체 중합체는 폴리암산(polyamic acid, PAA) 중합체이다. 일부 실시예에서, PI 전구체는 폴리암산 에스테르(polyamic ester, PAE) 중합체이다. 일부 실시예에서, 하나 이상의 디아민(들)은 적어도 하나(예를 들어, 2개, 3개, 또는 그 이상)의 중합 용매에서 하나 이상의 테트라카복실산 이무수물(들)과 조합되어 폴리암산(PAA) 중합체를 형성한다. 일부 실시예에서, 형성된 PAA 중합체는 화학적으로 또는 열적으로 이미드화되어 PI 중합체를 형성한다. 일부 실시예에서, PAA 중합체는 중합체 합성 동안 또는 후에 적절한 시약을 사용하여 말단 캡핑된다. 일부 실시예에서, 형성된 PAA 중합체는 에스테르화되어 폴리암산 에스테르(PAE) 중합체를 형성한다. 일부 실시예에서, PAE 중합체는 적어도 하나의 중합 용매에서 하나 이상의 디아민과 테트라카복실산 반 에스테르(half ester)의 조합에 의해 형성된다. 일부 실시예에서, PAE 중합체는 적절한 약제(agent)를 사용하여 말단 캡핑된다. 일부 실시예에서, 말단 캡핑된 PI 중합체는 말단 캡 기(end-cap group)를 함유하는 PAA 중합체 또는 PAE 중합체로부터 합성된다. 일부 실시예에서, 이러한 PI 중합체는 이미드화 후에 말단 캡핑된다.
일부 실시예에서, 화학 이미드화제(예를 들어, 탈수제)는 폴리암산 기의 고리 폐쇄 탈수(ring-closing dehydration) 공정을 촉매화하도록 PAA 중합체에 첨가되어 이미드 작용성을 형성함으로써, PI 중합체를 형성한다. 적합한 탈수제의 예는 트리플루오로메탄설폰산, 메탄설폰산, p-톨루엔설폰산, 에탄설폰산, 부탄설폰산, 퍼플루오로부탄설폰산, 아세트산 무수물, 프로피온산 무수물 및 부티르산 무수물을 포함하지만, 이에 한정되지 않는다. 또한, 이 탈수 공정은 염기성 촉매의 추가 첨가에 의해 촉매화될 수 있다. 적합한 염기성 촉매의 예는 피리딘, 트리에틸아민, 트리프로필아민, 트리부틸아민, 디시클로헥실메틸아민, 2,6-루티딘, 3,5-루티딘, 피콜린, 4-디메틸아미노피리딘(DMAP) 등을 포함하지만, 이에 한정되지 않는다.
말단 캡핑 및 말단 비캡핑 PI 전구체 중합체와 PI 중합체를 합성하는 방법은 이 기술분야의 당업자에게 잘 알려져 있다. 이러한 방법의 예는, 예를 들어 미국 특허번호 US2,731,447, US3,435,002, US3,856,752, US3,983,092, US4,026,876, US4,040,831, US4,579,809, US4,629,777, US4,656,116, US4,960,860, US4,985,529, US5,006,611, US5,122,436, US5,252,534, US5,478,915, US5,773,559, US5,783,656, US5,969,055, US9,617,386, 및 미국 출원번호 US20040265731, US20040235992 및 US2007083016에 개시되어 있고, 그 내용은 본원에 참조로 포함되어 있다.
PI 중합체 또는 이들의 전구체를 제조하기 위한 중합 용매(들)는 일반적으로 하나이거나 또는 둘 이상의 극성, 비양성자성 용매의 조합물이다. 적합한 극성, 비양성자성 용매는 디메틸포름아미드(dimethylformamide, DMF), 디메틸아세트아미드(dimethylacetamide, DMAc), N-포르밀모르폴린(N-formylmorpholine, NFM), N-메틸피롤리디논(N-methylpyrrolidinone, NMP), N-에틸피롤리디논(N-ethylpyrrolidinone, NEP), 디메틸설폭시드(dimethylsulfoxide, DMSO), 감마-부티로락톤(gamma-butyrolactone, GBL), 헥사메틸 인산 트리아미드(hexamethyl phosphoric acid triamide, HMPT), 테트라히드로퓨란(tetrahydrofuran, THF), 메틸테트라히드로퓨란, 1,4-디옥산 및 이들의 혼합물을 포함하지만, 이에 한정되지 않는다.
일부 실시예에서, 본원에 기술된 폴리이미드 중합체의 중량 평균 분자량(Mw)은 적어도 약 5,000 달톤(예를 들어, 적어도 약 10,000 달톤, 적어도 약 20,000 달톤, 적어도 약 25,000 달톤, 적어도 약 30,000 달톤, 적어도 약 35,000 달톤, 적어도 약 40,000 달톤, 또는 적어도 약 45,000 달톤) 및/또는 최대 약 100,000 달톤(예를 들어, 최대 약 90,000 달톤, 최대 약 80,000 달톤, 최대 약 70,000 달톤, 최대 약 65,000 달톤, 최대 약 60,000 달톤, 최대 약 55,000 달톤, 또는 최대 약 50,000 달톤)이다. 일부 실시예에서, 폴리이미드 중합체의 중량 평균 분자량(Mw)은 약 20,000 달톤 내지 약 70,000 달톤 또는 약 30,000 달톤 내지 약 80,000 달톤이다. 중량 평균 분자량은 겔 투과 크로마토그래피 방법에 의해 수득될 수 있고, 폴리스티렌 표준물질(polystyrene standard)을 사용하여 계산될 수 있다.
일부 실시예에서, 폴리이미드(A)의 양은 유전체 필름 형성 조성물(임의의 용매 포함)의 전체 중량 중, 적어도 약 3 중량%(예를 들어, 적어도 약 6 중량%, 적어도 약 9 중량%, 적어도 약 12 중량%, 또는 적어도 약 15 중량%) 및/또는 최대 약 40 중량%(예를 들어, 최대 약 35 중량%, 최대 약 30 중량%, 최대 약 25 중량%, 또는 최대 약 20 중량%)이다. 일부 실시예에서, 폴리이미드(A)의 양은 유전체 필름 형성 조성물 내의 고형물(solid)의 양 중, 적어도 약 10 중량%(예를 들어, 적어도 약 12.5 중량%, 적어도 약 15 중량%, 적어도 약 20 중량%, 또는 적어도 약 25 중량%) 및/또는 최대 약 60 중량%(예를 들어, 최대 약 55 중량%, 최대 약 50 중량%, 최대 약 45 중량%, 최대 약 40 중량%, 최대 약 35 중량%, 또는 최대 약 30 중량%)이다. 본원에 사용된 바와 같은 유전체 필름 형성 조성물 내의 고형물의 양은 조성물의 전체 중량에서 조성물 내의 용매의 양을 뺀 것, 또는 조성물에 의해 형성된 유전체 필름의 전체 중량을 나타낸다.
본 발명에서 유용한 금속 함유 (메트)아크릴레이트(MCA)는 일반적으로 유전체 필름 형성 조성물 내의 다른 성분과 충분한 융화성(compatibility)을 갖고, 혼합시 조성물에 용이하게 분산되거나 또는 용해된다. MCA는 고체 또는 용액으로서 유전체 필름 형성 조성물에 혼입될 수 있다. 일반적으로, MCA 함유 조성물은 25℃에서 적어도 24시간 동안 방치할 때 상 분리(시각적으로 불균질하게 되는)를 형성하지 않는다. 또한, MCA 함유 조성물로부터 형성된 유전체 필름은 일반적으로 시각적으로 투명하고 균질하다.
본 발명에서 MCA에 유용한 적절한 금속 원자는 티타늄, 지르코늄, 하프늄 및 게르마늄을 포함한다. 일부 실시예에서, MCA는 적어도 하나의 금속 원자와 적어도 하나(예를 들어, 1개, 2개, 3개, 또는 4개)의 (메트)아크릴레이트 기를 포함한다. 바람직한 MCA는 각각의 금속 원자에 부착된 3개 또는 4개의 (메트)아크릴레이트 기를 함유한다. 적합한 MCA의 예는 티타늄 테트라(메트)아크릴레이트, 지르코늄 테트라(메트)아크릴레이트, 하프늄 테트라(메트)아크릴레이트, 티타늄 부톡사이드 트리(메트)아크릴레이트, 티타늄 디부톡사이드 디(메트)아크릴레이트, 티타늄 트리부톡사이드 (메트)아크릴레이트, 지르코늄 부톡사이드 트리(메트)아크릴레이트, 지르코늄 디부톡사이드 디(메트)아크릴레이트, 지르코늄 트리부톡사이드 (메트)아크릴레이트, 하프늄 부톡사이드 트리(메트)아크릴레이트, 하프늄 디부톡사이드 디(메트)아크릴레이트, 하프늄 트리부톡사이드 (메트)아크릴레이트, 티타늄 테트라(카복시에틸 (메트)아크릴레이트), 지르코늄 테트라(카복시에틸 (메트)아크릴레이트), 하프늄 테트라(카복시에틸 (메트)아크릴레이트), 티타늄 부톡사이드 트리(카복시에틸 (메트)아크릴레이트), 티타늄 디부톡사이드 디(카복시에틸 (메트)아크릴레이트), 티타늄 트리부톡사이드 (카복시에틸 (메트)아크릴레이트), 지르코늄 부톡사이드 트리(카복시에틸 (메트)아크릴레이트), 지르코늄 디부톡사이드 디(카복시에틸 (메트)아크릴레이트), 지르코늄 트리부톡사이드 (카복시에틸 (메트)아크릴레이트), 하프늄 부톡사이드 트리(카복시에틸 (메트)아크릴레이트), 하프늄 디부톡사이드 디(카복시에틸 (메트)아크릴레이트), 또는 하프늄 트리부톡사이드 (카복시에틸 (메트)아크릴레이트)를 포함하지만, 이에 한정되지 않는다. 일반적으로, MCA의 (메트)아크릴레이트 기는 MCA가 자유 라디칼에 의해 유도된 MCA 함유 필름의 가교 또는 중합에 참여할 수 있도록 충분히 반응성이 있고, 이 자유 라디칼은 유전체 필름 형성 조성물에 존재하는 하나 이상의 촉매에 의해 생성될 수 있다. 가교 또는 중합은 유전체 필름 형성 조성물에서 적어도 2개의 MCA 사이에서 또는 적어도 하나의 MCA와 적어도 하나의 비-MCA 가교제 사이에서 일어날 수 있다. 일부 실시예에서, MCA는 가교제이다(예를 들어, MCA가 둘 이상의 (메트)아크릴레이트 기를 포함할 때). 일부 실시예에서, MCA는 중합에 적합한 단량체이거나 또는 사슬 종결제(chain terminator)로 작용한다(예를 들어, MCA가 오직 하나의 (메트)아크릴레이트 기를 포함할 때). 일부 실시예에서, MCA는 본원에 기술된 조성물에 의해 형성된 가교 유전체 필름에서 가교되지 않는다(예를 들어, MCA가 오직 하나의 (메트)아크릴레이트 기를 포함할 때).
일부 실시예에서, 적어도 하나의 MCA의 양은 유전체 필름 형성 조성물의 전체 중량 중, 적어도 약 0.5 중량%(예를 들어, 적어도 약 1 중량%, 적어도 약 2 중량%, 적어도 약 3 중량%, 적어도 약 4 중량%, 또는 적어도 약 5 중량%) 및/또는 최대 약 20 중량%(예를 들어, 최대 약 18 중량%, 최대 약 16 중량%, 최대 약 14 중량%, 최대 약 12 중량%, 또는 최대 약 10 중량%)이다. 일부 실시예에서, MCA의 양은 유전체 필름 형성 조성물 내의 고형물의 양 중, 적어도 약 1 중량%(예를 들어, 적어도 약 2 중량%, 적어도 약 4 중량%, 적어도 약 6 중량%, 적어도 약 8 중량%, 또는 적어도 약 10 중량%) 및/또는 최대 약 25 중량%(예를 들어, 최대 약 20 중량%, 최대 약 18 중량%, 최대 약 16 중량%, 최대 약 14 중량%, 또는 약 12 중량%)이다.
놀랍게도, 유전체 필름 형성 조성물 내에 적어도 하나의 MCA의 존재는 조성물에 의해 형성된 유전체 필름이 레이저 어블레이션 공정을 사용하여 패턴화될 때, 부스러기의 발생을 최소화할 수 있는 것으로 관찰되었다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 적어도 하나의 촉매(예를 들어, 개시제)를 포함할 수 있다. 촉매는 열 및/또는 방사선 공급원에 노출시 가교 또는 중합 반응을 유발할 수 있다.
일부 실시예에서, 사용된 촉매는 광개시제이고, 광개시제는 고에너지 방사선에 노출시 자유 라디칼을 생성할 수 있는 화합물이다. 고에너지 방사선의 비제한적인 예는 전자 빔, 자외선, 및 X 선을 포함한다. 이론에 의해 얽매이지 않는 범위에서, 광개시제는 MCA 화합물의 (메트)아크릴레이트 기 및/또는 가교 또는 중합 반응을 거칠 수 있는 조성물에 존재하는 다른 개체(entity)를 수반하는 가교 또는 중합 반응을 유도하는 것으로 믿어진다. 이러한 개체의 예는 가교제(예를 들어, 비-MCA 가교제) 및 알케닐과 알키닐 작용기를 갖는 폴리이미드를 포함한다.
광개시제의 특정 예는 1,8-옥탄디온, 1,8-비스[9-(2-에틸헥실)-6-니트로-9H-카르바졸-3-일]-1,8-비스(O-아세틸옥심), 2-히드록시-2-메틸-1-페닐프로판-1-온, 1-히드록시시클로헥실 페닐 케톤(BASF의 Irgacure 184), 1-히드록시시클로헥실 페닐 케톤과 벤조페논의 블렌드(BASF의 Irgacure 500), 2,4,4-트리메틸펜틸 포스핀 산화물(BASF의 Irgacure 1800, 1850, 및 1700), 2,2-디메톡실-2-아세토페논(BASF의 Irgacure 651), 비스(2,4,6-트리메틸 벤조일)페닐 포스핀 산화물(BASF의 Irgacure 819), 2-메틸-1-[4-(메틸티오)페닐]-2-모르폴리노프로판-1-온(BASF의 Irgacure 907), (2,4,6-트리메틸벤조일)디페닐 포스핀 산화물(BASF의 Lucerin TPO), 에톡시(2,4,6-트리메틸벤조일)페닐 포스핀 산화물(BASF의 Lucerin TPO-L), 포스핀 산화물, 히드록시 케톤 및 벤조페논 유도체의 블렌드(Arkema의 ESACURE KTO46), 2-히드록시-2-메틸-1-페닐프로판-1-온(Merck의 Darocur 1173), NCI-831(ADEKA Corp.), N-1919(ADEKA Corp.), 2-(벤조일옥시이미노)-1-[4-(페닐티오)페닐]-1-옥탄온(BASF로부터 입수 가능한 OXE-01), 1-(O-아세틸옥심)-1-[9-에틸-6-(2-메틸벤조일)-9H-카르바졸-3-일]에탄온(BASF로부터 입수 가능한 OXE-02), 벤조페논, 2-클로로티옥산톤, 2-메틸티옥산톤, 2-이소프로필티옥산톤, 벤조디메틸 케탈, 1,1,1-트리클로로아세토페논, 디에톡시아세토페논, m-클로로아세토페논, 프로피오페논, 안트라퀴논, 디벤조스베론 등을 포함하지만, 이에 한정되지 않는다.
비이온성 유형의 광개시제의 특정 예는 (5-톨루일설포닐옥시이미노-5H-티오펜-2-일리덴)-2-메틸페닐-아세토니트릴(BASF의 Irgacure 121), 페나실 p-메틸벤젠설포네이트, 벤조인 p-톨루엔설포네이트, (p-톨루엔-설포닐옥시)메틸벤조인, 3-(p-톨루엔설포닐옥시)-2-히드록시-2-페닐-1-페닐프로필 에테르, N-(p-도데실벤젠설 포닐옥시)-1,8-나프탈이미드, N-(페닐설포닐옥시)-1,8-나프탈이미드, 비스(시클로헥실설포닐)디아조메탄, 1-p-톨루엔설포닐-1-시클로헥실카르보닐디아조메탄, 2-니트로벤질 p-톨루엔설포네이트, 2,6-디니트로벤질 p-톨루엔설포네이트 및 2,4-디니트로벤질 p-트리플루오로메틸벤젠설포네이트 등을 포함한다.
일부 실시예에서, 감광제가 193 내지 405 nm의 파장 범위에서 광을 흡수할 수 있는 유전체 필름 형성 조성물에 감광제가 사용될 수 있다. 감광제의 예는 9-메틸안트라센, 안트라센메탄올, 아세나프틸렌, 티옥산톤, 메틸-2-나프틸 케톤, 4-아세틸바이페닐 및 1,2-벤조플루오렌을 포함하지만, 이에 한정되지 않는다.
가교 또는 중합 반응이 열에 의해 개시되는 실시예에서, 사용된 촉매는 열 개시제로, 열 개시제는 약 70℃ 내지 약 250℃의 온도에 노출될 때 자유 라디칼을 생성할 수 있는 화합물이다. 이론에 얽매이지 않는 범위에서, 열 개시제는 MCA 화합물의 (메트)아크릴레이트 기 및/또는 가교 또는 중합 반응을 거칠 수 있는 조성물에 존재하는 다른 개체(entity)를 수반하는 가교 또는 중합 반응을 유도하는 것으로 믿어진다. 이러한 개체의 예는 가교제(예를 들어, 비-MCA 가교제) 및 알케닐과 알키닐 작용기를 갖는 폴리이미드를 포함한다.
열 개시제의 특정 예는 벤조일 과산화물, 시클로헥사논 과산화물, 라우로일 과산화물, tert-아밀 과산화벤조산염, tert-부틸 히드로과산화물, 디쿠밀 과산화물, 큐멘 히드로과산화물, 숙신산 과산화물, 디(n-프로필)과산화이탄산염, 2,2-아조비스(이소부티로니트릴), 2,2-아조비스(2,4-디메틸발레로니트릴), 디메틸-2,2-아조비스이소부티레이트, 4,4-아조비스(4-시아노펜탄산), 아조비스시클로헥산카르보니트릴, 2,2-아조비스(2-메틸부티로니트릴) 등을 포함하지만, 이에 한정되지 않는다.
일부 실시예에서, 둘 이상의 촉매의 조합이 유전체 필름 형성 조성물에 사용될 수 있다. 촉매의 조합은 모든 열 개시제, 모든 광개시제, 또는 열 개시제와 광개시제의 조합일 수 있다.
일부 실시예에서, 촉매의 양은 유전체 필름 형성 조성물의 전체 중량 중, 적어도 약 0.25 중량%(예를 들어, 적어도 약 0.5 중량%, 적어도 약 0.75 중량%, 적어도 약 1.0 중량%, 또는 적어도 약 1.5 중량%) 및/또는 최대 약 4.0 중량%(예를 들어, 최대 약 3.5 중량%, 최대 약 3.0 중량%, 최대 약 2.5 중량%, 또는 최대 약 2.0 중량%)이다. 일부 실시예에서, 촉매의 양은 유전체 필름 형성 조성물 내의 고형물의 양 중, 적어도 약 0.5 중량%(예를 들어, 적어도 약 1.0 중량%, 적어도 약 1.5 중량%, 적어도 약 2.0 중량%, 또는 적어도 약 2.5 중량%) 및/또는 최대 약 5.0 중량%(예를 들어, 최대 약 4.5 중량%, 최대 약 4.0 중량%, 최대 약 3.5 중량%, 또는 최대 약 3.0 중량%)이다.
본 발명의 유전체 필름 형성 조성물에 사용될 수 있는 유기 용매의 적절한 예는 감마-부티로락톤(GBL), N-메틸-2-피롤리돈(NMP), 디메틸이미다졸리디논, N-메틸카프로락탐, N-메틸프로피온아미드, N,N-디메틸아세트아미드(DMAc), 디메틸 설폭시드(DMSO), N,N-디메틸포름아미드(DMF), N,N-디에틸포름아미드, 디에틸아세트아미드, 메틸 에틸 케톤(MEK), 메틸 이소부틸 케톤(MIBK), 2-헵타논, 시클로펜타논(CP), 시클로헥사논, n-부틸 아세테이트(nBA), 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 에틸 락테이트(EL), 프로필 락테이트, 3-메틸-3-메톡시부탄올, 테트랄린, 이소포론, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 메틸에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 디프로필렌 글리콜 모노메틸 에테르, 메틸 3-메톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 디에틸 말로네이트, 에틸렌 글리콜 1,4:3,6-디안히드로소르비톨, n-부틸 알코올, 2,5-디메틸 에테르(2,5-디메틸이소소르바이드), 1,4:3,6-디안히드로소르비톨 2,5-디에틸 에테르(2,5-디에틸이소소르바이드), 및 이들의 혼합물을 포함하지만, 이에 한정되지 않는다. 일부 바람직한 실시예에서, 유기 용매는 감마-부티로락톤(GBL), 시클로펜타논(CP), 시클로헥사논, n-부틸 알코올, N-메틸-2-피롤리돈(NMP), 디메틸설폭시드(DMSO) 또는 이들의 혼합물일 수 있다. 이들 용매는, 불용성 충전제(filler)를 제외하고, 조성물의 모든 성분을 완전히 용해시키고, 좋은 필름을 캐스트하며 결합 반응을 방해하지 않는 한, 개별적으로 또는 조합하여 사용될 수 있다.
일부 실시예에서, 유전체 필름 형성 조성물에서 유기 용매의 양은 유전체 필름 형성 조성물의 전체 중량 중, 적어도 약 35 중량%(예를 들어, 적어도 약 40 중량%, 적어도 약 45 중량%, 적어도 약 50 중량%, 적어도 약 55 중량%, 적어도 약 60 중량%, 또는 적어도 약 65 중량%) 및/또는 최대 약 98 중량%(예를 들어, 최대 약 95 중량%, 최대 약 90 중량%, 최대 약 85 중량%, 최대 약 80 중량%, 최대 약 75 중량%, 또는 최대 약 70 중량%)이다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 적어도 하나의 가교제(예를 들어, 비-MCA 가교제)를 추가로 포함할 수 있다. 일부 실시예에서, 가교제는 둘 이상의 알케닐 또는 알키닐 기를 함유한다. 일반적으로, 가교제는 촉매의 존재 하에 가교 또는 중합 반응을 거칠 수 있다.
일부 실시예에서, 적어도 하나의 가교제는 적어도 하나의 우레탄 아크릴레이트 올리고머이다. "우레탄 아크릴레이트 올리고머"라는 용어는 우레탄 결합(linkage)을 함유하고, 우레탄 멀티(메트)아크릴레이트, 멀티우레탄 (메트)아크릴레이트 및 멀티우레탄 멀티(메트)아크릴레이트와 같은 (메트)아크릴레이트(예를 들어, 아크릴레이트 또는 메타크릴레이트) 작용기를 갖는 우레탄 (메트)아크릴레이트 화합물의 종류를 나타낸다. 우레탄 (메트)아크릴레이트 올리고머의 유형은 예를 들어, Coady 등의 미국 특허번호 US4,608,409와 Chisholm 등의 미국 특허번호 US6,844,950에 의해 기술되었고, 이들의 내용은 본원에 참조로 포함되어 있다. 본 발명에서 유용한 우레탄 아크릴레이트 올리고머의 특정 예는 CN9165US, CN9167US, CN972, CN9782, CN9783, 및 CN992를 포함하지만, 이에 한정되지 않는다. 이들 및 이와 다른 우레탄 아크릴레이트 올리고머는 Arkema(Sartomer)로부터 상업적으로 입수 가능하다.
일부 실시예에서, 가교제는 적어도 2개의 (메트)아크릴레이트 기를 함유한다. 일부 실시예에서, 가교제는 1,6-헥산디올 디(메트)아크릴레이트, 테트라에틸렌글리콜 디(메트)아크릴레이트, 1,12-도데칸디올 디(메트)아크릴레이트, 1,3-부틸렌 글리콜 디(메트)아크릴레이트, 1,4-부탄디올 디(메트)아크릴레이트, 시클로헥산 디메탄올 디(메트)아크릴레이트, 폴리에틸렌 글리콜 디(메트)아크릴레이트, 프로폭실화 (3) 글리세롤 트리(메트)아크릴레이트, 디비닐벤젠, 에톡시화 비스페놀-A-디(메트)아크릴레이트, 디에틸렌 글리콜 비스(알릴 카보네이트), 트리메틸올프로판 트리(메트)아크릴레이트, 디트리메틸올프로판 테트라(메트)아크릴레이트, 펜타에리트리톨 트리(메트)아크릴레이트, 펜타에리트리톨 테트라(메트)아크릴레이트, 디펜타에리트리톨 펜타-/헥사-(메트)아크릴레이트, 이소시아누레이트 트리(메트)아크릴레이트, 비스(2-히드록시에틸)-이소시아누레이트 디(메트)아크릴레이트, 1,3-부탄디올 트리(메트)아크릴레이트, 1,4-부탄디올 트리(메트)아크릴레이트, 네오펜틸 글리콜 디(메트)아크릴레이트, (메트)아크릴레이트 변성-우레아-포름알데히드 수지, (메트)아크릴레이트 변성 멜라민-포름알데히드 수지 및 (메트)아크릴레이트 변성 셀룰로오스로 이루어진 군으로부터 선택된다.
일부 실시예에서, 유전체 필름 형성 조성물에서 가교제의 양은 조성물의 전체 중량 중, 적어도 약 2.5 중량%(예를 들어, 적어도 약 5 중량%, 적어도 약 7.5 중량%, 적어도 약 10 중량%, 적어도 약 12.5 중량%, 또는 적어도 약 15 중량%) 및/또는 최대 약 30 중량%(예를 들어, 최대 약 27.5 중량%, 최대 약 25 중량%, 최대 약 22.5 중량%, 최대 약 20 중량%, 또는 최대 약 17.5 중량%)이다. 일부 실시예에서, 유전체 필름 형성 조성물에서 가교제의 양은 조성물 내의 고형물의 양 중, 약 5 중량%(예를 들어, 적어도 약 10 중량%, 적어도 약 15 중량%, 적어도 약 20 중량%, 적어도 약 25 중량%, 또는 적어도 약 30 중량%) 및/또는 최대 약 60 중량%(예를 들어, 최대 약 55 중량%, 최대 약 50 중량%, 최대 약 45 중량%, 최대 약 40 중량%, 또는 최대 약 35 중량%)이다. 이론에 얽매이지 않는 범위에서, 가교제는 본원에 기술된 조성물에 의해 형성된 유전체 필름의 기계적 특성과 내화학성을 향상시킬 수 있는 것으로 믿어진다.
본 발명의 유전체 필름 형성 조성물은 선택적으로 접착 촉진제, 충전제, 계면 활성제, 가소제, 구리 패시베이션(passivation) 시약, 착색제 및 염료와 같은 다른 성분을 포함할 수 있다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 하나 이상의 접착 촉진제를 추가로 포함한다. 적합한 접착 촉진제는 "Silane Coupling Agent" Edwin P. Plueddemann, 1982 Plenum Press, New York에 기술되어 있다. 접착 촉진제의 종류는 머캅토알콕시실란, 아미노알콕시실란, 에폭시알콕시실란, 글리시딜옥시알콕시실란, 머캅토실란, 시아나토실란 및 이미다졸 실란을 포함하지만, 이에 한정되지 않는다. 일부 실시예에서, 접착 촉진제는 알콕실릴기와, 치환 또는 비치환된 알케닐기 및 치환 또는 비치환된 알키닐기로부터 선택된 탄소-탄소 다중 결합을 함유하는 작용기 모두를 함유한다.
유전체 필름 형성 조성물에서, 사용될 경우, 선택적인 접착 촉진제의 양은 조성물의 전체 중량 중, 적어도 약 0.3 중량%(예를 들어, 적어도 약 0.5 중량%, 적어도 약 0.7 중량%, 또는 적어도 약 1 중량%) 및/또는 최대 약 4 중량%(예를 들어, 최대 약 3 중량%, 최대 약 2 중량%, 또는 최대 약 1.5 중량%)이다. 일부 실시예에서, 유전체 필름 형성 조성물에서 선택적인 접착 촉진제의 양은 조성물 내의 고형물의 양 중, 적어도 약 0.5 중량%(예를 들어, 적어도 약 1 중량%, 적어도 약 2 중량%, 또는 적어도 약 2.5 중량%) 및/또는 최대 약 8 중량%(예를 들어, 최대 약 6 중량%, 최대 약 5 중량%, 최대 약 4 중량%, 또는 최대 약 3 중량%)이다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 하나 이상의 충전제를 추가로 포함할 수 있다. 일부 실시예에서, 충전제는 무기 입자이다. 일부 실시예에서, 무기 입자는 실리카, 알루미나, 티타니아, 지르코니아, 산화 하프늄, CdSe, CdS, CdTe, CuO, 산화 아연, 산화 란탄, 산화 니오븀, 산화 텅스텐, 산화 스트론튬, 칼슘 티타늄 산화물, 티탄산 나트륨, 황산 바륨, 티탄산 바륨, 지르콘산 바륨 및 니오브산 칼륨으로 이루어진 군으로부터 선택된다. 바람직하게는, 무기 충전제는 약 0.1 ~ 20 미크론의 평균 크기의 과립 형태이다. 일부 실시예에서, 충전제는 유기 입자가 조성물의 용매에서 불용성인 유기 입자이다. 유기 입자의 예는 가교된 고무 입자, 가교 또는 비가교된 폴리이미드 입자 및 가교된 중합체 입자를 포함하지만, 이에 한정되지 않는다.
본 발명에서 유용한 실리카 충전제는 친수성 또는 소수성일 수 있다. 소수성 실리카 충전제는 친수성의 흄드 실리카의 표면 변성에 의해 또는 표면 변성된 실리카 충전제를 직접 형성하여 생산될 수 있다. 표면 변성된 실리카 충전제의 직접적인 형성은 전형적으로 작용성 실란의 가수분해 축합에 의해 이루어진다. 본 발명에서 유용한 표면 변성된 실리카 충전제는 이들 표면 위에 반응성 및/또는 비반응성 기를 가질 수 있다. 본 발명에서 유용한 충전제(예를 들어, 실리카 충전제)는 최대 약 2 미크론(예를 들어, 최대 약 1.5 미크론, 최대 약 1 미크론, 최대 약 0.75 미크론, 최대 약 0.5 미크론, 약 0.25 미크론 또는 최대 약 0.2 미크론) 및/또는 적어도 약 0.1 미크론(예를 들어, 적어도 약 0.2 미크론, 적어도 약 0.3 미크론, 적어도 약 0.5 미크론, 또는 적어도 약 1 미크론)의 입자 크기(예를 들어, 평균 입자 크기)를 갖는다. 충전제 크기 분포는 좁거나(예를 들어, 필수적으로 단분산성) 또는 넓을 수 있다. 원하는 충전제 크기와 분포는 밀링(milling), 그라인딩(grinding) 및 여과(filtration)를 포함하지만 이에 한정되지 않는, 이 기술분야의 당업자에게 공지된 임의의 많은 기술에 의해 이루어질 수 있다. 일부 실시예에서, 실리카 충전제는 유기 용매에 분산된다. 용매에서 분산은 미디어 밀링(media milling)과 고전단 혼합을 포함하지만 이에 한정되지 않는, 이 기술분야의 당업자에게 공지된 다양한 방법에 의해 이루어질 수 있다. 실리카 충전제의 분산에 유용한 용매는 특별히 제한되지는 않는다. 바람직한 용매는 에스테르, 에테르, 락톤, 케톤, 아미드 및 알코올을 포함한다. 더 바람직한 용매는 GBL, 시클로펜타논, 시클로헥사논, 에틸 락테이트 및 n-부탄올을 포함한다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물에서 충전제(예를 들어, 실리카 충전제)의 양은, 사용되는 경우, 조성물의 전체 중량 중, 적어도 약 2 중량%(예를 들어, 적어도 약 4 중량%, 적어도 약 6 중량%, 적어도 약 8 중량%, 또는 적어도 약 10 중량%) 및/또는 최대 약 20 중량%(예를 들어, 최대 약 18 중량%, 최대 약 15 중량%, 또는 최대 약 12 중량%)이다. 일부 실시예에서, 본 발명의 유전체 필름 형성 조성물에서 충전제(예를 들어, 실리카 충전제)의 양은 조성물 내의 고형물의 양 중, 적어도 약 5 중량%(예를 들어, 적어도 약 10 중량%, 적어도 약 14 중량%, 적어도 약 17 중량%, 또는 적어도 약 20 중량%) 및/또는 최대 약 40 중량%(예를 들어, 최대 약 35 중량%, 최대 약 30 중량%, 또는 최대 약 25 중량%)이다.
본 발명의 유전체 필름 형성 조성물은 또한 선택적으로 하나 이상의 계면 활성제를 함유할 수 있다. 계면 활성제가 사용되면, 계면 활성제의 양은 유전체 필름 형성 조성물의 전체 중량 중, 적어도 약 0.001 중량%(예를 들어, 적어도 약 0.01 중량% 또는 적어도 약 0.1 중량%) 및/또는 최대 약 2 중량%(예를 들어, 최대 약 1 중량% 또는 최대 약 0.5 중량%)이다. 일부 실시예에서, 계면 활성제의 양은 조성물 내의 고형물의 양 중, 적어도 약 0.002 중량%(예를 들어, 적어도 약 0.02 중량% 또는 적어도 약 0.2 중량%) 및/또는 최대 약 4 중량%(예를 들어, 최대 약 1 중량% 또는 최대 약 0.5 중량%)이다. 적합한 계면 활성제의 예는 JP-A-62-36663, JP-A-61-226746, JP-A-61-226745, JP-A-62-170950, JP-A-63-34540, JP-A-7-230165, JP-A-8-62834, JP-A-9-54432 및 JP-A-9-5988에 기술된 계면 활성제를 포함하지만, 이에 한정되지 않는다.
본 발명의 유전체 필름 형성 조성물은 선택적으로 하나 이상의 가소제를 함유할 수 있다. 선택적인 가소제의 양은, 사용되는 경우, 유전체 필름 형성 조성물의 전체 중량 중, 적어도 약 1 중량%(예를 들어, 적어도 약 2 중량% 또는 적어도 약 3 중량%) 및/또는 최대 약 10 중량%(예를 들어, 최대 약 7.5 중량% 또는 최대 약 5 중량%)이다. 일부 실시예에서, 선택적인 가소제의 양은 조성물 내의 고형물의 양 중, 적어도 약 2 중량%(예를 들어, 적어도 약 4 중량% 또는 적어도 약 6 중량%) 및/또는 최대 약 20 중량%(예를 들어, 최대 약 14 중량% 또는 최대 약 10 중량%)이다.
본 발명의 유전체 필름 형성 조성물은 선택적으로 하나 이상의 구리 패시베이션 시약을 함유할 수 있다. 구리 패시베이션 시약의 예는 트리아졸 화합물, 이미다졸 화합물 및 테트라졸 화합물을 포함한다. 트리아졸 화합물은 트리아졸, 벤조트리아졸, 치환된 트리아졸 및 치환된 벤조트리아졸을 포함할 수 있다. 치환기의 예는 C1-C8 알킬, 아미노, 티올, 머캅토, 이미노, 카복시 및 니트로 기를 포함한다. 트리아졸 화합물의 특정 예는 벤조트리아졸, 1,2,4-트리아졸, 1,2,3-트리아졸, 톨릴트리아졸, 5-메틸-1,2,4-트리아졸, 5-페닐-벤조트리아졸, 5-니트로-벤조트리아졸, 3-아미노-5-머캅토-1,2,4-트리아졸, 1-아미노-1,2,4-트리아졸, 히드록시벤조트리아졸, 2-(5-아미노-펜틸)-벤조트리아졸, 1-아미노-1,2,3-트리아졸, 1-아미노-5-메틸-1,2,3-트리아졸, 3-아미노-1,2,4-트리아졸, 3-머캅토-1,2,4-트리아졸, 3-이소프로필-1,2,4-트리아졸, 5-페닐티올-벤조트리아졸, 할로-벤조트리아졸(할로 = F, Cl, Br 또는 I), 나프토트리아졸 등을 포함한다. 이미다졸 화합물의 예는 2-알킬-4-메틸 이미다졸, 2-페닐-4-알킬 이미다졸, 2-메틸-4(5)-니트로이미다졸, 5-메틸-4-니트로이미다졸, 4-이미다졸메탄올 염산염, 2-머캅토-1-메틸이미다졸을 포함하지만, 이에 한정되지 않는다. 테트라졸 화합물의 예는 1-H-테트라졸, 5-메틸-1H-테트라졸, 5-페닐-1H-테트라졸, 5-아미노-1H-테트라졸, 1-페닐-5-머캅토-1H-테트라졸, 5,5'-비스-1H-테트라졸, 1-메틸-5-에틸테트라졸, 1-메틸-5-머캅토테트라졸, 1-카복시메틸-5-머캅토테트라졸 등을 포함하지만, 이에 한정되지 않는다.
일부 실시예에서, 선택적인 구리 패시베이션제의 양은, 사용되는 경우, 유전체 필름 형성 조성물의 전체 중량 중, 적어도 약 0.01 중량%(예를 들어, 적어도 약 0.05 중량%, 적어도 약 0.1 중량% 또는 적어도 약 0.5 중량%) 및/또는 최대 약 2 중량%(예를 들어, 최대 약 1.5 중량% 또는 최대 약 1 중량%)이다. 일부 실시예에서, 선택적인 구리 패시베이션제의 양은 조성물 내의 고형물의 양 중, 적어도 약 0.02 중량%(예를 들어, 적어도 약 0.1 중량%, 적어도 약 0.2 중량% 또는 적어도 약 1 중량%) 및/또는 최대 약 4 중량%(예를 들어, 최대 약 3 중량% 또는 최대 약 2 중량%)이다.
본 발명의 유전체 필름 형성 조성물은 선택적으로 하나 이상의 염료 및/또는 하나 이상의 착색제를 함유할 수 있다.
일부 실시예에서, 폴리이미드는 구조(1)의 실록산 디아민을 제외한 디아민으로부터 제조될 수 있고,
Figure 112018095384574-pct00001
구조 (1)
상기 식에서, R1과 R2는 각각 독립적으로 2가 지방족 또는 방향족 기(예를 들어, C1-6 2가 지방족 기 또는 C6-12 2가 방향족 기)이고, R3, R4, R5 및 R6은 각각 독립적으로 1가 지방족 또는 방향족 기(예를 들어, C1-6 1가 지방족 기 또는 C6-12 1가 방향족 기)이며, m은 1 ~ 100의 정수이다.
구조(III)의 실록산 디아민의 단량체의 예는 다음을 포함하지만, 이에 한정되지 않는다:
Figure 112018095384574-pct00002
Figure 112018095384574-pct00003
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 하나를 초과한다면, 임의의 조합으로 다음의 용매 중 하나 이상을 특정하게 배제할 수 있다. 이러한 용매는 메틸 에틸 케톤(MEK)과 같은 선형 케톤, 에틸 아세테이트와 같은 에스테르, 에틸 락테이트와 같은 에스테르 알코올, 테트라히드로푸르푸릴 알코올과 같은 에테르 알코올 및 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA)와 같은 글리콜 에스테르로 이루어진 군으로부터 선택될 수 있다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 하나를 초과한다면, 임의의 조합으로 다음의 접착 촉진제 중 하나 이상을 특정하게 배제할 수 있다. 이러한 접착 촉진제는 일차 아민 함유 접착 촉진제(예컨대, 3-아미노프로필 트리에톡시실란 및 m-아미노페닐 트리에톡시실란), 이차 아민 함유 접착 촉진제(예컨대, N-시클로헥실아미노 트리메톡시실란), 삼차 아민 함유 접착 촉진제(예컨대, 디에틸아미노에틸 트리에톡시실란), 우레아 함유 접착 촉진제(예컨대, 우레이도프로필 트리메톡시실란), 무수물 함유 접착 촉진제(예컨대, 3-(트리에톡시실릴)프로필 숙신산 무수물), 에폭시 함유 접착 촉진제(예컨대, 2-(3,4-에폭시시클로헥실)에틸 트리에톡시실란), 이소시아나토 함유 접착 촉진제(예컨대, 3-이소시아나토프로필트리에톡시 실란) 및 황 함유 접착 촉진제(예컨대, 3-머캅토프로필 트리메톡시실란)로 이루어진 군으로부터 선택될 수 있다.
일부 실시예에서, 본 발명의 유전체 필름 형성 조성물은 하나를 초과한다면, 임의의 조합으로 첨가제 성분 중 하나 이상을 특정하게 배제할 수 있다. 이러한 성분은 비-폴리이미드 중합체, 비-가교 비-폴리이미드 중합체, 계면 활성제, 가소제, 착색제, 염료, 물, 산소 스캐빈저, 사차 암모늄 수산화물, 아민, 알칼리 금속과 알칼리 토금속 염기(예컨대, NaOH, KOH, LiOH, 수산화 마그네슘 및 수산화 칼슘), 플루오르화물 함유 단량체 화합물, 산화제(예를 들어, 과산화물, 과산화수소, 질산 제2철, 요오드산 칼륨, 과망간산 칼륨, 질산, 아염소산 암모늄, 염소산 암모늄, 요오드산 암모늄, 과붕산 암모늄, 과염소산 암모늄, 과요오드산 암모늄, 과황산 암모늄, 아염소산 테트라메틸암모늄, 염소산 테트라메틸암모늄, 요오드산 테트라메틸암모늄, 과붕산 테트라메틸암모늄, 과염소산 테트라메틸암모늄, 과요오드산 테트라메틸암모늄, 과황산 테트라메틸암모늄, 우레아 과산화수소 및 과산화 아세트산), 연마제, 규산염, 부식 억제제(예를 들어, 비-아졸 부식 억제제), 구아니딘, 구아니딘 염, 무기산(예를 들어, 설폰산, 황산, 아황산, 아질산, 질산, 아인산 및 인산), 유기 산(예를 들어, 히드록시 카복실산과 카복시 및 폴리카복실산), 피롤리돈, 폴리비닐 피롤리돈 및 금속 할라이드로 이루어진 군으로부터 선택될 수 있다.
이론에 얽매이지 않는 범위에서, 본 발명의 유전체 필름 형성 조성물은 조성물에 의해 형성된 유전체 필름이 레이저 어블레이션 공정을 사용하여 패턴화될 때 부스러기의 발생을 최소화할 수 있는 것으로 믿어진다. 이와 같이, 이러한 조성물이 반도체 기판 위에 전도성 금속 층(예를 들어, 구리 층)을 형성하는 공정에 사용되면, 공정은 시드 층(예를 들어, 배리어 층과 금속 시딩 층을 포함하는)을 코팅하기 전에 부스러기 제거 공정 또는 전처리 공정을 필요로 하지 않는다.
일부 실시예에서, 본 발명은 본원에 기술된 유전체 필름 형성 조성물에 의해 형성된 유전체 필름을 특징으로 한다. 일부 실시예에서, 유전체 필름이 방사선 또는 열의 공급원에 노출되는 것에 의해 아직 가교되지 않은 경우, 유전체 필름은: a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; b) 적어도 하나의 금속 함유 (메트)아크릴레이트; 및 c) 적어도 하나의 촉매를 포함할 수 있다. 일부 실시예에서, 유전체 필름은 적어도 하나의 (유전체 필름 형성 조성물에 대해 상술한 것과 같은) 가교제를 추가로 포함할 수 있다.
일부 실시예에서, 유전체 필름이 방사선 또는 열의 공급원에 노출되는 것에 의해 가교된 경우, 유전체 필름은: a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; b) 적어도 하나의 가교된 금속 함유 (메트)아크릴레이트; 및 c) 선택적으로, 적어도 하나의 무기 충전제를 포함할 수 있다. 이러한 실시예에서, 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체는 가교되지 않거나, 그 자체 또는 금속 함유 (메트)아크릴레이트와 가교될 수 있다(예를 들어, 중합체가 적어도 하나의 가교 기를 가질 때).
일부 실시예에서, 308 nm, 355 nm, 365 nm 또는 405 nm의 파장에서 본 발명의 유전체 필름의 광학 흡광도는 적어도 약 0.1 ㎛-1(예를 들어, 적어도 약 0.5 ㎛-1, 적어도 약 1 ㎛-1, 적어도 약 2 ㎛-1, 적어도 약 3 ㎛-1, 적어도 약 5 ㎛-1, 적어도 약 7 ㎛-1, 또는 적어도 약 9 ㎛-1)이다.
일부 실시예에서, 본 발명은 건식 필름 구조를 제조하는 방법을 특징으로 한다. 이 방법은 다음을 포함한다:
(A) 캐리어 기판을 본원에 기술된 유전체 필름 형성 조성물(예를 들어, a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; b) 적어도 하나의 금속 함유 (메트)아크릴레이트; c) 적어도 하나의 촉매; d) 적어도 하나의 용매, 및 e) 선택적으로, 코팅된 조성물을 형성하기 위한 적어도 하나의 가교제를 함유하는 조성물)로 코팅하는 단계;
(B) 코팅된 조성물을 건조시켜 유전체 필름을 형성하는 단계; 및
(C) 선택적으로, 유전체 필름에 보호층을 도포하는 단계. 일부 실시예에서, 캐리어 기판은 우수한 광학 투명성을 갖고, 중합체 층에 릴리프(relief) 패턴을 형성하는 데 사용된 화학선 조사에 실질적으로 투명하다. 캐리어 기판의 두께는 적어도 약 10 ㎛(예를 들어, 적어도 약 15 ㎛, 적어도 약 20 ㎛, 적어도 약 30 ㎛, 적어도 약 40 ㎛, 적어도 약 50 ㎛ 또는 적어도 약 60 ㎛) 내지 최대 약 150 ㎛(예를 들어, 최대 약 140 ㎛, 최대 약 120 ㎛, 최대 약 100 ㎛, 최대 약 90 ㎛, 최대 약 80 ㎛, 또는 최대 약 70 ㎛)의 범위에 있는 것이 바람직하다.
일부 실시예에서, 캐리어 기판은 하나 이상의 중합체(예를 들어, 폴리에틸렌 테레프탈레이트)를 포함할 수 있는 단일 또는 다중 층 필름이다. 일부 실시예에서, 보호 층 기판은 하나 이상의 중합체(예를 들어, 폴리에틸렌 또는 폴리프로필렌)를 포함할 수 있는 단일 또는 다중 층 필름이다. 캐리어 기판과 보호층의 예는 예를 들어, 미국 특허출원 공개번호 제2016/0313642호에 기술되었고, 그 내용은 본원에 참조로 포함되어 있다. 일부 실시예에서, 건식 필름 구조에서 유전체 필름은 예를 들어, 가교된 필름을 형성하기 위해, 방사선 또는 열의 공급원에 아직 노출되어 있지 않다.
일부 실시예에서, 건식 필름의 유전체 필름은 캐리어 층으로부터 자립형 유전체 필름으로서 박리될 수 있다. 자립형 유전체 필름은 캐리어 층과 같은 임의의 지지층을 사용하지 않고도 그 물리적 무결성(integrity)을 유지할 수 있는 필름이다. 일부 실시예에서, 자립형 유전체 필름은 (예를 들어, 가교 전에): a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; b) 적어도 하나의 금속 함유 (메트)아크릴레이트(예를 들어, 비가교된 금속 함유 (메트)아크릴레이트); 및 c) 적어도 하나의 촉매(예를 들어, 중합 반응을 유도할 수 있는 촉매)를 포함할 수 있다. 일부 실시예에서, 자립형 유전체 필름은 방사선 또는 열의 공급원에 노출되어 가교된 자립형 유전체 필름을 형성할 수 있다. 일부 실시예에서, 가교된 자립형 유전체 필름은: a) 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체; 및 b) 적어도 하나의 가교된 금속 함유 (메트)아크릴레이트를 포함할 수 있다. 일부 실시예에서, 자립형 유전체 필름(가교 또는 비가교된)은, 평면 압축 방법 또는 열간 압연 압축 방법으로 유전체 필름을 예비 적층한 후, 약 50℃ 내지 약 140℃에서 진공 적층기를 사용하여 기판(예를 들어, 반도체 기판)에 적층될 수 있다.
일부 실시예에서, 건식 필름 구조의 유전체 필름은 평면 압축 방법 또는 열간 압연 압축 방법으로 건식 필름 구조의 유전체 필름을 예비 적층한 후, 약 60℃ 내지 약 140℃의 온도에서 진공 적층기를 사용하여 기판(예를 들어, 반도체 기판)에 적층될 수 있다. 열간 압연 적층이 사용될 때, 건식 필름 구조는 열간 압연 적층기에 배치될 수 있고, 선택적인 보호 층은 유전체 필름/캐리어 기판으로부터 박리될 수 있으며, 유전체 필름은 열과 압력을 갖는 압연기(roller)를 사용하여 기판과 접촉하고 기판에 적층되어, 기판, 유전체 필름 및 캐리어 기판을 함유하는 물품을 형성할 수 있다. 유전체 필름은 다음으로 방사선 또는 열의 공급원에 노출되어(예를 들어, 캐리어 기판을 통해) 가교된 필름을 형성할 수 있다. 일부 실시예에서, 캐리어 기판은 유전체 필름을 방사선 또는 열의 공급원에 노출시키기 전에 제거될 수 있다.
일부 실시예에서, 유전체 필름은 다음 단계를 포함하는 공정에 의해 본 발명의 유전체 필름 형성 조성물로부터 제조된다:
a) 기판 위에 본원에 기술된 유전체 필름 형성 조성물을 코팅하여 유전체 필름을 형성하는 단계;
b) 선택적으로, 필름을 약 20초 내지 약 240초 동안 약 50℃ 내지 약 150℃의 온도에서 베이킹하는 단계; 및
c) 유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계.
유전체 필름을 제조하기 위한 코팅 방법은 스핀 코팅, 스프레이 코팅, 롤 코팅, 로드 코팅, 회전 코팅, 슬릿 코팅, 압축 코팅, 커튼 코팅, 다이 코팅, 와이어 바 코팅, 나이프 코팅 및 건식 필름의 적층을 포함하지만, 이에 한정되지 않는다. 반도체 기판은 웨이퍼와 같은 원형을 가질 수 있거나 또는 패널일 수 있다. 일부 실시예에서, 반도체 기판은 규소 기판, 구리 기판, 알루미늄 기판, 산화 규소 기판, 질화 규소 기판, 유리 기판, 유기 기판, 구리 클래딩된(cladded) 적층물 또는 유전체 재료 기판일 수 있다.
이론에 얽매이지 않는 범위에서, 본 발명의 유전체 필름은 비교적 낮은 CTE를 가질 수 있는 것으로 믿어진다. 일부 실시예에서, 본 발명의 유전체 필름은 최대 약 100 ppm/℃(예를 들어, 최대 약 95 ppm/℃, 최대 약 90 ppm/℃, 최대 약 85 ppm/℃, 최대 약 80 ppm/℃, 최대 약 75 ppm/℃, 최대 약 70 ppm/℃, 최대 약 65 ppm/℃, 최대 약 60 ppm/℃, 최대 약 55 ppm/℃, 또는 최대 약 50 ppm/℃) 및 적어도 약 15 ppm/℃(예를 들어, 적어도 약 20 ppm/℃, 적어도 약 30 ppm/℃, 또는 적어도 40 ppm/℃)의 50 - 150℃의 온도 범위에서 측정된 CTE를 가질 수 있다.
본 발명의 유전체 필름의 필름 두께는 특별히 제한되지 않는다. 일부 실시예에서, 유전체 필름은 적어도 약 3 미크론(예를 들어, 적어도 약 4 미크론, 적어도 약 5 미크론, 적어도 약 7 미크론, 적어도 약 10 미크론, 적어도 약 15 미크론, 적어도 약 20 미크론, 또는 적어도 약 25 미크론) 및/또는 최대 약 100 미크론(예를 들어, 최대 약 80 미크론, 최대 약 60 미크론, 최대 약 50 미크론, 최대 약 40 미크론, 또는 최대 약 30 미크론)의 필름 두께를 갖는다.
일부 실시예에서, 유전체 필름은 비교적 작은 필름 두께(예를 들어, 최대 약 5 미크론, 최대 약 4 미크론, 또는 최대 약 3 미크론)를 가질 수 있다.
다음으로, 유전체 필름은 방사선 공급원 또는 열에 노출될 수 있다(예를 들어, 가교된 필름을 형성하기 위해). 방사선 노출을 위해 사용된 방사선의 비제한적인 예는 전자 빔, 자외선 및 X 선을 포함하고, 자외선이 바람직하다. 전형적으로, 저압 수은 램프, 고압 수은 램프, 초고압 수은 램프, 또는 할로겐 램프가 방사선 공급원으로 사용될 수 있다. 조사선량(exposure dose)은 특별하게 제한되지 않고, 해당 기술분야의 당업자는 적당한 양을 용이하게 결정할 수 있다. 일부 실시예에서, 조사선량은, 적어도 약 100 mJ/cm2(예를 들어, 적어도 약 200 mJ/cm2, 또는 적어도 약 500 mJ/cm2) 및 최대 약 2,000 mJ/cm2(예를 들어, 최대 약 1,500 mJ/cm2 또는 최대 약 1,000 mJ/cm2)이다. 유전체 필름이 가열에 노출되면, 가열 온도는 적어도 약 70℃(예를 들어, 적어도 약 100℃, 적어도 약 130℃, 또는 적어도 약 160℃) 및/또는 최대 약 250℃(예를 들어, 최대 약 220℃, 최대 약 200℃, 또는 최대 약 180℃)이다. 가열 시간은 적어도 약 10분(예를 들어, 적어도 약 20분, 적어도 약 30분, 적어도 약 40분 또는 적어도 약 50분) 및/또는 최대 약 5시간(예를 들어, 최대 약 4시간, 최대 약 3시간, 최대 약 2시간, 또는 최대 약 1시간)이다.
일부 실시예에서, 본 발명은 금속 층을 증착하는 (예를 들어, 내장된 구리 트레이스 구조를 생성하기 위한) 공정을 특징으로 하고, 이는 다음 단계를 포함한다:
a) 기판 위에 본 발명의 유전체 필름 형성 조성물을 증착시켜 유전체 필름을 형성하는 단계;
b) 유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계;
c) 필름을 패터닝하여(예를 들어, 레이저 어블레이션 공정에 의해) 개구(opening)를 갖는 패턴화된 유전체 필름을 형성하는 단계; 및
d) 유전체 필름 내의 적어도 하나의 개구에 금속(예를 들어, 전기 전도성 금속)을 증착시키는 단계.
단계 a)와 b)는 앞에서 논의된 것과 같을 수 있다.
본 발명에 기술된 패턴화된 구조는 레이저 어블레이션 공정에 의해 얻어질 수 있다. 엑시머 레이저 빔을 이용한 직접 레이저 어블레이션 공정은 일반적으로 유전체 필름에 개구(또는 패턴)를 형성하기 위한 건식의, 일 단계 재료 제거이다. 일부 실시예에서, 레이저의 파장은 351 nm 이하(예를 들어, 351 nm, 308 nm, 248 nm 또는 193 nm)이다. 일부 실시예에서, 레이저의 파장은 308 nm 이하이다. 적합한 레이저 어블레이션 공정의 예는 미국 특허번호 US7,598,167, US6,667,551 및 US6,114,240에 기술된 공정을 포함하지만 이에 한정되지 않고, 이의 내용은 본원에 참조로 포함되어 있다. 종래의 유전체 필름을 사용하는 레이저 어블레이션 공정은 많은 양의 부스러기를 생성한다. 이 어블레이션 부스러기의 제거는 흔히 화학적 및/또는 플라스마 처리에 의한 추가 세정 공정 단계를 요구하고, 이는 공정의 복잡성과 비용을 증가시킨다. 본 발명의 중요한 특징은 본 발명의 조성물로부터 제조된 유전체 필름이 레이저 어블레이션 공정에 의해 패턴화될 때, 부스러기가 거의 또는 전혀 형성되지 않아서, 공정 복잡성을 줄이고 비용을 더 낮춘다는 것이다. 부스러기의 레벨을 설명하는 하나의 방법은 1 내지 5의 스케일(scale)을 사용하는 것으로, 1은 부스러기의 가장 적은 양을 나타내고(부스러기 없음), 5는 레이저 어블레이션 공정에 의해 생성된 부스러기의 가장 많은 양을 나타낸다. 아래 예에 예시된 바와 같이, 본 발명의 유전체 필름 형성 조성물로부터 제조된 유전체 필름의 레이저 어블레이션 공정에 의해 생성된 부스러기는 최대 3(예를 들어, 최대 2 또는 최대 1)이다.
본 발명의 일부 실시예는, 반도체 기판 위에 금속 층(예를 들어, 내장된 구리 트레이스 구조를 생성하기 위한 전기 전도성 구리 층)을 증착하기 위한 공정을 기술한다. 일부 실시예에서, 이를 이루기 위해, 패턴화된 유전체 필름에 공형(conformal)인 시드 층이 패턴화된 유전체 필름(예를 들어, 필름에서 개구 바깥쪽) 위에 먼저 증착된다. 시드 층은 배리어 층과 금속 시드 층(예를 들어, 구리 시딩 층)을 포함할 수 있다. 본 발명의 중요한 양상은 전처리 공정을 사용할 필요 없이(예를 들어, 화학 처리를 가하거나, 플라스마 처리를 가하거나, 또는 외부 접착 층을 사용하여) 패턴화된 유전체 필름 위에(필름에서 개구의 안쪽 또는 바깥쪽) 배리어 층과 금속 시딩 층이 증착되어, 유전체 필름과 시딩 층 사이에 양호한 접착을 보장할 수 있다는 것이다. 일부 실시예에서, 배리어 층은 유전체 층을 통해 전기 전도성 금속(예를 들어, 구리)의 확산을 방지할 수 있는 재료를 사용하여 제조된다. 배리어 층을 위해 사용될 수 있는 적합한 재료는 탄탈(Ta), 티타늄(Ti), 질화 탄탈(TiN), 질화 텅스텐(WN) 및 Ta/TaN을 포함하지만, 이에 한정되지 않는다. 배리어 층을 형성하는 적합한 방법은 스퍼터링(sputtering)(예를 들어, PVD 또는 물리 증기 증착)이다. 스퍼터링 증착은 높은 증착 속도에서, 양호한 균일성과 낮은 소유 비용으로, 많은 전도성 재료를 증착시키기 위해 사용될 수 있기 때문에, 금속 증착 기술로서 몇 가지 이점을 갖는다. 종래의 스퍼터링 충전(sputtering fill)은 더 깊고, 더 좁은(높은 종횡비) 피쳐(feature)에 대해 비교적 좋지 않은 결과를 나타낸다. 스퍼터링 증착에 의한 충전 인자(fill factor)는 스퍼터링된 플럭스를 시준(collimating)시킴으로써 개선되었다. 전형적으로, 이것은 표적과 기판 사이에 육각형 셀의 어레이를 갖는 시준기 판(collimator plate)을 삽입함으로써 이루어진다.
이 공정에서 다음 단계는 금속 시딩 증착이다. 다음 단계에서 형성된 금속 층(예를 들어, 구리 층)의 증착을 향상시키기 위해, 배리어 층의 상단 위에 얇은 금속(예를 들어, 구리와 같은 전기 전도성 금속) 시딩 층이 형성될 수 있다.
공정에서 다음 단계는 패턴화된 유전체 필름의 개구에서 금속 시딩 층의 상단 위에 전기 전도성 금속 층(예를 들어, 구리 층)을 증착하는 것으로, 여기에서 금속 층은 패턴화된 유전체 필름에서 개구를 충전하기에 충분히 두껍다. 패턴화된 유전체 필름에서 개구를 충전하기 위한 금속 층은 도금(무전해(electroless) 또는 전해 도금과 같은), 스퍼터링, 플라스마 증기 증착(PVD) 및 화학 증기 증착(CVD)에 의해 증착될 수 있다. 전기 화학 증착은 일반적으로 다른 증착 방법보다 더욱 경제적이고 인터커넥트 피쳐(interconnect feature) 안으로 구리를 완벽하게 충전할 수 있기 때문에, 구리를 도포하는 데 바람직한 방법이다. 구리 증착 방법은 일반적으로 반도체 산업의 엄격한 요건을 충족시켜야만 한다. 예를 들어, 구리 증착물은 균일하고, 예를 들어 100 nm 이하의 개구를 갖는, 디바이스의 작은 인터커넥트 피쳐를 완벽하게 충전할 수 있어야만 한다. 이 기술은 예를 들어, 미국 특허번호 US5,891,804(Havemann 등), US6,399,486(Tsai 등), US7,303,992(Paneccasio 등)에 기술되었고, 이의 내용은 본원에 참조로 포함되어 있다.
일부 실시예에서, 패턴화된 유전체 필름은 최대 약 10 미크론(예를 들어, 최대 약 9 미크론, 최대 약 8 미크론, 최대 약 7 미크론, 최대 약 6 미크론, 최대 약 5 미크론, 최대 약 4 미크론, 최대 약 3 미크론, 최대 약 2 미크론 또는 최대 약 1 미크론)의 피쳐 크기(예를 들어, 폭)를 갖는 적어도 하나의 요소를 포함한다. 본 발명의 한 가지 중요한 양상은, 본원에 기술된 유전체 필름 형성 조성물로부터 제조된 유전체 필름이 레이저 어블레이션 공정에 의해, 최대 약 3 미크론(예를 들어, 최대 2 미크론 또는 최대 1 미크론)의 피쳐 크기로 패턴화된 것을 생성할 수 있다는 것이다.
일부 실시예에서, 본 발명의 패턴화된 유전체 필름의 피쳐(예를 들어, 가장 작은 피쳐)의 종횡비(높이 대 폭의 비)는 적어도 약 1/3(예를 들어, 적어도 약 1/2, 적어도 약 1/1, 적어도 약 2/1, 적어도 약 3/1, 적어도 약 4/1, 또는 적어도 약 5/1)이다.
일부 실시예에서, 전기 전도성 금속 층을 증착하는 공정은 전기 전도성 금속의 과하중(overburden)을 제거하는 단계 또는 시드 층(예를 들어, 배리어 층과 금속 시딩 층)을 제거하는 단계를 추가로 포함한다. 이 발명의 공정의 독특한 양상은 전기 전도성 금속 층 또는 시드 층의 과하중이 최소화되고, 습식 에칭과 같은 비교적 간단한 방법에 의해 제거될 수 있다는 것이다. 일부 실시예에서, 전기 전도성 금속 층(예를 들어, 구리 층)의 과하중은 최대 약 3 미크론(예를 들어, 최대 약 2.8 미크론, 최대 약 2.6 미크론, 최대 약 2.4 미크론, 최대 약 2.2 미크론, 최대 약 2.0 미크론, 또는 최대 약 1.8 미크론) 및 적어도 약 0.4 미크론(예를 들어, 적어도 약 0.6 미크론, 적어도 약 0.8 미크론, 적어도 약 1 미크론, 적어도 약 1.2 미크론, 적어도 약 1.4 미크론 또는 적어도 약 1.6 미크론)이다. 구리 과하중을 제거하기 위한 구리 에칭액(etchant)의 예는 염화 제2구리와 염산을 함유하는 수용액 또는 질산 제2철과 염산의 수성 혼합물을 포함한다. 다른 적합한 구리 에칭액의 예는 미국 특허번호 US4,784,785, US3,361,674, US3,816,306, US5,524,780, US5,650,249, US5,431,776, 및 US5,248,398 및 미국 출원번호 US2017175274에 기술된 구리 에칭액을 포함하지만 이에 한정되지 않고, 그 내용은 본원에 참조로 포함되어 있다.
또한, 시드 층(예를 들어, 배리어 층과 금속 시딩 층)은 또한 습식 에칭에 의해 제거될 수 있다. 티타늄 배리어 층을 제거하기에 적합한 티타늄 에칭액의 예는 플루오르화 수소산 및 질산과 플루오르화 수소산의 조합물을 포함하지만, 이에 한정되지 않는다. 다른 적합한 티타늄 에칭액의 예는 미국 특허번호 US4,540,465와 US8,801,958에 기술된 티타늄 에칭액을 포함하지만 이에 한정되지 않고, 그 내용은 본원에 참조로 포함되어 있다. 탄탈 배리어 층을 제거하기 위한 탄탈 에칭액의 예는 수산화 나트륨/과산화수소의 수용액과 수산화 칼륨/과산화수소의 수용액을 포함하지만, 이에 한정되지 않는다.
도 1은 패턴화된 유전체 필름 위에 전도성 금속(예를 들어, 구리)을 증착하기 위한 종래의 공정을 도시한 예시적인 도해이다. 단계(100)에서, 종래의 유전체 필름 조성물은 반도체 기판 위에 증착된다. 단계(110)에서, 유전체 필름은 레이저 어블레이션 공정을 사용하여 패턴화된다. 단계(120)에서, 단계(110) 동안 생성된 부스러기가 (예를 들어, 세정제 또는 부스러기 제거제를 사용하는 화학적 처리에 의해) 제거된다. 전형적인 유전체 필름과 시드 층(예를 들어, 배리어 층과 시딩 층을 포함하는) 사이의 접착을 향상시키기 위한 전처리 공정이 단계(130)에 도시되어 있다. 유전체 필름과 시드 층 사이에 양호한 접착을 보장하기 위해, 화학 처리 또는 플라스마 처리를 필요로 하거나 또는 외부 접착 촉진제를 도포하는 단계를 필요로 하는 전처리 공정이 필수적이다. 예를 들어, 과망간산 칼륨과 수산화 나트륨 용액으로 유전체 필름을 가열하는 스미어 제거(desmear) 공정이 일반적으로 사용되어, 시드 층과 유전체 필름의 접착성을 향상시킨다. 다음으로, 시드 층이 단계(140)에서 증착된 다음, 단계(150)에서 전기 전도성 금속이 증착된다. 전기 전도성 금속의 임의의 과하중을 제거하기 위해 단계(160)에서 화학적 기계적 연마(chemical mechanical polishing, CMP)가 수행된다. 마지막으로, 단계(170)에서, 내장된 전도성 금속 트레이스를 갖는 반도체 구조를 얻기 위해 CMP 후 세정이 실행된다.
도 2는 본 발명의 예시적인 발명의 공정을 도시하는 예시적인 도해이다. 도 2에 도시된 바와 같이, 본 발명의 독창적인 유전체 필름은 단계(200)에서 반도체 기판 위에 본원에 기술된 유전체 필름 형성 조성물을 증착시킴으로써 형성될 수 있다. 이 코팅된 유전체 필름은 단계(210)에서, 부스러기를 거의 생성하지 않거나 또는 전혀 생성하지 않는 레이저 어블레이션 공정에 의해 패턴화될 수 있다. 시드 층(예를 들어, 배리어 층과 시딩 층을 포함하는)이 단계(220)에서 임의의 부스러기 제거 공정 또는 임의의 전처리 공정 없이 유전체 필름 위에 직접 증착된 다음, 단계(230)에서 전기 전도성 금속(예를 들어, 구리)이 증착될 수 있다. 마지막으로, 단계(240)에서, 공정은 내장된 전도성 금속 트레이스를 갖는 반도체 구조를 얻기 위해, 전기 도전성 금속 또는 시드 층의 임의의 과하중을 제거하도록 습식 에칭 공정을 수행함으로써 완료될 수 있다.
일부 실시예에서, 본 발명은 본원에 기술된 공정에 의해 형성된 적어도 하나의 패턴화된 필름을 함유하는 3차원 물체를 특징으로 한다. 일부 실시예에서, 3차원 물체는 적어도 2개의 스택(stack)(예를 들어, 적어도 3개의 스택)에 패턴화된 필름을 포함할 수 있다. 이러한 물체의 예는 반도체 기판, 전자 장치용 가요성 필름, 와이어 절연, 와이어 코팅, 와이어 에나멜 또는 잉크가 묻은 기판을 포함한다. 일부 실시예에서, 본 발명은 이들 3차원 물체 중 하나 이상을 포함하는 반도체 디바이스를 특징으로 한다. 이러한 반도체 디바이스의 예는 집적 회로, 발광 다이오드, 태양 전지 및 트랜지스터를 포함한다.
본원에 인용된 모든 출판물(예를 들어, 특허, 특허 출원 공보 및 논문)의 내용은 본원에 완전히 참조로 포함되어 있다.
본 발명은 하기 실시예를 참조하여 더욱 상세하게 설명되며, 이는 예시적인 목적을 위한 것이며 본 발명의 범위를 제한하는 것으로 해석되어서는 안된다.
실시예
달리 명시되지 않는 한, 열거된 임의의 백분율은 중량을 기준으로 한다(중량%).
합성 예 1 (P-1)
6FDA/DAPI 폴리이미드의 제조
Figure 112018095384574-pct00004
25℃에서 NMP(9.86 kg) 중의 1-(4-아미노페닐)-1,3,3-트리메틸인단-5-아민(4,4'-[1,4-페닐렌-비스(1-메틸에틸리덴)]비스아닐린(DAPI)으로도 알려짐)(1.473 kg, 5.51 몰)의 용액에 고체 4,4'-(헥사플루오로이소프로필리덴)비스(프탈산 무수물)(6FDA)(2.370 kg, 5.33 몰)을 넣었다. 반응 온도를 40℃로 올리고, 혼합물을 6 시간 동안 반응시켰다. 다음으로, 아세트산 무수물(1.125 kg)과 피리딘(0.219 kg)을 첨가하였고, 반응 온도를 100℃로 올리고 12 시간 동안 반응시켰다.
반응 혼합물은 실온으로 냉각시키고, 기계 교반기가 장착된 더 큰 용기로 옮겼다. 반응 용액을 에틸 아세테이트로 희석하고, 1시간 동안 물로 세척하였다. 교반을 정지한 후, 혼합물을 가만히 정치하였다. 일단 상 분리가 발생하면, 수성 상을 제거하였다. 유기 상을 에틸 아세테이트와 아세톤의 조합물로 희석하고, 물로 두 번 세척하였다. 모든 세척에서 사용된 유기 용매(에틸 아세테이트 및 아세톤)와 물의 양을 표 1에 나타내었다.
Figure 112018095384574-pct00005
세척된 유기 상에 시클로펜타논(10.5 kg)을 첨가하고, 용액을 진공 증류에 의해 농축시켜 중합체 용액 P-1을 얻었다. 최종 중합체의 고형물 %는 32.96%였고, GPC로 측정된 중량 평균 분자량(Mw)은 49,200 달톤이었다.
유전체 형성 조성물을 제조하는 일반 절차
실시예 1 - 6 및 비교예 1의 유전체 필름 형성 조성물을 중합체(P-1), 적당량의 메타크릴옥시프로필 트리메톡시실란, NCI-831(상품명, ADEKA 코포레이션으로부터 입수 가능), 티타늄 테트라(카복시에틸 아크릴레이트)(MCA, n-부탄올 중 60 중량%), 실리카, 시클로헥사논, 및 가교제의 혼합물을 기계적으로 교반하여 제조하였다. 24시간 동안 기계적으로 교반한 후, 용액을 여과 없이 사용하였다. 이들 실시예의 조성을 표 2에 나타내었다.
Figure 112018095384574-pct00006
* 폴리이미드 중합체, MCA, 및 실리카의 양은 100% 고체로서이다.
** 가교제 1(CL-1)은 CN 992이고, (Arkema-Sartomer로부터 입수 가능한 상품명), 가교제 2(CL-2)는 테트라에틸렌 글리콜 디아크릴레이트이며, 가교제 3(CL-3)은 트리메틸올프로판 트리아크릴레이트이다.
레이저 어블레이션 공정을 위한 일반 절차
각 유전체 필름 형성 조성물을 실리콘 웨이퍼 상에서 스핀 코팅하고, 핫 플레이트를 사용하여 95℃에서 10분 동안 베이킹하여 코팅을 형성시켰다. 다음으로, 필름은 500 mJ/cm2에서 광대역 UV 노출 도구(Carl Suss MA-56)로 충분히 노출시키고, 170℃에서 2시간 동안 베이킹하여 약 6 내지 9 미크론의 두께를 갖는 필름을 형성시켰다. 308 nm의 파장, 100 Hz의 주파수에서 XeCl 레이저를 사용함으로써 레이저 어블레이션 공정에 의해 필름이 패턴화시켰다. 각 경우에 대해, 레이저 어블레이션 공정으로 생성된 부스러기를 표 3에서 실시예 7 - 12 및 비교예 2로 나타내었다.
Figure 112018095384574-pct00007
실시예 7 - 12에서, 실시예 1 내지 6의 조성물(모두 완전하게 이미드화된 폴리이미드 중합체와 MCA를 가졌음)은 레이저 어블레이션 공정 후에 부스러기를 거의 생성하지 않거나 또는 전혀 생성하지 않았다(즉, 3 이하의 부스러기 등급을 갖는다). 이와 대조적으로, 비교예 2에서, 비교예 1의 조성물(MCA가 없는 종래의 유전체 필름 형성 조성물임)은 레이저 어블레이션 공정 후에 상당한 양의 부스러기를 발생시켰다(즉, 5의 부스러기 등급을 갖는다).
표 3에서, 폴리이미드 중합체와 MCA의 양은 전체 고형물에서 이들 두 화합물의 백분율을 기준으로 계산하였다. 전체 고형물의 중량은 유전체 필름 형성 조성물의 전체 중량에서 이 조성물의 용매(들)를 뺀 것으로 정의된다.
실시예 13: 레이저 어블레이션 배리어 층의 증착
실시예 2의 유전체 필름 형성 조성물의 레이저 어블레이션은 6.2 미크론 필름 위에 2×2 미크론의 해상도(라인/공간)를 가져왔다(예를 들어, 종횡비 = 6.2/2 또는 3.1). 레이저 어블레이션 공정 동안 부스러기가 거의 또는 전혀 형성되지 않았기 때문에, 배리어 층을 증착하기 전에 부스러기 제거 단계 또는 전처리 공정이 필요하지 않았다.
스퍼터링 기술을 사용하여 패턴화된 필름의 상단에 배리어 층(티타늄)을 증착하였다. 56 mA의 전기 전류에서 Denton Vacuum Desktop 유닛을 사용하여 증착을 수행하였다. 26 ㎚의 두께를 갖는 티타늄 배리어 층이 16분 안에 형성되었다.
실시예 14: 구리 시딩 층의 증착
구리 시딩 층을 동일한 스퍼터링 도구를 사용하여 상기 배리어 층의 상단에 증착하였다. 420 nm의 두께를 갖는 구리 시딩 층이 50 mA의 전기 전류에서 60분 안에 형성되었다.
실시예 15: 구리 층의 증착
크기가 다른 웨이퍼를 위해 설계된 도금 유닛에서 전기 도금을 수행하였다. 정류기(교류 전기를 조절된 저전압 DC 전류로 변환함) 형태의 전원으로 필요한 전류를 제공하였다. 전기 도금 전에, 상기 얻어진 가공품(work-piece)(웨이퍼)을 10% H2SO4로 세척한 다음, 탈이온수로 헹구었다. 전기 도금 조(주 성분: 전해질로서 탈이온수 중에 3% 메탄설폰산과 5% CuSO4.5H2O)에 액침된 전극을 DC 전류원의 출력에 연결하였다. 전기 도금될 웨이퍼는 음으로 하전된 캐소드(cathode)로 작용하였다. 양으로 하전된 애노드(anode)는 전기 회로를 완성한다. 전해질이 분당 6 갤런의 속도로 재순환되는 동안, 전기 도금 조를 25℃에서 유지하였다. 구리 증착의 균일성을 유지하기 위해, 셀을 적절한 삽입물이 있는 배플(baffle)을 갖도록 설계하였다. 4.4 암페어의 전류와 8.8 볼트의 전압이 유지되었을 때, 분당 1 미크론의 속도로 구리를 증착하였다. 구리 과하중은 2 미크론이었다.
실시예 16: 구리 과하중과 티타늄 배리어 층의 제거
90% 탈이온수와 10% 염화 제2구리의 혼합물을 먼저 제조한 다음, 염산을 첨가하여 pH를 1로 조절하여 구리 에칭액을 제조하였다. 2 미크론의 구리 과하중이 실온에서 20분 후에 제거되도록, 상기 얻어진 웨이퍼를 구리 에칭액에 액침하였다. 98.994% 탈이온수, 1% 플루오르화 수소산 및 0.006% 폴리에틸렌이민(200 달톤의 MW)을 블렌딩하여 티타늄 에칭액을 제조하였다. 배리어 층(26 nm)이 실온에서 1분 동안 제거되도록, 상기 얻어진 웨이퍼를 티타늄에 에칭액에 액침하였다. 내장된 구리 트레이스 구조를 갖는 웨이퍼를 얻었다.
비교예 3: 부스러기 제거
비교예 1의 조성물로부터 형성된 유전체 필름의 레이저 어블레이션은 11 미크론 필름 위에 8×8 미크론의 해상도(라인/공간)를 가져왔다(예를 들어, 종횡비 = 11/8 또는 1.4). 다량의 부스러기가 발생하였다. 따라서, 배리어 층 증착 전에, 부스러기는 제거되어야만 했다. 2.5 중량%의 수산화 테트라메틸 암모늄(TMAH), 7.5 중량%의 탈이온수, 0.5 중량%의 히드록실아민 설페이트, 4.3 중량%의 모노메탄올 아민, 85 중량%의 테트라히드로푸르푸릴 알코올 및 0.2 중량%의 5-메틸-1H-벤조트리아졸로 이루어진 부스러기 제거 조성물을 사용하였다. 이 부스러기 제거 조성물을 60℃로 가열하고, 웨이퍼를 부스러기 제거 조성물에 5분 동안 액침하여 처리하였다. 웨이퍼를 물로 세척한 다음, 건조시켰다. 부스러기는 이 처리 후에 완전히 제거되었다.
비교예 4: 배리어 층의 증착
비교예 3의 패턴화된 필름의 상단에 스퍼터링 기술을 사용하여 배리어 층(티타늄)을 증착하였다. 56 mA의 전기 전류에서 Denton Vacuum Desktop 유닛을 사용하여 증착을 수행하였다. 26 ㎚의 두께를 갖는 티타늄 배리어 층이 16분 안에 형성되었다.
비교예 5: 구리 시딩 층의 증착
동일한 스퍼터링 도구를 사용하여 상기 배리어 층의 상단에 구리 시딩 층을 증착하였다. 420 nm의 두께를 갖는 구리 시딩 층이 50 mA의 전기 전류에서 60분 안에 형성되었다.
비교예 6: 구리 층의 증착
더 긴 시간을 제외하고는, 실시예 15에서 기술된 것과 동일한 방식으로 전기 도금을 수행하였다. 구리 과하중은 20 미크론이었다.
비교예 7: CMP와 CMP 후 세정에 의한 구리 과하중과 티타늄 배리어 층의 제거
CMP 공정에 의해 구리 과하중을 제거하였다. 175 mL/분의 슬러리 유속으로 Mirra AMAT 연마기 상에서 구리 벌크 슬러리를 사용하였다. CMP 공정을 위한 전체 시간은 10분이었다. 이 연마 단계 완료시, 유기 잔류물과 웨이퍼의 표면에 붙은 입자를 제거하는 산성 세정제에 웨이퍼를 세정하였다.
다음으로, 벌크 슬러리보다 더욱 기계적으로 가동되어 티타늄 배리어 층의 효율적인 제거를 가능하도록 하는 구리 배리어 슬러리에 의해 티타늄 배리어 층을 제거하였다. 유기 잔류물과 웨이퍼의 표면에 붙은 입자를 제거하는 제2 산성 세정제에서 웨이퍼를 세정하였다.
실시예 17: 실시예 1의 유전체 필름 형성 조성물의 광학 흡광도 측정
실시예 1의 유전체 필름 형성 조성물을 투명한 4" 유리 웨이퍼의 상단 위에 3.0 ㎛의 두께로 스핀 코팅하고, 95℃에서 180초 동안 소프트 베이킹하였다. 이 독립형 건식 필름의 흡광도를 300 내지 405 nm 범위의 파장에서 CARY 400 Conc. UV-가시선 분광 광도계를 사용하여 측정하였다. 이 필름의 광학 흡광도는 308 nm에서 0.383 ㎛-1, 355 nm에서 0.125 ㎛-1, 365 nm에서 0.127 ㎛-1 및 405 nm에서 0.058 ㎛-1이었다.
실시예 18: 유전체 필름 형성 조성물
실시예 18의 유전체 필름 형성 조성물을 339.00 g의 중합체(P-1), 18.00 g의 메타크릴옥시프로필 트리메톡시실란, 10.80 g의 OXE-01(상품명, BASF SE로부터 입수 가능), 114.00 g의 티타늄 테트라(카복시에틸 아크릴레이트)(n-부탄올 중의 60 중량%), 340.11 g의 시클로헥사논, 126.00 g의 Arkema-Sartomer로부터 입수 가능한 테트라에틸렌 글리콜 디아크릴레이트 및 252.0 g의 우레탄 아크릴레이트(CN 992, Arkema-Sartomer로부터 입수 가능한 상품명)을 사용하여 제조하였다. 조성물을 24시간 동안 기계적으로 교반한 후, 0.2 미크론 필터를 사용하여 여과하였다.
실시예 19: 건식 필름 예 1 (DF-1)
실시예 18의 여과된 유전체 필름 형성 조성물을 캐리어 기판으로서 20.2 인치의 폭과 35 미크론의 두께를 갖는 폴리에틸렌 테레프탈레이트(PET) 필름(Hostaphan 3915, Mitsubishi Polyester Film, Inc.에 의해 제조) 위에, 30 미크론 마이크로바 클리어런스를 갖고 2 피트/분(60 cm/분)의 라인 속도로 Fujifilm USA(사우스캐롤라이나, 그린우드)의 슬롯 다이 코터(slot die coater)를 사용하여 도포하고, 197℉에서 건조시켜 약 10.0 미크론의 두께를 갖는 유전체 층을 얻었다. 이 유전체 층 위에, 20.2 인치의 폭과 20 미크론의 두께를 갖는 이축 배향 폴리프로필렌 필름(BOPP, Mirwec Film Inc.에 의해 제조됨, 인디애나, 블루밍턴, 상품명 BOPLON)을 롤 압축으로 덮어, 보호 층으로 작용하게 하였다.
실시예 20: 유전체 필름 형성 조성물
실시예 20의 유전체 필름 형성 조성물을 470.98 g의 중합체(P-1), 15.00 g의 메타크릴옥시프로필 트리메톡시실란, 9.00 g의 NCI-831(상품명, ADEKA 코포레이션으로부터 입수 가능), 175.00 g의 티타늄 테트라(카복시에틸 아크릴레이트)(n-부탄올 중의 60 중량%), 289.04 g의 시클로헥사논, 141.00 g의 Arkema-Sartomer로부터 입수 가능한 테트라에틸렌 글리콜 디아크릴레이트, 750 g의 시클로헥사논에 분산된 20% 실리카 및 150.00 g의 우레탄 아크릴레이트(CN 992, Arkema-Sartomer로부터 입수 가능한 상품명)을 사용하여 제조하였다. 24시간 동안 기계적으로 교반한 후, 용액을 여과 없이 사용하였다.
실시예 21: 건식 필름 예 2 (DF-2)
실시예 20의 여과되지 않은 유전체 필름 형성 조성물을 캐리어 기판으로서 20.2 인치의 폭과 35 미크론의 두께를 갖는 폴리에틸렌 테레프탈레이트(PET) 필름(Hostaphan 3915, Mitsubishi Polyester Film, Inc.에 의해 제조) 위에, 30 미크론 마이크로바 클리어런스를 갖고 2 피트/분(60 cm/분)의 라인 속도로 Fujifilm USA(사우스캐롤라이나, 그린우드)의 슬롯 다이 코터를 사용하여 도포하고, 197℉에서 건조시켜 약 10.0 미크론의 두께를 갖는 유전체 층을 얻었다. 이 유전체 층 위에, 20.2 인치의 폭과 20 미크론의 두께를 갖는 이축 배향 폴리프로필렌 필름(BOPP, Mirwec Film Inc.에 의해 제조됨, 인디애나, 블루밍턴, 상품명 BOPLON)을 롤 압축으로 덮어, 보호 층으로 작용하게 하였다.
예언적 실시예 22: 유전체 필름 형성 조성물
제형예 22를 28.00 g의 중합체(Poly-1), 46.88 g의 시클로펜타논, 80.00 g의 시클로헥사논, 0.84 g의 N-(3-트리메톡시실릴프로필)피롤, 1.40 g의 1-(O-아세틸옥심)-1-[9-에틸-6-(2-메틸벤조일)-9H-카르바졸-3-일]에타논(OXE-02, BASF로부터 입수 가능), 6.20 g의 하프늄 테트라카복시에틸 아크릴레이트, 11.00 g의 0.45 미크론의 중간 크기를 갖는 티타니아, 14 g의 트리에틸렌 글리콜 디메타크릴레이트, 17.50 g의 CN992(Sartomer로부터 입수 가능), 0.84 g의 시클로펜타논 중의 Polyfox 6320의 0.05% 용액 및 0.07 g의 p-벤조퀴논을 사용하여 제조하였다. 24시간 동안 기계적으로 교반한 후, 제형을 여과 없이 사용하였다.
예언적 실시예 23: 유전체 필름 형성 조성물
제형예 23을 26.00 g의 중합체(Poly-1), 47.00 g의 시클로펜타논, 75.00 g의 시클로헥사논, 0.80 g의 N-(3-트리에톡시실릴프로필)-4,5-디히드로이미다졸, 01.50 g의 과산화 벤조일, 7.50 g의 지르코늄 부톡사이드 트리(메트)아크릴레이트, 17.0 g의 테트라에틸렌 글리콜 디아크릴레이트(SR-209, Sartomer로부터 입수 가능), 14.00 g의 펜타에리스리톨 트리아크릴레이트, 0.72 g의 시클로펜타논 중의 Polyfox 6320의 0.05% 용액, 및 0.05 g의 p-벤조퀴논을 사용하여 제조하였다. 30시간 동안 기계적으로 교반한 후, 제형을 여과 없이 사용하였다.

Claims (45)

  1. 전도성 금속 층을 증착하는 방법에 있어서,
    a) 기판 위에 유전체 필름 형성 조성물(dielectric film forming composition)을 증착시켜 유전체 필름을 형성하며, 여기에서 유전체 필름 형성 조성물은 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체, 적어도 하나의 금속 함유 (메트)아크릴레이트, 적어도 하나의 촉매, 및 적어도 하나의 용매를 포함하는 것인 단계;
    b) 유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계;
    c) 유전체 필름을 패터닝하여 개구를 갖는 패턴화된 유전체 필름을 형성하는 단계;
    d) 패턴화된 유전체 필름 위에 시드 층을 증착시키는 단계; 및
    e) 패턴화된 유전체 필름 내의 적어도 하나의 개구에 전도성 금속 층을 증착시키는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    적어도 하나의 금속 함유 (메트)아크릴레이트의 금속 원자는 티타늄, 지르코늄, 하프늄 및 게르마늄으로 이루어진 군으로부터 선택되는 것인 방법.
  3. 제1항에 있어서,
    적어도 하나의 금속 함유 (메트)아크릴레이트는 적어도 하나의 금속 원자와 적어도 하나의 (메트)아크릴레이트 기를 포함하는 것인 방법.
  4. 제1항에 있어서,
    적어도 하나의 금속 함유 (메트)아크릴레이트는 티타늄 테트라(메트)아크릴레이트, 지르코늄 테트라(메트)아크릴레이트, 하프늄 테트라(메트)아크릴레이트, 티타늄 부톡사이드 트리(메트)아크릴레이트, 티타늄 디부톡사이드 디(메트)아크릴레이트, 티타늄 트리부톡사이드 (메트)아크릴레이트, 지르코늄 부톡사이드 트리(메트)아크릴레이트, 지르코늄 디부톡사이드 디(메트)아크릴레이트, 지르코늄 트리부톡사이드 (메트)아크릴레이트, 하프늄 부톡사이드 트리(메트)아크릴레이트, 하프늄 디부톡사이드 디(메트)아크릴레이트, 하프늄 트리부톡사이드 (메트)아크릴레이트, 티타늄 테트라(카복시에틸 (메트)아크릴레이트), 지르코늄 테트라(카복시에틸 (메트)아크릴레이트), 하프늄 테트라(카복시에틸 (메트)아크릴레이트), 티타늄 부톡사이드 트리(카복시에틸 (메트)아크릴레이트), 티타늄 디부톡사이드 디(카복시에틸 (메트)아크릴레이트), 티타늄 트리부톡사이드 (카복시에틸 (메트)아크릴레이트), 지르코늄 부톡사이드 트리(카복시에틸 (메트)아크릴레이트), 지르코늄 디부톡사이드 디(카복시에틸 (메트)아크릴레이트), 지르코늄 트리부톡사이드 (카복시에틸 (메트)아크릴레이트), 하프늄 부톡사이드 트리(카복시에틸 (메트)아크릴레이트), 하프늄 디부톡사이드 디(카복시에틸 (메트)아크릴레이트) 또는 하프늄 트리부톡사이드 (카복시에틸 (메트)아크릴레이트)를 포함하는 것인 방법.
  5. 제1항에 있어서,
    적어도 하나의 금속 함유 (메트)아크릴레이트는 조성물 중 0.5 중량% 내지 20 중량%의 양인 방법.
  6. 제1항에 있어서,
    적어도 하나의 완전하게 이미드화된 폴리이미드 중합체는 조성물 중 3 중량% 내지 40 중량%의 양인 방법.
  7. 제1항에 있어서,
    적어도 하나의 촉매는 광개시제 또는 열 개시제를 포함하는 것인 방법.
  8. 제1항에 있어서,
    적어도 하나의 촉매는 조성물 중 0.25 중량% 내지 4 중량%의 양인 방법.
  9. 제1항에 있어서,
    적어도 하나의 용매는 조성물 중 35 중량% 내지 98 중량%의 양인 방법.
  10. 제1항에 있어서,
    적어도 하나의 가교제를 더 포함하는 방법.
  11. 제10항에 있어서,
    가교제는 둘 이상의 알케닐 또는 알키닐 기를 포함하는 것인 방법.
  12. 제1항에 있어서,
    적어도 하나의 충전제를 더 포함하는 방법.
  13. 제12항에 있어서,
    적어도 하나의 충전제는 실리카, 알루미나, 티타니아, 지르코니아, 산화 하프늄, CdSe, CdS, CdTe, CuO, 산화 아연, 산화 란탄, 산화 니오븀, 산화 텅스텐, 산화 스트론튬, 칼슘 티타늄 산화물, 티탄산 나트륨, 황산 바륨, 티탄산 바륨, 지르콘산 바륨 및 니오브산 칼륨으로 이루어진 군으로부터 선택된 무기 입자를 포함하는 것인 방법.
  14. 제1항에 있어서,
    조성물은 유전체 필름이 레이저 어블레이션 공정에 의해 패턴화될 때 부스러기를 발생시키지 않는 유전체 필름을 형성하는 것인 방법.
  15. 삭제
  16. 전도성 금속 층을 증착하는 방법에 있어서,
    a) 기판 위에 감광성 유전체 필름을 형성하며, 여기에서 유전체 필름은 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체, 적어도 하나의 금속 함유 (메트)아크릴레이트, 및 적어도 하나의 촉매를 포함하는 것인 단계;
    b) 유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계;
    c) 유전체 필름을 패터닝하여 개구를 갖는 패턴화된 유전체 필름을 형성하는 단계;
    d) 패턴화된 유전체 필름 위에 시드 층을 증착시키는 단계; 및
    e) 패턴화된 유전체 필름 내의 적어도 하나의 개구에 전도성 금속 층을 증착시키는 단계
    를 포함하고, 여기에서 전도성 금속 층을 증착시키는 단계는 최대 2 미크론의 두께를 갖는 전도성 금속 과하중을 형성하는 방법.
  17. 제16항에 있어서,
    유전체 필름은 자립형 유전체 필름인 방법.
  18. 삭제
  19. 제1항에 있어서,
    전도성 금속의 과하중(overburden)을 제거하는 단계 또는 시드 층을 제거하는 단계를 더 포함하는 방법.
  20. 제19항에 있어서,
    전도성 금속의 과하중을 제거하는 단계 또는 시드 층을 제거하는 단계는 습식 에칭에 의해 수행되는 것인 방법.
  21. 제1항에 있어서,
    유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계는 70℃ 내지 250℃의 온도에서 유전체 필름을 가열하는 단계를 포함하는 것인 방법.
  22. 제1항에 있어서,
    유전체 필름의 패터닝은 레이저 어블레이션(laser ablation) 공정에 의해 수행되는 것인 방법.
  23. 제22항에 있어서,
    레이저 어블레이션 공정은 부스러기를 발생시키지 않는 것인 방법.
  24. 제1항에 있어서,
    패턴화된 유전체 필름은 최대 3 미크론의 피쳐 크기(feature size)를 갖는 적어도 하나의 요소를 포함하는 것인 방법.
  25. 제1항에 있어서,
    전도성 금속 층은 구리를 포함하는 것인 방법.
  26. 제19항에 있어서,
    과하중은 최대 2 미크론의 두께를 갖는 것인 방법.
  27. 제1항에 있어서,
    시드 층은 부스러기 제거 공정 또는 전처리 공정을 사용하지 않고 증착되는 것인 방법.
  28. 제1항에 있어서,
    전도성 금속 과하중을 처리하기 위한 화학적 기계적 평탄화 공정 또는 화학적 기계적 평탄화 후 세정 공정이 없는 방법.
  29. 제1항의 방법에 의해 형성된 3차원 물체.
  30. 제29항의 3차원 물체를 포함하는 반도체 디바이스.
  31. 제30항에 있어서,
    반도체 디바이스는 집적 회로, 발광 다이오드, 태양 전지 또는 트랜지스터인 반도체 디바이스.
  32. 삭제
  33. 전도성 금속 층을 증착하는 방법에 있어서,
    a) 기판 위에 감광성 유전체 필름을 형성하며, 여기에서 유전체 필름은 적어도 하나의 완전하게 이미드화된 폴리이미드 중합체 및 적어도 하나의 가교된 금속 함유 (메트)아크릴레이트를 포함하는 것인 단계;
    b) 유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계;
    c) 유전체 필름을 패터닝하여 개구를 갖는 패턴화된 유전체 필름을 형성하는 단계;
    d) 패턴화된 유전체 필름 위에 시드 층을 증착시키는 단계; 및
    e) 패턴화된 유전체 필름 내의 적어도 하나의 개구에 전도성 금속 층을 증착시키는 단계
    를 포함하고, 여기에서 전도성 금속 층을 증착시키는 단계는 최대 2 미크론의 두께를 갖는 전도성 금속 과하중을 형성하는 방법.
  34. 제33항에 있어서,
    유전체 필름은 자립형 유전체 필름인 방법.
  35. 삭제
  36. 제16항 또는 제33항에 있어서,
    전도성 금속 과하중을 제거하는 단계 또는 시드 층을 제거하는 단계를 더 포함하는 방법.
  37. 제36항에 있어서,
    전도성 금속 과하중을 제거하는 단계 또는 시드 층을 제거하는 단계는 습식 에칭에 의해 수행되는 것인 방법.
  38. 제16항 또는 제33항에 있어서,
    유전체 필름을 방사선 또는 열의 공급원에 노출시키는 단계는 70℃ 내지 250℃의 온도에서 유전체 필름을 가열하는 단계를 포함하는 방법.
  39. 제16항 또는 제33항에 있어서,
    유전체 필름의 패터닝은 레이저 어블레이션 공정에 의해 수행되는 것인 방법.
  40. 제39항에 있어서,
    레이저 어블레이션 공정은 부스러기를 발생시키지 않는 것인 방법.
  41. 제16항 또는 제33항에 있어서,
    패턴화된 유전체 필름은 최대 3 미크론의 피쳐 크기를 갖는 적어도 하나의 요소를 포함하는 것인 방법.
  42. 제16항 또는 제33항에 있어서,
    전도성 금속 층은 구리를 포함하는 것인 방법.
  43. 제16항 또는 제33항에 있어서,
    시드 층은 부스러기 제거 공정 또는 전처리 공정을 사용하지 않고 증착되는 것인 방법.
  44. 제16항 또는 제33항에 있어서,
    임의의 전도성 금속 과하중을 처리하기 위한 화학적 기계적 평탄화 공정 또는 화학적 기계적 평탄화 후 세정 공정을 포함하지 않는 방법.
  45. 삭제
KR1020187027425A 2017-09-11 2018-02-28 유전체 필름 형성 조성물 KR102494132B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762556723P 2017-09-11 2017-09-11
US62/556,723 2017-09-11
US201762581895P 2017-11-06 2017-11-06
US62/581,895 2017-11-06
PCT/US2018/020102 WO2019050565A1 (en) 2017-09-11 2018-02-28 COMPOSITION FORMING DIELECTRIC FILM

Publications (2)

Publication Number Publication Date
KR20200053388A KR20200053388A (ko) 2020-05-18
KR102494132B1 true KR102494132B1 (ko) 2023-01-31

Family

ID=64426854

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187028209A KR102456361B1 (ko) 2017-09-11 2018-02-28 유전체 필름 형성 조성물
KR1020187027425A KR102494132B1 (ko) 2017-09-11 2018-02-28 유전체 필름 형성 조성물

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187028209A KR102456361B1 (ko) 2017-09-11 2018-02-28 유전체 필름 형성 조성물

Country Status (8)

Country Link
US (2) US10563014B2 (ko)
EP (2) EP3478777B1 (ko)
JP (2) JP7140686B2 (ko)
KR (2) KR102456361B1 (ko)
CN (2) CN109790405B (ko)
PH (2) PH12018550157A1 (ko)
TW (2) TWI776863B (ko)
WO (2) WO2019050565A1 (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108027563B (zh) * 2015-04-21 2021-12-03 富士胶片电子材料美国有限公司 光敏性聚酰亚胺组合物
US10563014B2 (en) * 2017-09-11 2020-02-18 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film forming composition
CN110431484B (zh) * 2019-01-23 2021-11-02 律胜科技股份有限公司 感光性聚酰亚胺树脂组合物及其聚酰亚胺膜
CN110499087A (zh) * 2019-08-02 2019-11-26 刘宁 一种提高配电柜柜体表面耐腐蚀性能的方法
WO2021067547A1 (en) * 2019-10-04 2021-04-08 Fujifilm Electronic Materials U.S.A., Inc. Planarizing process and composition
CN111113754B (zh) * 2019-11-22 2020-12-04 桂林电器科学研究院有限公司 一种提高聚酰胺酸薄膜边部强度的方法
CN115516603A (zh) * 2020-03-10 2022-12-23 富士胶片电子材料美国有限公司 金属沉积方法
WO2022005783A1 (en) 2020-07-02 2022-01-06 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film-forming composition
US20220017673A1 (en) * 2020-07-15 2022-01-20 Fujifilm Electronic Materials U.S.A., Inc. Dielectric Film Forming Compositions
CN116635571A (zh) * 2020-10-22 2023-08-22 富士胶片电子材料美国有限公司 介电膜形成组合物
TWI753657B (zh) * 2020-11-17 2022-01-21 位速科技股份有限公司 鈣鈦礦光電元件
CN112531118B (zh) * 2020-11-18 2023-11-07 位速科技股份有限公司 钙钛矿光电元件
WO2024024783A1 (ja) * 2022-07-29 2024-02-01 富士フイルム株式会社 転写フィルム、積層体の製造方法、積層体、半導体パッケージの製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020131247A1 (en) 2001-01-12 2002-09-19 Fujitsu Limited Dielectric resin composition and multilayer circuit board comprising dielectric layers formed therefrom
US20090288764A1 (en) 2008-05-22 2009-11-26 Tripartisan Technologies, Llc Process For Manufacturing Free Standing Thermoplastic Polymeric Films
US20130228901A1 (en) * 2009-09-03 2013-09-05 Designer Molecules, Inc. Materials and methods for stress reduction in semiconductor wafer passivation layers
US20160313642A1 (en) * 2015-04-21 2016-10-27 Fujifilm Electronic Materials U.S.A., Inc. Photosensitive polyimide compositions

Family Cites Families (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2731447A (en) 1954-06-11 1956-01-17 Du Pont Novel polyimides
US3435002A (en) 1967-05-15 1969-03-25 Gen Electric Polyamide acid resins and polyimides therefrom
US3856752A (en) 1973-10-01 1974-12-24 Ciba Geigy Corp Soluble polyimides derived from phenylindane diamines and dianhydrides
DE2437348B2 (de) 1974-08-02 1976-10-07 Ausscheidung in: 24 62 105 Verfahren zur herstellung von reliefstrukturen
US3983092A (en) 1975-01-20 1976-09-28 Ciba-Geigy Corporation Phenylindane diamine mixture and epoxy resin therewith
US4026876A (en) 1975-01-20 1977-05-31 Ciba-Geigy Corporation Soluble polyamide-imides derived from phenylindane diamines
US4579809A (en) 1982-10-22 1986-04-01 Ciba-Geigy Corporation Positive image formation
US4629777A (en) 1983-05-18 1986-12-16 Ciba-Geigy Corporation Polyimides, a process for their preparation and their use
US4656116A (en) 1983-10-12 1987-04-07 Ciba-Geigy Corporation Radiation-sensitive coating composition
JPS61226746A (ja) 1985-03-30 1986-10-08 Japan Synthetic Rubber Co Ltd 半導体集積回路製造用のスピンコート用レジスト組成物
JPS61226745A (ja) 1985-03-30 1986-10-08 Japan Synthetic Rubber Co Ltd 半導体集積回路製造用のスピンコート用レジスト組成物
US4608409A (en) 1985-05-08 1986-08-26 Desoto, Inc. Polyacrylated oligomers in ultraviolet curable optical fiber coatings
EP0540508B1 (en) 1985-07-31 1996-03-06 Sumitomo Chemical Company, Limited Imide hardeners and their preparation
JPH0616174B2 (ja) 1985-08-12 1994-03-02 三菱化成株式会社 ナフトキノンジアジド系化合物及び該化合物を含有するポジ型フオトレジスト組成物
JPH083630B2 (ja) 1986-01-23 1996-01-17 富士写真フイルム株式会社 感光性組成物
JPS6334540A (ja) 1986-07-30 1988-02-15 Mitsubishi Chem Ind Ltd ポジ型フオトレジスト組成物
CA1326673C (en) 1986-12-26 1994-02-01 Yasuhisa Saito Imide compound and composition containing the same
ES2069052T3 (es) 1989-01-20 1995-05-01 Ciba Geigy Ag Mezclas reticulables de sustancias-resina epoxi que contienen un termoplastico con grupos terminales fenolicos.
US5122436A (en) 1990-04-26 1992-06-16 Eastman Kodak Company Curable composition
EP0584410A1 (en) 1991-07-05 1994-03-02 Conductus, Inc. Superconducting electronic structures and methods of preparing same
US5397863A (en) 1991-09-13 1995-03-14 International Business Machines Corporation Fluorinated carbon polymer composites
US5252534A (en) 1992-05-29 1993-10-12 Eastman Kodak Company Slipping layer of polyimide-siloxane for dye-donor element used in thermal dye transfer
US5302547A (en) * 1993-02-08 1994-04-12 General Electric Company Systems for patterning dielectrics by laser ablation
US5412065A (en) 1993-04-09 1995-05-02 Ciba-Geigy Corporation Polyimide oligomers
JP3112229B2 (ja) 1993-06-30 2000-11-27 東京応化工業株式会社 ポジ型ホトレジスト組成物
US5578697A (en) 1994-03-29 1996-11-26 Kabushiki Kaisha Toshiba Polyimide precursor, bismaleimide-based cured resin precursor and electronic parts having insulating members made from these precursors
JPH0862834A (ja) 1994-08-22 1996-03-08 Mitsubishi Chem Corp フォトレジスト組成物
JP3257325B2 (ja) 1995-01-31 2002-02-18 ジェイエスアール株式会社 ポリイミド系共重合体の製造方法、薄膜形成剤、並びに液晶配向膜の製造方法
JPH095988A (ja) 1995-06-21 1997-01-10 Mitsubishi Chem Corp 感放射線性塗布組成物
JP3562599B2 (ja) 1995-08-18 2004-09-08 大日本インキ化学工業株式会社 フォトレジスト組成物
TW369554B (en) * 1995-10-19 1999-09-11 Three Bond Co Ltd Photocurable composition
US5783656A (en) 1996-02-06 1998-07-21 Japan Synthetic Rubber Co., Ltd. Polyamic acid, polyimide and liquid crystal aligning agent
DE69732949T2 (de) 1996-05-16 2006-02-23 Jsr Corp. Flüssigkristallausrichtungsmittel
US5874770A (en) * 1996-10-10 1999-02-23 General Electric Company Flexible interconnect film including resistor and capacitor layers
EP0936231B1 (en) * 1996-10-29 2003-09-24 Nippon Zeon Co., Ltd. Modified thermoplastic norbornene polymer and process for the production thereof
DE69832444T2 (de) 1997-09-11 2006-08-03 E.I. Dupont De Nemours And Co., Wilmington Flexible Polyimidfolie mit hoher dielektrischer Konstante
US6114240A (en) 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
US6036809A (en) 1999-02-16 2000-03-14 International Business Machines Corporation Process for releasing a thin-film structure from a substrate
JP2000294922A (ja) 1999-04-01 2000-10-20 Victor Co Of Japan Ltd 多層プリント配線板用の絶縁樹脂組成物
JP3736607B2 (ja) 2000-01-21 2006-01-18 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
EP1427541B1 (en) * 2001-04-19 2010-11-17 SABIC Innovative Plastics IP B.V. Spin coating process
US20040235992A1 (en) 2001-05-30 2004-11-25 Koji Okada Photosensitive resin composition and photosensitive dry film resist and photosensitive coverlay film produced therefrom
US7141614B2 (en) 2001-10-30 2006-11-28 Kaneka Corporation Photosensitive resin composition and photosensitive films and laminates made by using the same
US20030217462A1 (en) 2001-12-13 2003-11-27 Fei Wang Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
US7153754B2 (en) 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
GB0221893D0 (en) * 2002-09-20 2002-10-30 Avecia Ltd Process
US6844950B2 (en) 2003-01-07 2005-01-18 General Electric Company Microstructure-bearing articles of high refractive index
ATE412714T1 (de) * 2003-05-30 2008-11-15 Fujifilm Imaging Colorants Ltd Verfahren zum ätzen einer metall- oder metalllegierung oberfläche
US7012017B2 (en) 2004-01-29 2006-03-14 3M Innovative Properties Company Partially etched dielectric film with conductive features
US7598167B2 (en) 2004-08-24 2009-10-06 Micron Technology, Inc. Method of forming vias in semiconductor substrates without damaging active regions thereof and resulting structures
US7335608B2 (en) 2004-09-22 2008-02-26 Intel Corporation Materials, structures and methods for microelectronic packaging
US7442325B2 (en) 2004-09-29 2008-10-28 Cytec Technology Corp. Stabilized crosslinking composition
US8709705B2 (en) * 2004-12-13 2014-04-29 Pryog, Llc Metal-containing compositions and method of making same
WO2006065660A2 (en) 2004-12-13 2006-06-22 Hybrid Plastics, Inc. Metal-containing compositions
WO2010059174A1 (en) 2008-08-07 2010-05-27 Pryog, Llc Metal compositions and methods of making same
US7410631B2 (en) * 2005-03-02 2008-08-12 Aps Laboratory Metal phosphate sols, metal nanoparticles, metal-chalcogenide nanoparticles, and nanocomposites made therefrom
GB0511132D0 (en) 2005-06-01 2005-07-06 Plastic Logic Ltd Layer-selective laser ablation patterning
US7745516B2 (en) 2005-10-12 2010-06-29 E. I. Du Pont De Nemours And Company Composition of polyimide and sterically-hindered hydrophobic epoxy
US7629424B2 (en) 2005-12-09 2009-12-08 Pryog, Llc Metal-containing compositions and method of making same
US7682972B2 (en) * 2006-06-01 2010-03-23 Amitec-Advanced Multilayer Interconnect Technoloiges Ltd. Advanced multilayer coreless support structures and method for their fabrication
US8287686B2 (en) * 2006-07-24 2012-10-16 Designer Molecules, Inc. Derivatives of poly(styrene-co-allyl alcohol) and methods for use thereof
US7685687B2 (en) 2007-01-22 2010-03-30 E. I. Du Pont De Nemours And Company Methods of making high capacitance density ceramic capacitors
US20110287243A1 (en) 2009-03-06 2011-11-24 E.I. Du Pont De Nemours And Company Multilayer film for electronic circuitry applications and methods relating thereto
WO2011017039A2 (en) * 2009-08-03 2011-02-10 3M Innovative Properties Company Antireflective transparent emi shielding optical filter
TW201114602A (en) * 2009-10-20 2011-05-01 Toyo Boseki Transparent electrically conductive laminated film
US8816021B2 (en) * 2010-09-10 2014-08-26 Designer Molecules, Inc. Curable composition with rubber-like properties
US9136123B2 (en) 2013-01-19 2015-09-15 Rohm And Haas Electronic Materials Llc Hardmask surface treatment
US20140274470A1 (en) 2013-03-14 2014-09-18 Taylor Made Golf Company, Inc. Golf ball compositions
US9725621B2 (en) 2013-05-03 2017-08-08 Cabot Corporation Chemical mechanical planarization slurry composition comprising composite particles, process for removing material using said composition, CMP polishing pad and process for preparing said composition
SG11201508593QA (en) 2013-05-17 2015-12-30 Fujifilm Electronic Materials Novel polymer and thermosetting composition containing same
PT3187557T (pt) * 2014-08-29 2023-12-19 Furukawa Electric Co Ltd Película adesiva e encapsulamento de semicondutor com utilização de película adesiva
JP6429802B2 (ja) * 2014-08-29 2018-11-28 古河電気工業株式会社 接着フィルム
US20170369371A1 (en) * 2014-12-22 2017-12-28 Dow Global Technologies Llc Derivatized polyimides and methods of making and using
WO2017058160A1 (en) 2015-09-29 2017-04-06 Pryog, Llc Metal compositions and methods of making same
CN106832280A (zh) 2017-02-27 2017-06-13 华烁科技股份有限公司 一种热塑性导热液晶聚酰亚胺薄膜及其制备方法
US10563014B2 (en) 2017-09-11 2020-02-18 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film forming composition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020131247A1 (en) 2001-01-12 2002-09-19 Fujitsu Limited Dielectric resin composition and multilayer circuit board comprising dielectric layers formed therefrom
US20090288764A1 (en) 2008-05-22 2009-11-26 Tripartisan Technologies, Llc Process For Manufacturing Free Standing Thermoplastic Polymeric Films
US20130228901A1 (en) * 2009-09-03 2013-09-05 Designer Molecules, Inc. Materials and methods for stress reduction in semiconductor wafer passivation layers
US20160313642A1 (en) * 2015-04-21 2016-10-27 Fujifilm Electronic Materials U.S.A., Inc. Photosensitive polyimide compositions

Also Published As

Publication number Publication date
EP3478777A4 (en) 2019-05-08
EP3478482A4 (en) 2019-07-17
US20190081001A1 (en) 2019-03-14
PH12018550158A1 (en) 2019-09-09
US20190077913A1 (en) 2019-03-14
EP3478777A1 (en) 2019-05-08
JP2020533419A (ja) 2020-11-19
EP3478482B1 (en) 2020-12-16
PH12018550157A1 (en) 2019-09-09
CN109789644B (zh) 2023-01-31
US10875965B2 (en) 2020-12-29
KR20200053389A (ko) 2020-05-18
TWI776863B (zh) 2022-09-11
EP3478482A1 (en) 2019-05-08
KR20200053388A (ko) 2020-05-18
TW201912717A (zh) 2019-04-01
EP3478777B1 (en) 2020-11-18
JP2020533418A (ja) 2020-11-19
CN109790405A (zh) 2019-05-21
JP7140686B2 (ja) 2022-09-21
US10563014B2 (en) 2020-02-18
CN109789644A (zh) 2019-05-21
CN109790405B (zh) 2022-07-15
WO2019050566A1 (en) 2019-03-14
TWI766959B (zh) 2022-06-11
TW201912677A (zh) 2019-04-01
JP7140687B2 (ja) 2022-09-21
WO2019050565A1 (en) 2019-03-14
KR102456361B1 (ko) 2022-10-19

Similar Documents

Publication Publication Date Title
KR102494132B1 (ko) 유전체 필름 형성 조성물
JP2021047425A (ja) 感光性ポリイミド組成物
JP6898031B2 (ja) 感光性積層構造体
US11721543B2 (en) Planarizing process and composition
JP2023511118A (ja) ドライフィルム
JP2023534494A (ja) 誘電体膜形成組成物
JP2020502291A (ja) ポリイミド

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant