KR102391916B1 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
KR102391916B1
KR102391916B1 KR1020170030781A KR20170030781A KR102391916B1 KR 102391916 B1 KR102391916 B1 KR 102391916B1 KR 1020170030781 A KR1020170030781 A KR 1020170030781A KR 20170030781 A KR20170030781 A KR 20170030781A KR 102391916 B1 KR102391916 B1 KR 102391916B1
Authority
KR
South Korea
Prior art keywords
layer
contact region
substituted
pattern
unsubstituted
Prior art date
Application number
KR1020170030781A
Other languages
Korean (ko)
Other versions
KR20180104251A (en
Inventor
홍석구
강미영
이효성
조경용
김보라
김혜지
조선각
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170030781A priority Critical patent/KR102391916B1/en
Publication of KR20180104251A publication Critical patent/KR20180104251A/en
Application granted granted Critical
Publication of KR102391916B1 publication Critical patent/KR102391916B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders
    • G03F7/033Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders the binders being polymers obtained by reactions only involving carbon-to-carbon unsaturated bonds, e.g. vinyl polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L27/11548
    • H01L27/11556
    • H01L27/11575
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/50Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the boundary region between the core region and the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Non-Volatile Memory (AREA)

Abstract

본 발명은 3차원 반도체 메모리 장치의 제조 방법에 관한 것으로, 보다 상세하게는, 기판 상에 교대로 그리고 반복적으로 적층된 절연막들 및 희생막들을 포함하는 적층 구조체를 형성하는 것; 상기 적층 구조체 상에, 제1 하부막 및 제1 포토레지스트 패턴을 형성하는 것; 상기 제1 포토레지스트 패턴을 마스크로 상기 제1 하부막을 식각하여, 제1 하부 패턴을 형성하는 것; 및 상기 제1 하부 패턴을 마스크로 상기 적층 구조체의 일단을 식각하여, 상기 일단을 계단 형태로 형성하는 것을 포함한다. 상기 제1 하부막은 노볼락(novolac) 기반의 유기 고분자를 포함하며, 상기 제1 포토레지스트 패턴은 실리콘을 함유하는 고분자를 포함한다.The present invention relates to a method of manufacturing a 3D semiconductor memory device, and more particularly, to a method of manufacturing a 3D semiconductor memory device, comprising: forming a stacked structure including insulating layers and sacrificial layers alternately and repeatedly stacked on a substrate; forming a first lower layer and a first photoresist pattern on the laminate structure; etching the first lower layer using the first photoresist pattern as a mask to form a first lower pattern; and etching one end of the stacked structure using the first lower pattern as a mask to form the one end in a step shape. The first lower layer includes a novolac-based organic polymer, and the first photoresist pattern includes a polymer containing silicon.

Figure R1020170030781
Figure R1020170030781

Description

반도체 소자의 제조 방법{Method for manufacturing semiconductor device}Method for manufacturing semiconductor device

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 보다 상세하게는 3차원 반도체 메모리 장치의 제조 방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a three-dimensional semiconductor memory device.

소비자가 요구하는 우수한 성능 및 저렴한 가격을 충족시키기 위해 반도체 장치의 집적도를 증가시키는 것이 요구되고 있다. 반도체 메모리 장치의 경우, 그 집적도는 제품의 가격을 결정하는 중요한 요인이기 때문에, 특히 증가된 집적도가 요구되고 있다. 종래의 2차원 또는 평면적 반도체 메모리 장치의 경우, 그 집적도는 단위 메모리 셀이 점유하는 면적에 의해 주로 결정되기 때문에, 미세 패턴 형성 기술의 수준에 크게 영향을 받는다. 하지만, 패턴의 미세화를 위해서는 초고가의 장비들이 필요하기 때문에, 2차원 반도체 메모리 장치의 집적도는 증가하고는 있지만 여전히 제한적이다. In order to meet the excellent performance and low price demanded by consumers, it is required to increase the degree of integration of semiconductor devices. In the case of a semiconductor memory device, since the degree of integration is an important factor determining the price of a product, an increased degree of integration is particularly required. In the case of a conventional two-dimensional or planar semiconductor memory device, since the degree of integration is mainly determined by an area occupied by a unit memory cell, it is greatly affected by the level of a fine pattern forming technique. However, since ultra-expensive equipment is required for pattern miniaturization, the degree of integration of the 2D semiconductor memory device is increasing, but is still limited.

이러한 한계를 극복하기 위한, 3차원적으로 배열되는 메모리 셀들을 구비하는 3차원 반도체 메모리 장치들이 제안되고 있다. 그러나, 3차원 반도체 메모리 장치의 대량 생산을 위해서는, 비트당 제조 비용을 2차원 반도체 메모리 장치의 그것보다 줄일 수 있으면서 신뢰성 있는 제품 특성을 구현할 수 있는 공정 기술이 요구되고 있다.To overcome this limitation, three-dimensional semiconductor memory devices including memory cells arranged three-dimensionally have been proposed. However, for mass production of a 3D semiconductor memory device, a process technology capable of realizing reliable product characteristics while reducing a manufacturing cost per bit compared to that of a 2D semiconductor memory device is required.

본 발명이 해결하고자 하는 과제는 포토레지스트 패턴과 하부막의 이중막(bi-layer) 공정을 이용하여 반도체 소자를 제조하는 방법을 제공하는 것이다.An object of the present invention is to provide a method of manufacturing a semiconductor device using a bi-layer process of a photoresist pattern and an underlying layer.

본 발명의 개념에 따른, 반도체 소자의 제조 방법은, 기판 상에 교대로 그리고 반복적으로 적층된 절연막들 및 희생막들을 포함하는 적층 구조체를 형성하는 것; 상기 적층 구조체 상에, 제1 하부막 및 제1 포토레지스트 패턴을 형성하는 것; 상기 제1 포토레지스트 패턴을 마스크로 상기 제1 하부막을 식각하여, 제1 하부 패턴을 형성하는 것; 및 상기 제1 하부 패턴을 마스크로 상기 적층 구조체의 일단을 식각하여, 상기 일단을 계단 형태로 형성하는 것을 포함할 수 있다. 상기 제1 하부막은 노볼락(novolac) 기반의 유기 고분자를 포함하며, 상기 제1 포토레지스트 패턴은 실리콘을 함유하는 고분자를 포함할 수 있다.According to a concept of the present invention, a method of manufacturing a semiconductor device includes: forming a stacked structure including insulating layers and sacrificial layers that are alternately and repeatedly stacked on a substrate; forming a first lower layer and a first photoresist pattern on the laminate structure; etching the first lower layer using the first photoresist pattern as a mask to form a first lower pattern; and etching one end of the stacked structure using the first lower pattern as a mask to form the one end in a step shape. The first lower layer may include a novolac-based organic polymer, and the first photoresist pattern may include a polymer containing silicon.

상기 실리콘을 함유하는 고분자는 하기 화학식 5의 단위를 포함할 수 있다.The silicone-containing polymer may include a unit represented by the following Chemical Formula 5.

[화학식 5][Formula 5]

Figure 112017024259150-pat00001
Figure 112017024259150-pat00001

상기 화학식 5에서, 상기 R10은 수소, C1-C10알킬, C1-C10알케닐, C1-C10알키닐, C6-C10아릴, 아다만틸(adamantyl), C1-C5알킬-아다만틸, 또는 C2-C6락톤이고, 상기 t는 1 내지 10의 정수이며, 상기 실리콘을 함유하는 고분자는 1,000 내지 100,000의 중량 평균 분자량을 가질 수 있다.In Formula 5, R 10 is hydrogen, C1-C10 alkyl, C1-C10 alkenyl, C1-C10 alkynyl, C6-C10 aryl, adamantyl, C1-C5 alkyl-adamantyl, or C2-C6 lactone, t is an integer of 1 to 10, and the silicone-containing polymer may have a weight average molecular weight of 1,000 to 100,000.

상기 제1 포토레지스트 패턴 내의 실리콘은 10 wt% 내지 40 wt%일 수 있다.The amount of silicon in the first photoresist pattern may be 10 wt% to 40 wt%.

상기 제1 하부막은, 하기 화학식 1의 화합물을 함유하는 가교제를 더 포함할 수 있다.The first lower layer may further include a crosslinking agent containing a compound of Formula 1 below.

[화학식 1][Formula 1]

Figure 112017024259150-pat00002
Figure 112017024259150-pat00002

상기 화학식 1에서, R4OOC(CX2)n-, R5- 및 R6OOC(CX2)m- 중 적어도 2개는 상이한 산 또는 에스터 그룹이고, R4, R5, R6 및 X는 각각 독립적으로 수소 또는 비-수소 치환기이며, 상기 비-수소 치환기는 치환 또는 비치환된 C1-10알킬, 치환 또는 비치환된 C2-10알케닐 또는 C2-10알키닐, 치환 또는 비치환된 C1-10알카노일, 치환 또는 비치환된 C1-10알콕시, 에폭시, 치환 또는 비치환된 C1-10알킬티오, 치환 또는 비치환된 C1-10알킬설피닐, 치환 또는 비치환된 C1-10알킬설포닐, 치환 또는 비치환된 카복시, 치환 또는 비치환된 -COO-C1-8알킬, 치환 또는 비치환된 C6-12아릴, 또는 치환 또는 비치환된 5원 내지 10원의 헤테로알리사이클릭 또는 헤테로아릴기이고, n 및 m은 서로 같거나 다르고, 각각 0 보다 큰 정수일 수 있다.In Formula 1, at least two of R 4 OOC(CX 2 ) n -, R 5 - and R 6 OOC(CX 2 ) m - are different acid or ester groups, and R 4 , R 5 , R 6 and X are each independently hydrogen or a non-hydrogen substituent, wherein the non-hydrogen substituent is a substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C2-10 alkenyl or C2-10 alkynyl, substituted or unsubstituted C1-10 alkanoyl, substituted or unsubstituted C1-10 alkoxy, epoxy, substituted or unsubstituted C1-10 alkylthio, substituted or unsubstituted C1-10 alkylsulfinyl, substituted or unsubstituted C1-10 alkyl sulfonyl, substituted or unsubstituted carboxy, substituted or unsubstituted -COO-C1-8 alkyl, substituted or unsubstituted C6-12 aryl, or substituted or unsubstituted 5-10 membered heteroalicyclic or It is a heteroaryl group, and n and m are the same as or different from each other, and each may be an integer greater than 0.

상기 일단을 상기 계단 형태로 형성하는 것은, 하기의 단계들을 하나의 사이클로 하여, 상기 사이클을 반복하는 것을 포함할 수 있다. 상기 제1 하부 패턴을 마스크로, 상기 제1 하부 패턴에 의해 노출된 적어도 하나의 상기 절연막들을 식각하는 것; 상기 적어도 하나의 절연막들 아래의 적어도 하나의 상기 희생막들을 식각하는 것; 및 상기 제1 하부 패턴을 트리밍하여, 그의 폭 및 높이를 줄이는 것.Forming the one end in the step shape may include repeating the cycle by using the following steps as one cycle. etching the at least one insulating layer exposed by the first lower pattern using the first lower pattern as a mask; etching at least one of the sacrificial layers under the at least one insulating layer; and trimming the first lower pattern to reduce its width and height.

상기 제1 포토레지스트 패턴을 트리밍 하는 것은: 상기 폭을 제1 길이만큼 줄이는 것; 및 상기 높이를 제2 길이만큼 줄이는 것을 포함하되, 상기 제2 길이는, 상기 제1 길이보다 크고 상기 제1 길이의 1.5배보다 작을 수 있다.Trimming the first photoresist pattern may include: reducing the width by a first length; and reducing the height by a second length, wherein the second length may be greater than the first length and less than 1.5 times the first length.

상기 사이클은 상기 적층 구조체의 최하층의 절연막 및 희생막이 식각될 때까지 반복될 수 있다.The cycle may be repeated until the lowermost insulating layer and the sacrificial layer of the stacked structure are etched.

상기 적층 구조체의 일단은, 셀 어레이 영역과 인접하는 제1 콘택 영역, 및 상기 제1 콘택 영역을 사이에 두고 상기 셀 어레이 영역과 이격된 제2 콘택 영역을 포함하고, 상기 제1 콘택 영역은 상기 제1 하부 패턴에 의해 상기 계단 형태로 형성되며, 상기 제조 방법은: 상기 적층 구조체 상에 노볼락 기반의 유기 고분자를 포함하는 제2 하부 패턴을 형성하는 것; 및 상기 제2 하부 패턴을 마스크로 상기 제2 콘택 영역을 식각하여, 상기 제2 콘택 영역을 계단 형태로 형성하는 것을 더 포함할 수 있다.One end of the stacked structure includes a first contact area adjacent to the cell array area, and a second contact area spaced apart from the cell array area with the first contact area interposed therebetween, wherein the first contact area includes the It is formed in the step shape by the first lower pattern, and the manufacturing method includes: forming a second lower pattern including a novolak-based organic polymer on the laminate structure; and etching the second contact region using the second lower pattern as a mask to form the second contact region in a step shape.

상기 적층 구조체의 일단은, 셀 어레이 영역과 인접하는 제1 콘택 영역, 및 상기 제1 콘택 영역을 사이에 두고 상기 셀 어레이 영역과 이격된 제2 콘택 영역을 포함하고, 상기 제2 콘택 영역은 상기 제1 하부 패턴에 의해 상기 계단 형태로 형성되며, 상기 제조 방법은: 상기 적층 구조체 상에 제2 포토레지스트 패턴을 형성하는 것; 및 상기 제2 포토레지스트 패턴을 마스크로 상기 제1 콘택 영역을 식각하여, 상기 제1 콘택 영역을 계단 형태로 형성하는 것을 더 포함하되, 상기 제2 포토레지스트 패턴은, 하기 화학식 2 및 화학식 3의 단위들을 포함하며 선택적으로 하기 화학식 4의 단위를 포함하는 공중합체를 함유할 수 있다.One end of the stacked structure includes a first contact region adjacent to the cell array region, and a second contact region spaced apart from the cell array region with the first contact region interposed therebetween, wherein the second contact region includes the It is formed in the step shape by the first lower pattern, and the manufacturing method includes: forming a second photoresist pattern on the laminate structure; and etching the first contact region using the second photoresist pattern as a mask to form the first contact region in a step shape, wherein the second photoresist pattern is represented by Chemical Formulas 2 and 3 below. It may contain units and optionally a copolymer including units of the following formula (4).

[화학식 2][Formula 2]

Figure 112017024259150-pat00003
Figure 112017024259150-pat00003

[화학식 3][Formula 3]

Figure 112017024259150-pat00004
Figure 112017024259150-pat00004

[화학식 4] [Formula 4]

Figure 112017024259150-pat00005
Figure 112017024259150-pat00005

상기 화학식 2 내지 화학식 4에서, 상기 R7 내지 R9는 각각 독립적으로 수소, 탄소수 1 내지 20의 탄화수소, 또는 -O-R11로 치환된 탄소수 1 내지 20의 탄화수소이고, 상기 R11은 C1-C10알킬, C2-C10알케닐, C2-C10알키닐, C6-C10아릴 또는 C3-C10사이클로알킬이고, 상기 p는 1 내지 10의 정수, 상기 q는 1 내지 10의 정수, 및 상기 r은 1 내지 10의 정수이며, 상기 공중합체는 1,000 내지 100,000의 중량 평균 분자량을 가질 수 있다.In Formulas 2 to 4, R 7 to R 9 are each independently hydrogen, a hydrocarbon having 1 to 20 carbon atoms, or a hydrocarbon having 1 to 20 carbon atoms substituted with -OR 11 , wherein R 11 is C1-C10 alkyl , C2-C10 alkenyl, C2-C10 alkynyl, C6-C10 aryl or C3-C10 cycloalkyl, wherein p is an integer from 1 to 10, q is an integer from 1 to 10, and r is from 1 to 10 is an integer, and the copolymer may have a weight average molecular weight of 1,000 to 100,000.

상기 제조 방법은, 상기 적층 구조체의 셀 어레이 영역을 관통하여 상기 기판을 노출하는 채널 홀들을 형성하는 것; 및 각각의 상기 채널 홀들 내에, 그의 내벽을 덮는 게이트 절연막 및 채널 막을 순차적으로 형성하는 것을 더 포함할 수 있다.The manufacturing method may include forming channel holes exposing the substrate through a cell array region of the stacked structure; and sequentially forming a gate insulating film and a channel film covering an inner wall thereof in each of the channel holes.

상기 제조 방법은, 상기 희생막들을 선택적으로 제거하여 상기 절연막들 사이에 리세스 영역들을 형성하는 것; 및 상기 리세스 영역들을 채우는 게이트 전극들을 형성하는 것을 더 포함할 수 있다.The manufacturing method may include forming recess regions between the insulating layers by selectively removing the sacrificial layers; and forming gate electrodes filling the recess regions.

상기 게이트 전극들의 일단의 형태는, 상기 희생막들의 일단의 형태인 상기 계단 형태에 대응하고, 상기 제조 방법은, 적어도 하나의 상기 절연막들의 일단을 관통하여, 적어도 하나의 상기 게이트 전극들의 상기 일단과 전기적으로 연결되는 콘택을 형성하는 것을 더 포함할 수 있다.The shape of one end of the gate electrodes corresponds to the step shape that is the shape of one end of the sacrificial layers, and the manufacturing method includes passing through one end of at least one of the insulating layers, the end of at least one of the gate electrodes and the The method may further include forming electrically connected contacts.

본 발명의 다른 개념에 따른, 반도체 소자의 제조 방법은, 기판 상에 교대로 그리고 반복적으로 적층된 절연막들 및 희생막들을 포함하는 적층 구조체를 형성하는 것; 상기 적층 구조체 상에, 유기 고분자막, 및 상기 유기 고분자막 상에 실리콘을 함유하는 포토레지스트막을 형성하는 것; 상기 포토레지스트막을 노광 및 현상하여, 포토레지스트 패턴을 형성하는 것; 상기 포토레지스트 패턴을 마스크로 상기 유기 고분자막을 식각하여, 유기 고분자 패턴을 형성하는 것; 및 상기 유기 고분자 패턴을 마스크로 상기 적층 구조체의 일단을 식각하여, 상기 일단을 계단 형태로 형성하는 것을 포함할 수 있다. 상기 유기 고분자막의 두께는 상기 포토레지스트막 두께의 10배 내지 30배일 수 있다.According to another concept of the present invention, a method of manufacturing a semiconductor device includes: forming a stacked structure including insulating layers and sacrificial layers that are alternately and repeatedly stacked on a substrate; forming an organic polymer film and a photoresist film containing silicon on the organic polymer film on the laminate structure; exposing and developing the photoresist film to form a photoresist pattern; etching the organic polymer layer using the photoresist pattern as a mask to form an organic polymer pattern; and etching one end of the stacked structure using the organic polymer pattern as a mask to form the one end in a step shape. The thickness of the organic polymer layer may be 10 to 30 times the thickness of the photoresist layer.

상기 포토레지스트막은 하기 화학식 5의 단위를 갖는 고분자를 포함할 수 있다.The photoresist layer may include a polymer having a unit represented by the following Chemical Formula 5.

[화학식 5][Formula 5]

Figure 112017024259150-pat00006
Figure 112017024259150-pat00006

상기 화학식 5에서, 상기 R10은 수소, C1-C10알킬, C1-C10알케닐, C1-C10알키닐, C6-C10아릴, 아다만틸(adamantyl), C1-C5알킬-아다만틸, 또는 C2-C6락톤이고, 상기 t는 1 내지 10의 정수이며, 상기 고분자는 1,000 내지 100,000의 중량 평균 분자량을 가질 수 있다.In Formula 5, R 10 is hydrogen, C1-C10 alkyl, C1-C10 alkenyl, C1-C10 alkynyl, C6-C10 aryl, adamantyl, C1-C5 alkyl-adamantyl, or C2-C6 lactone, t is an integer of 1 to 10, and the polymer may have a weight average molecular weight of 1,000 to 100,000.

상기 유기 고분자막은 노볼락 기반의 고분자를 포함할 수 있다.The organic polymer film may include a novolak-based polymer.

본 발명에 따른 반도체 소자의 제조 방법은, 포토레지스트 패턴과 하부막의 이중막 공정을 이용해 하부 패턴의 산포를 개선할 수 있다. 나아가, 포토레지스트 패턴에 대해 높은 식각 선택비를 갖는 유기 고분자막을 이용해 두꺼운 하부 패턴을 형성할 수 있다. 이로써, 한번의 포토레지스트 공정을 통하여 많은 수의 계단 구조들을 형성할 수 있어 효율적인 공정 달성이 가능할 수 있다.In the method of manufacturing a semiconductor device according to the present invention, dispersion of the lower pattern can be improved by using a double layer process of the photoresist pattern and the lower layer. Furthermore, a thick lower pattern may be formed using an organic polymer film having a high etch selectivity with respect to the photoresist pattern. Accordingly, a large number of step structures can be formed through a single photoresist process, so that an efficient process can be achieved.

도 1은 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 셀 어레이를 나타내는 간략 회로도이다.
도 2는 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 평면도이다.
도 3은 본 발명의 실시예들에 따른 반도체 메모리 장치의 단면도로서, 도 2의 I-I'선을 따라 자른 단면도이다.
도 4 내지 도 23은 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 제조방법을 설명하기 위한 단면도들로서, 도 2의 I-I'선을 따라 자른 단면도들이다.
도 24 내지 도 26은 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 제조방법을 설명하기 위한 단면도들로서, 도 2의 I-I'선을 따라 자른 단면도들이다.
1 is a simplified circuit diagram illustrating a cell array of a 3D semiconductor memory device according to embodiments of the present invention.
2 is a plan view of a 3D semiconductor memory device according to embodiments of the present invention.
3 is a cross-sectional view of a semiconductor memory device according to an exemplary embodiment of the present invention, and is a cross-sectional view taken along line I-I' of FIG. 2 .
4 to 23 are cross-sectional views for explaining a method of manufacturing a 3D semiconductor memory device according to embodiments of the present invention, and are cross-sectional views taken along line I-I' of FIG. 2 .
24 to 26 are cross-sectional views illustrating a method of manufacturing a 3D semiconductor memory device according to embodiments of the present invention, and are cross-sectional views taken along line II′ of FIG. 2 .

본 발명의 구성 및 효과를 충분히 이해하기 위하여, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라, 여러가지 형태로 구현될 수 있고 다양한 변경을 가할 수 있다. 단지, 본 실시예들의 설명을 통해 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술 분야의 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위하여 제공되는 것이다. In order to fully understand the configuration and effect of the present invention, preferred embodiments of the present invention will be described with reference to the accompanying drawings. However, the present invention is not limited to the embodiments disclosed below, and may be embodied in various forms and various modifications may be made. However, it is provided so that the disclosure of the present invention is complete through the description of the present embodiments, and to fully inform those of ordinary skill in the art to which the present invention belongs, the scope of the invention.

본 명세서에서, 어떤 구성요소가 다른 구성요소 상에 있다고 언급되는 경우에 그것은 다른 구성요소 상에 직접 형성될 수 있거나 또는 그들 사이에 제 3의 구성요소가 개재될 수도 있다는 것을 의미한다. 또한, 도면들에 있어서, 구성요소들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 명세서 전체에 걸쳐서 동일한 참조번호로 표시된 부분은 동일한 구성요소들을 나타낸다.In this specification, when a component is referred to as being on another component, it may be directly formed on the other component or a third component may be interposed therebetween. In addition, in the drawings, the thickness of the components is exaggerated for effective description of the technical content. Parts indicated with like reference numerals throughout the specification indicate like elements.

본 명세서에서 기술하는 실시예들은 본 발명의 이상적인 예시도인 단면도 및/또는 평면도들을 참고하여 설명될 것이다. 도면들에 있어서, 막 및 영역들의 두께는 기술적 내용의 효과적인 설명을 위해 과장된 것이다. 따라서, 도면에서 예시된 영역들은 개략적인 속성을 가지며, 도면에서 예시된 영역들의 모양은 소자의 영역의 특정 형태를 예시하기 위한 것이며 발명의 범주를 제한하기 위한 것이 아니다. 본 명세서의 다양한 실시예들에서 제1, 제2, 제3 등의 용어가 다양한 구성요소들을 기술하기 위해서 사용되었지만, 이들 구성요소들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어들은 단지 어느 구성요소를 다른 구성요소와 구별시키기 위해서 사용되었을 뿐이다. 여기에 설명되고 예시되는 실시예들은 그것의 상보적인 실시예들도 포함한다. Embodiments described herein will be described with reference to cross-sectional and/or plan views, which are ideal illustrative views of the present invention. In the drawings, thicknesses of films and regions are exaggerated for effective description of technical content. Accordingly, the regions illustrated in the drawings have a schematic nature, and the shapes of the illustrated regions in the drawings are intended to illustrate specific shapes of regions of the device and not to limit the scope of the invention. In various embodiments of the present specification, terms such as first, second, third, etc. are used to describe various components, but these components should not be limited by these terms. These terms are only used to distinguish one component from another. Embodiments described and illustrated herein also include complementary embodiments thereof.

본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 '포함한다(comprises)' 및/또는 '포함하는(comprising)'은 언급된 구성요소는 하나 이상의 다른 구성요소의 존재 또는 추가를 배제하지 않는다.The terminology used herein is for the purpose of describing the embodiments and is not intended to limit the present invention. In this specification, the singular also includes the plural unless specifically stated otherwise in the phrase. As used herein, the terms 'comprises' and/or 'comprising' do not exclude the presence or addition of one or more other components.

도 1은 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 셀 어레이를 나타내는 간략 회로도이다.1 is a simplified circuit diagram illustrating a cell array of a 3D semiconductor memory device according to embodiments of the present invention.

도 1을 참조하면, 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 셀 어레이는 공통 소스 라인(CS), 복수 개의 비트 라인들(BL) 및 상기 공통 소스 라인(CS)과 상기 비트 라인들(BL) 사이에 배치되는 복수 개의 셀 스트링들(CSTR)을 포함할 수 있다.Referring to FIG. 1 , a cell array of a 3D semiconductor memory device according to embodiments of the present invention includes a common source line CS, a plurality of bit lines BL, and the common source line CS and the bit line. It may include a plurality of cell strings CSTR disposed between the cells BL.

상기 공통 소스 라인(CS)은 기판 상에 배치되는 도전성 박막 또는 기판 내에 형성되는 불순물 영역일 수 있다. 본 실시예들에 있어서, 상기 공통 소스 라인(CS)은 상기 기판으로부터 이격되어, 상기 기판 상에 배치되는 도전성 패턴들(예를 들면, 금속 라인)일 수 있다. 상기 비트 라인들(BL)은 상기 기판으로부터 이격되어, 상기 기판 상에 배치되는 도전성 패턴들(예를 들면, 금속 라인)일 수 있다. 본 실시예들에 있어서, 상기 비트 라인들(BL)은 상기 공통 소스 라인(CS)과 교차하면서 수직적으로 이격될 수 있다. 상기 비트 라인들(BL)은 2차원적으로 배열되고, 그 각각에는 복수 개의 셀 스트링들(CSTR)이 병렬로 연결될 수 있다. 상기 셀 스트링들(CSTR)은 상기 공통 소스 라인(CS)에 공통으로 연결될 수 있다. 즉, 복수의 상기 비트 라인들(BL)과 상기 공통 소스 라인(CS) 사이에 복수의 상기 셀 스트링들(CSTR)이 배치될 수 있다. 일 실시예에 따르면, 상기 공통 소스 라인(CS)은 복수 개로 제공되고, 2차원적으로 배열될 수 있다. 여기서, 공통 소스 라인들(CS)에는 전기적으로 동일한 전압이 인가될 수 있으며, 또는 공통 소스 라인들(CS)의 각각이 전기적으로 제어될 수도 있다. The common source line CS may be a conductive thin film disposed on a substrate or an impurity region formed in the substrate. In some embodiments, the common source line CS may be spaced apart from the substrate and may be conductive patterns (eg, a metal line) disposed on the substrate. The bit lines BL may be conductive patterns (eg, metal lines) spaced apart from the substrate and disposed on the substrate. In some example embodiments, the bit lines BL may be vertically spaced apart from each other while crossing the common source line CS. The bit lines BL are two-dimensionally arranged, and a plurality of cell strings CSTR may be connected in parallel to each of the bit lines BL. The cell strings CSTR may be commonly connected to the common source line CS. That is, a plurality of the cell strings CSTR may be disposed between the plurality of bit lines BL and the common source line CS. According to an embodiment, the common source line CS may be provided in plurality and may be two-dimensionally arranged. Here, the same voltage may be applied to the common source lines CS, or each of the common source lines CS may be electrically controlled.

상기 셀 스트링들(CSTR)의 각각은 상기 공통 소스 라인(CS)에 접속하는 접지 선택 트랜지스터(GST), 상기 비트 라인(BL)에 접속하는 스트링 선택 트랜지스터(SST), 및 상기 접지 및 스트링 선택 트랜지스터들(GST, SST) 사이에 배치되는 복수 개의 메모리 셀 트랜지스터들(MCT)로 구성될 수 있다. 그리고, 상기 접지 선택 트랜지스터(GST), 상기 스트링 선택 트랜지스터(SST) 및 상기 메모리 셀 트랜지스터들(MCT)은 직렬로 연결될 수 있다. Each of the cell strings CSTR includes a ground select transistor GST connected to the common source line CS, a string select transistor SST connected to the bit line BL, and the ground and string select transistors. It may include a plurality of memory cell transistors MCT disposed between the GST and SST. In addition, the ground select transistor GST, the string select transistor SST, and the memory cell transistors MCT may be connected in series.

상기 공통 소스 라인(CS)은 상기 접지 선택 트랜지스터들(GST)의 소스들에 공통으로 연결될 수 있다. 이에 더하여, 상기 공통 소스 라인(CS)과 상기 비트 라인들(BL) 사이에 배치되는, 하부 선택 라인(LSL), 복수 개의 워드 라인들(WL0-WL5) 및 상부 선택 라인(USL)이 상기 접지 선택 트랜지스터(GST), 상기 메모리 셀 트랜지스터들(MCT) 및 상기 스트링 선택 트랜지스터(SST)의 게이트 전극들로서 각각 사용될 수 있다. 또한, 상기 메모리 셀 트랜지스터들(MCT)의 각각은 데이터 저장 요소(data storage element)를 포함할 수 있다.The common source line CS may be commonly connected to sources of the ground select transistors GST. In addition, a lower select line LSL, a plurality of word lines WL0 - WL5 and an upper select line USL disposed between the common source line CS and the bit lines BL are connected to the ground It may be used as gate electrodes of the select transistor GST, the memory cell transistors MCT, and the string select transistor SST, respectively. In addition, each of the memory cell transistors MCT may include a data storage element.

도 2는 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 평면도이다. 도 3은 본 발명의 실시예들에 따른 반도체 메모리 장치의 단면도로서, 도 2의 I-I'선을 따라 자른 단면도이다.2 is a plan view of a 3D semiconductor memory device according to embodiments of the present invention. 3 is a cross-sectional view of a semiconductor memory device according to an exemplary embodiment of the present invention, and is a cross-sectional view taken along line I-I' of FIG. 2 .

기판(100)이 제공될 수 있다. 상기 기판(100)은 일 예로, 실리콘 기판, 게르마늄 기판 또는 실리콘-게르마늄 기판일 수 있다. 상기 기판(100)은 불순물이 도핑된 공통 소스 영역들(CSL)을 포함할 수 있다. 상기 공통 소스 영역들(CSL)은, 상기 기판(100)의 상면에 평행한 제2 방향(D2)으로 연장된 라인 형태를 가질 수 있다. 상기 공통 소스 영역들(CSL)은 상기 제2 방향(D2)과 교차하는 제1 방향(D1)을 따라 배열될 수 있다.A substrate 100 may be provided. The substrate 100 may be, for example, a silicon substrate, a germanium substrate, or a silicon-germanium substrate. The substrate 100 may include common source regions CSL doped with impurities. The common source regions CSL may have a line shape extending in a second direction D2 parallel to the top surface of the substrate 100 . The common source regions CSL may be arranged along a first direction D1 crossing the second direction D2 .

기판(100) 상에, 절연막들(110) 및 게이트 전극들(LSL, WL1, WL2, USL)이 교대로 그리고 반복적으로 적층된 제1 적층 구조체들(ST1) 및 제2 적층 구조체들(ST2)이 배치될 수 있다. 상기 제2 적층 구조체들(ST2)은 상기 제1 적층 구조체들(ST1) 상에 각각 배치될 수 있다. 각각의 상기 적층 구조체들(ST1, ST2)은, 평면적 관점에서, 상기 제2 방향(D2)으로 연장된 라인 형태를 가질 수 있다. 각각의 상기 적층 구조체들(ST1, ST2)은 상기 제1 방향(D1)을 따라 배열될 수 있다.First stacked structures ST1 and second stacked structures ST2 in which insulating layers 110 and gate electrodes LSL, WL1, WL2, and USL are alternately and repeatedly stacked on the substrate 100 This can be arranged. The second stacked structures ST2 may be respectively disposed on the first stacked structures ST1 . Each of the stack structures ST1 and ST2 may have a line shape extending in the second direction D2 in a plan view. Each of the stack structures ST1 and ST2 may be arranged in the first direction D1 .

상기 적층 구조체들(ST1, ST2) 사이의 상기 기판(100)에 상기 공통 소스 영역들(CSL)이 배치될 수 있다. 상기 기판(100)과 상기 제1 적층 구조체들(ST1) 사이에 하부 절연막(105)이 배치될 수 있다. 상기 하부 절연막(105)은, 일 예로, 실리콘 질화막, 알루미늄 산화막 또는 하프늄 산화막과 같은 고유전막을 포함할 수 있다. 상기 하부 절연막(105)은 상기 절연막들(110)보다 얇은 두께를 가질 수 있다.The common source regions CSL may be disposed on the substrate 100 between the stacked structures ST1 and ST2 . A lower insulating layer 105 may be disposed between the substrate 100 and the first stacked structures ST1 . The lower insulating layer 105 may include, for example, a high dielectric layer such as a silicon nitride layer, an aluminum oxide layer, or a hafnium oxide layer. The lower insulating layer 105 may have a thickness smaller than that of the insulating layers 110 .

상기 게이트 전극들(LSL, WL1, WL2, USL)은, 상기 제1 방향(D1) 및 상기 제2 방향(D2)에 모두 수직한 제3 방향(D3)을 따라 적층될 수 있다. 상기 게이트 전극들(LSL, WL1, WL2, USL)은, 상기 게이트 전극들(LSL, WL1, WL2, USL) 사이에 배치된 상기 절연막들(110)에 의해 서로 수직적으로 분리될 수 있다. 일 예로, 각각의 상기 제1 적층 구조체들(ST1)의 상기 게이트 전극들(LSL, WL1)은, 하부 선택 라인(LSL) 및 제1 워드 라인들(WL1)을 포함할 수 있다. 각각의 상기 제2 적층 구조체들(ST2)의 상기 게이트 전극들(WL2, USL)은, 상부 선택 라인(USL) 및 제2 워드 라인들(WL2)을 포함할 수 있다. 일 예로, 상기 게이트 전극들(LSL, WL1, WL2, USL)은 도핑된 실리콘, 금속(예를 들어, 텅스텐), 금속 질화물, 금속 실리사이드들 또는 이들의 조합을 포함할 수 있다. 상기 절연막들(110)은 실리콘 산화막을 포함할 수 있다.The gate electrodes LSL, WL1, WL2, and USL may be stacked in a third direction D3 perpendicular to both the first direction D1 and the second direction D2. The gate electrodes LSL, WL1, WL2, and USL may be vertically separated from each other by the insulating layers 110 disposed between the gate electrodes LSL, WL1, WL2, and USL. For example, the gate electrodes LSL and WL1 of each of the first stacked structures ST1 may include a lower selection line LSL and first word lines WL1 . The gate electrodes WL2 and USL of each of the second stacked structures ST2 may include an upper selection line USL and second word lines WL2 . For example, the gate electrodes LSL, WL1, WL2, and USL may include doped silicon, metal (eg, tungsten), metal nitride, metal silicides, or a combination thereof. The insulating layers 110 may include a silicon oxide layer.

상기 하부 선택 라인(LSL)은 각각의 상기 제1 적층 구조체들(ST1)의 상기 게이트 전극들(LSL, WL1) 중 최하층의 게이트 전극일 수 있다. 상기 하부 선택 라인(LSL)은, 앞서 도 1을 참조하여 설명한 접지 선택 트랜지스터(GST)의 게이트 전극으로 이용될 수 있다. 상기 상부 선택 라인(USL)은 각각의 상기 제2 적층 구조체들(ST2)의 상기 게이트 전극들(WL2, USL) 중 최상층의 게이트 전극일 수 있다. 상기 상부 선택 라인(USL)은, 앞서 도 1을 참조하여 설명한 스트링 선택 트랜지스터(SST)의 게이트 전극으로 이용될 수 있다. 상기 제1 및 제2 워드 라인들(WL1, WL2)은 도 1을 참조하여 설명한 메모리 셀 트랜지스터들(MCT)의 게이트 전극들로 이용될 수 있다.The lower selection line LSL may be a gate electrode of a lowermost layer among the gate electrodes LSL and WL1 of each of the first stacked structures ST1 . The lower select line LSL may be used as a gate electrode of the ground select transistor GST described above with reference to FIG. 1 . The upper selection line USL may be a gate electrode of an uppermost layer among the gate electrodes WL2 and USL of each of the second stacked structures ST2 . The upper select line USL may be used as a gate electrode of the string select transistor SST described above with reference to FIG. 1 . The first and second word lines WL1 and WL2 may be used as gate electrodes of the memory cell transistors MCT described with reference to FIG. 1 .

각각의 상기 적층 구조체들(ST1, ST2)은 셀 어레이 영역(CAR), 제1 콘택 영역(CTR1) 및 제2 콘택 영역(CTR2)을 포함할 수 있다. 상기 제1 및 제2 콘택 영역들(CTR1, CTR2)은 상기 적층 구조체(ST1, ST2)의 적어도 한 일단에 배치될 수 있다. 여기서, 상기 제1 콘택 영역(CTR1)은 상기 제1 적층 구조체(ST1)의 일단의 영역일 수 있으며, 상기 제2 콘택 영역(CTR2)은 상기 제2 적층 구조체(ST2)의 일단의 영역일 수 있다. 일 예로, 상기 제2 콘택 영역(CTR2)은 상기 셀 어레이 영역(CAR)과 인접할 수 있다. 상기 제1 콘택 영역(CTR1)은 상기 제2 콘택 영역(CTR2)을 사이에 두고 상기 셀 어레이 영역(CAR)과 이격될 수 있다.Each of the stack structures ST1 and ST2 may include a cell array region CAR, a first contact region CTR1 and a second contact region CTR2. The first and second contact regions CTR1 and CTR2 may be disposed on at least one end of the stack structure ST1 and ST2. Here, the first contact region CTR1 may be a region of one end of the first stacked structure ST1 , and the second contact region CTR2 may be a region of one end of the second stacked structure ST2 . there is. For example, the second contact region CTR2 may be adjacent to the cell array region CAR. The first contact region CTR1 may be spaced apart from the cell array region CAR with the second contact region CTR2 interposed therebetween.

각각의 상기 적층 구조체들(ST1, ST2)은, 이들의 상기 게이트 전극들(LSL, WL1, WL2, USL)과 주변 로직 구조체 간의 전기적 연결을 위해, 상기 제1 및 제2 콘택 영역들(CTR1, CTR2)에서 계단식 구조(stepwise structure)를 가질 수 있다. 즉, 상기 제1 및 제2 콘택 영역들(CTR1, CTR2)의 수직적 높이는 상기 셀 어레이 영역(CAR)에 인접할수록 점차 증가할 수 있다. 다시 말해, 상기 적층 구조체(ST1, ST2)는 상기 제1 및 제2 콘택 영역들(CTR1, CTR2)에서 경사진 프로파일(sloped profile)을 가질 수 있다. Each of the stacked structures ST1 and ST2 includes the first and second contact regions CTR1 for electrical connection between the gate electrodes LSL, WL1, WL2, USL and a peripheral logic structure thereof. CTR2) may have a stepwise structure. That is, vertical heights of the first and second contact regions CTR1 and CTR2 may gradually increase as they are adjacent to the cell array region CAR. In other words, the stack structures ST1 and ST2 may have a sloped profile in the first and second contact regions CTR1 and CTR2 .

상기 제1 콘택 영역(CTR1)의 상기 게이트 전극들(LSL, WL1)은 상기 기판(100)의 상면으로부터 상기 제3 방향(D3)으로 멀어질수록, 이들의 평면적 면적이 감소될 수 있다. 따라서, 상기 게이트 전극들(LSL, WL1) 중 최하층의 상기 하부 선택 라인(LSL)의 면적은 가장 클 수 있다. 상기 제2 콘택 영역(CTR2)의 상기 게이트 전극들(WL2, USL)은 상기 기판(100)의 상면으로부터 상기 제3 방향(D3)으로 멀어질수록, 이들의 평면적 면적이 감소될 수 있다. 따라서, 상기 게이트 전극들(WL2, USL) 중 최상층의 상기 상부 선택 라인(USL)의 면적은 가장 작을 수 있다.The planar areas of the gate electrodes LSL and WL1 of the first contact region CTR1 may decrease as they move away from the top surface of the substrate 100 in the third direction D3 . Accordingly, the area of the lower selection line LSL of the lowermost layer among the gate electrodes LSL and WL1 may be the largest. A planar area of the gate electrodes WL2 and USL of the second contact region CTR2 may decrease as the distance from the top surface of the substrate 100 in the third direction D3 increases. Accordingly, the area of the upper selection line USL of the uppermost layer among the gate electrodes WL2 and USL may be the smallest.

상기 기판(100) 전면에 상기 적층 구조체들(ST1, ST2)을 덮는 제1 층간 절연막(180)이 배치될 수 있다. 상기 제1 층간 절연막(180)은 평탄화된 상면을 가지며, 상기 제1 및 제2 콘택 영역들(CTR1, CTR2)을 덮을 수 있다. 상기 제1 층간 절연막(180) 상에 제2 층간 절연막(190)이 배치될 수 있다.A first interlayer insulating layer 180 covering the stacked structures ST1 and ST2 may be disposed on the entire surface of the substrate 100 . The first interlayer insulating layer 180 may have a planarized top surface and may cover the first and second contact regions CTR1 and CTR2 . A second interlayer insulating layer 190 may be disposed on the first interlayer insulating layer 180 .

상기 적층 구조체(ST1, ST2)의 셀 어레이 영역(CAR)를 관통하는 복수의 채널 홀들(CH)이 배치될 수 있다. 복수의 채널 막들(135)이 각각 상기 채널 홀들(CH)의 내벽을 따라 상기 기판(100)을 향하여 연장될 수 있다. 상기 채널 막들(135)은 상기 기판(100)과 전기적으로 연결될 수 있다. 즉, 상기 채널 막들(135)은 상기 기판(100)의 상면과 직접 접촉할 수 있다. 상기 채널 막들(135)은, 평면적 관점에서, 상기 제2 방향(D2)을 따라 배열될 수 있다. 일 예로, 상기 채널 막들(135)은 상기 제2 방향(D2)을 따라 일 방향으로 배열될 수도 있다. 다른 예로, 상기 채널 막들(135)은 상기 제2 방향(D2)을 따라 지그재그 형태로 배열될 수도 있다.A plurality of channel holes CH passing through the cell array region CAR of the stack structures ST1 and ST2 may be disposed. A plurality of channel layers 135 may extend toward the substrate 100 along inner walls of the channel holes CH, respectively. The channel layers 135 may be electrically connected to the substrate 100 . That is, the channel layers 135 may directly contact the top surface of the substrate 100 . The channel layers 135 may be arranged along the second direction D2 in a plan view. For example, the channel layers 135 may be arranged in one direction along the second direction D2 . As another example, the channel layers 135 may be arranged in a zigzag shape along the second direction D2 .

일 예로, 상기 채널 막들(135)은 상단 및 하단이 오픈된(opened) 파이프 형태 또는 마카로니 형태일 수 있다. 다른 예로, 상기 채널 막들(135)은 하단이 닫힌 파이프 형태 또는 마카로니 형태일 수 있다.For example, the channel membranes 135 may have an open top and a bottom pipe shape or a macaroni shape. As another example, the channel membranes 135 may have a closed pipe shape or a macaroni shape.

상기 채널 막들(135)은 언도프트 상태이거나, 상기 기판(100)과 동일한 도전형을 갖는 불순물로 도핑될 수 있다. 상기 채널 막들(135)은 다결정 구조 또는 단결정 구조를 갖는 반도체 물질을 포함할 수 있다. 일 예로, 상기 채널 막들(135)은 실리콘을 포함할 수 있다. 상기 채널 막들(135)의 내부는 매립 절연 패턴(150)으로 채워질 수 있다. 일 예로, 상기 매립 절연 패턴(150)은 실리콘 산화막을 포함할 수 있다.The channel layers 135 may be in an undoped state or may be doped with an impurity having the same conductivity type as that of the substrate 100 . The channel layers 135 may include a semiconductor material having a polycrystalline structure or a single crystal structure. For example, the channel layers 135 may include silicon. An interior of the channel layers 135 may be filled with a buried insulating pattern 150 . For example, the buried insulating pattern 150 may include a silicon oxide layer.

상기 적층 구조체들(ST1, ST2)과 상기 채널 막들(135) 사이에 게이트 절연막들(145)이 개재될 수 있다. 즉, 각각의 상기 게이트 절연막들(145)은 상기 채널 홀(CH)의 내벽을 직접 덮을 수 있다. 상기 게이트 절연막들(145)은 상기 제3 방향(D3)을 따라 연장될 수 있다. 상기 게이트 절연막들(145)은 상단 및 하단이 오픈된 파이프 형태 또는 마카로니 형태일 수 있다.Gate insulating layers 145 may be interposed between the stack structures ST1 and ST2 and the channel layers 135 . That is, each of the gate insulating layers 145 may directly cover the inner wall of the channel hole CH. The gate insulating layers 145 may extend in the third direction D3 . The gate insulating layers 145 may be in the form of a pipe having open top and bottom ends or a macaroni shape.

각각의 상기 게이트 절연막들(145)은 하나의 박막 또는 복수의 박막들을 포함할 수 있다. 일 실시예로, 상기 게이트 절연막(145)은 전하 트랩형 플래시 메모리 트랜지스터의 터널 절연막 및 전하 저장막을 포함할 수 있다. 상기 터널 절연막은 상기 전하 저장막보다 큰 밴드 갭을 갖는 물질들 중의 한가지일 수 있다. 예를 들어, 상기 터널 절연막은 알루미늄 산화막 및 하프늄 산화막 등과 같은 고유전막들 중의 하나일 수 있다. 상기 전하 저장막은 실리콘 질화막과 같은 트랩 사이트가 풍부한 절연막, 부유 게이트 전극, 또는 도전성 나노 돗들(conductive nano dots)을 포함하는 절연막 중의 한가지일 수 있다. 상기 터널 절연막은 상기 채널 막(135)과 직접 접촉할 수 있다. 한편, 도시되진 않았지만, 각각의 상기 게이트 전극들(LSL, WL1, WL2, USL)과 상기 전하 저장막 사이에 블로킹 절연막이 개재될 수 있다. 상기 블로킹 절연막은 각각의 상기 게이트 전극들(LSL, WL1, WL2, USL)과 상기 절연막(110) 사이로 연장될 수 있다. 상기 블로킹 절연막은 상기 터널 절연막보다 작고 상기 전하 저장막보다 큰 밴드 갭을 갖는 물질들 중의 한가지일 수 있다. 예를 들어, 상기 블로킹 절연막은 알루미늄 산화막 및 하프늄 산화막 등과 같은 고유전막들 중의 하나일 수 있다.Each of the gate insulating layers 145 may include one thin film or a plurality of thin films. In an embodiment, the gate insulating layer 145 may include a tunnel insulating layer and a charge storage layer of a charge trap type flash memory transistor. The tunnel insulating layer may be one of materials having a band gap larger than that of the charge storage layer. For example, the tunnel insulating layer may be one of high-k layers such as an aluminum oxide layer and a hafnium oxide layer. The charge storage layer may be one of an insulating layer rich in trap sites such as a silicon nitride layer, a floating gate electrode, or an insulating layer including conductive nano dots. The tunnel insulating layer may directly contact the channel layer 135 . Meanwhile, although not shown, a blocking insulating layer may be interposed between each of the gate electrodes LSL, WL1, WL2, and USL and the charge storage layer. The blocking insulating layer may extend between each of the gate electrodes LSL, WL1, WL2, and USL and the insulating layer 110 . The blocking insulating layer may be one of materials having a band gap smaller than that of the tunnel insulating layer and larger than that of the charge storage layer. For example, the blocking insulating layer may be one of high-k layers such as an aluminum oxide layer and a hafnium oxide layer.

다른 실시예로, 상기 게이트 절연막(145)은 상기 터널 절연막, 상기 전하 저장막, 및 상기 블로킹 절연막을 포함할 수 있다. 상기 터널 절연막은 상기 채널 막(135)과 직접 접촉할 수 있고, 상기 블로킹 절연막은 상기 게이트 전극들(LSL, WL1, WL2, USL)과 직접 접촉할 수 있다. 상기 전하 저장막은 상기 터널 절연막과 상기 블로킹 절연막 사이에 개재될 수 있다. 이때, 상기 게이트 전극들(LSL, WL1, WL2, USL)은 상기 절연막들(110)과 직접 접촉할 수 있다.In another embodiment, the gate insulating layer 145 may include the tunnel insulating layer, the charge storage layer, and the blocking insulating layer. The tunnel insulating layer may directly contact the channel layer 135 , and the blocking insulating layer may directly contact the gate electrodes LSL, WL1, WL2, and USL. The charge storage layer may be interposed between the tunnel insulating layer and the blocking insulating layer. In this case, the gate electrodes LSL, WL1, WL2, and USL may directly contact the insulating layers 110 .

매립 절연막(170)이, 서로 인접하는 상기 적층 구조체들(ST1, ST2) 사이의 트렌치들(TR)을 채울 수 있다. 상기 매립 절연막(170)은 실리콘 산화막을 포함할 수 있다.The buried insulating layer 170 may fill the trenches TR between the stacked structures ST1 and ST2 adjacent to each other. The buried insulating layer 170 may include a silicon oxide layer.

각각의 상기 채널 막들(135)의 상부는 드레인 영역(DR)을 포함할 수 있다. 상기 채널 막들(135)의 상기 드레인 영역들(DR)과 각각 접촉하는 도전 패드들(160)이 배치될 수 있다. 상기 제2 층간 절연막(190)이 상기 도전 패드들(160)을 덮을 수 있다. 상기 제2 층간 절연막(190)을 관통하여 상기 도전 패드들(160)과 각각 전기적으로 연결되는 비트 라인 플러그들(BPLG)이 배치될 수 있다. 상기 비트 라인 플러그들(BPLG) 상에 비트 라인들(BL)이 배치될 수 있다. 각각의 상기 비트 라인들(BL)은 복수개의 상기 비트 라인 플러그들(BPLG)을 통해 복수개의 상기 도전 패드들(160)과 전기적으로 연결될 수 있다. 상기 비트 라인들(BL)은 상기 제1 방향(D1)으로 연장되는 라인 형태일 수 있다.An upper portion of each of the channel layers 135 may include a drain region DR. Conductive pads 160 respectively contacting the drain regions DR of the channel layers 135 may be disposed. The second interlayer insulating layer 190 may cover the conductive pads 160 . Bit line plugs BPLG may be disposed through the second interlayer insulating layer 190 to be electrically connected to the conductive pads 160 . Bit lines BL may be disposed on the bit line plugs BPLG. Each of the bit lines BL may be electrically connected to the plurality of conductive pads 160 through the plurality of bit line plugs BPLG. The bit lines BL may have a line shape extending in the first direction D1 .

상기 제1 및 제2 콘택 영역들(CTR1, CTR2) 상에, 상기 게이트 전극들(LSL, WL1, WL2, USL)과 주변 로직 구조체를 전기적으로 연결하기 위한 배선 구조체가 배치될 수 있다.A wiring structure for electrically connecting the gate electrodes LSL, WL1, WL2, and USL and a peripheral logic structure may be disposed on the first and second contact regions CTR1 and CTR2.

구체적으로 상기 제1 콘택 영역(CTR1) 상에는, 상기 제1 및 제2 층간 절연막들(180, 190)을 관통하여 상기 게이트 전극들(LSL, WL1)의 일단들에 각각 접속되는 제1 콘택 플러그들(PLG1)이 배치될 수 있다. 그리고, 상기 제2 콘택 영역(CTR2) 상에는, 상기 제1 및 제2 층간 절연막들(180, 190)을 관통하여 상기 게이트 전극들(WL2, USL)의 일단들에 각각 접속되는 제2 콘택 플러그들(PLG2)이 배치될 수 있다. 상기 제1 및 제2 콘택 플러그들(PLG1, PLG2)은, 상기 셀 어레이 영역(CAR)에 인접할수록 이들의 수직적 길이가 감소될 수 있다. 상기 제1 및 제2 콘택 플러그들(PLG1, PLG2)의 상면들은 공면을 이룰 수 있다.Specifically, on the first contact region CTR1 , first contact plugs pass through the first and second interlayer insulating layers 180 and 190 and are respectively connected to ends of the gate electrodes LSL and WL1 . (PLG1) may be disposed. In addition, on the second contact region CTR2 , second contact plugs pass through the first and second interlayer insulating layers 180 and 190 and are respectively connected to ends of the gate electrodes WL2 and USL. (PLG2) may be placed. A vertical length of the first and second contact plugs PLG1 and PLG2 may be decreased as they are adjacent to the cell array region CAR. Top surfaces of the first and second contact plugs PLG1 and PLG2 may be coplanar.

이에 더하여, 상기 제1 콘택 영역(CTR1)의 상기 제2 층간 절연막(190) 상에 상기 제1 콘택 플러그들(PLG1)과 전기적으로 연결되는 제1 연결 라인들(CL1)이 배치될 수 있다. 상기 제2 콘택 영역(CTR2)의 상기 제2 층간 절연막(190) 상에 제2 콘택 플러그들(PLG2)과 전기적으로 연결되는 제2 연결 라인들(CL2)이 배치될 수 있다.In addition, first connection lines CL1 electrically connected to the first contact plugs PLG1 may be disposed on the second interlayer insulating layer 190 of the first contact region CTR1 . Second connection lines CL2 electrically connected to second contact plugs PLG2 may be disposed on the second interlayer insulating layer 190 of the second contact region CTR2 .

도 4 내지 도 23은 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 제조방법을 설명하기 위한 단면도들로서, 도 2의 I-I'선을 따라 자른 단면도들이다.4 to 23 are cross-sectional views for explaining a method of manufacturing a 3D semiconductor memory device according to embodiments of the present invention, and are cross-sectional views taken along line I-I' of FIG. 2 .

도 2 및 도 4를 참조하면, 기판(100) 상에 희생막들(HL1, HL2) 및 절연막들(110)을 교대로 그리고 반복적으로 증착하여, 적층 구조체(ST1, ST2)가 형성될 수 있다. 구체적으로, 상기 적층 구조체(ST1, ST2)는, 상기 기판(100) 상의 제1 적층 구조체(ST1), 및 상기 제1 적층 구조체(ST1) 상의 제2 적층 구조체(ST2)를 포함할 수 있다. 상기 제1 적층 구조체(ST1)는 제1 희생막들(HL1)을 포함할 수 있고, 상기 제2 적층 구조체(ST2)는 제2 희생막들(HL2)을 포함할 수 있다.2 and 4 , stacked structures ST1 and ST2 may be formed by alternately and repeatedly depositing the sacrificial layers HL1 and HL2 and the insulating layers 110 on the substrate 100 . . Specifically, the stacked structures ST1 and ST2 may include a first stacked structure ST1 on the substrate 100 and a second stacked structure ST2 on the first stacked structure ST1 . The first stacked structure ST1 may include first sacrificial layers HL1 , and the second stacked structure ST2 may include second sacrificial layers HL2 .

일 예로, 상기 희생막들(HL1, HL2)은 동일한 두께를 가지도록 형성될 수 있다. 다른 예로, 상기 희생막들(HL1, HL2) 중 최하층 및 최상층의 희생막들(HL1, HL2)은 그것들 사이에 위치한 희생막들(HL1, HL2)에 비해 두껍게 형성될 수 있다. 상기 절연막들(110)은 동일한 두께를 가지거나, 상기 절연막들(110) 중 일부는 두께가 다를 수도 있다.For example, the sacrificial layers HL1 and HL2 may be formed to have the same thickness. As another example, the lowermost and uppermost sacrificial layers HL1 and HL2 of the sacrificial layers HL1 and HL2 may be formed to be thicker than the sacrificial layers HL1 and HL2 disposed therebetween. The insulating layers 110 may have the same thickness, or some of the insulating layers 110 may have different thicknesses.

상기 희생막들(HL1, HL2) 및 상기 절연막들(110)은 열적 화학기상증착(Thermal CVD), 플라즈마 보강 화학기상증착(Plasma enhanced CVD), 물리적 화학기상증착(physical CVD) 또는 원자층 증착(Atomic Layer Deposition; ALD) 공정을 이용하여 증착될 수 있다. 상기 희생막들(HL1, HL2)은 실리콘 질화막, 실리콘 산화질화막 또는 실리콘막으로 형성될 수 있다. 상기 희생막들(HL1, HL2)은 다결정 구조 또는 단결정 구조를 포함할 수 있다. 상기 절연막들(110)은 실리콘 산화막으로 형성될 수 있다.The sacrificial films HL1 and HL2 and the insulating films 110 are thermal chemical vapor deposition (Thermal CVD), plasma enhanced chemical vapor deposition (Plasma enhanced CVD), physical chemical vapor deposition (physical CVD) or atomic layer deposition ( It may be deposited using an atomic layer deposition (ALD) process. The sacrificial layers HL1 and HL2 may be formed of a silicon nitride layer, a silicon oxynitride layer, or a silicon layer. The sacrificial layers HL1 and HL2 may include a polycrystalline structure or a single crystal structure. The insulating layers 110 may be formed of a silicon oxide layer.

이에 더하여, 상기 기판(100)과 상기 제1 적층 구조체(ST1) 사이에 하부 절연막(105)이 형성될 수 있다. 상기 하부 절연막(105)은 상기 희생막들(HL1, HL2) 및 상기 절연막들(110)에 대하여 높은 선택비를 가지는 물질로 형성될 수 있다. 일 예로, 상기 하부 절연막(105)은 실리콘 질화막, 알루미늄 산화막 또는 하프늄 산화막과 같은 고유전막을 포함할 수 있다. 상기 하부 절연막(105)은 상기 희생막들(HL1, HL2) 및 상기 절연막들(110)보다 얇은 두께를 가지도록 형성될 수 있다. In addition, a lower insulating layer 105 may be formed between the substrate 100 and the first stacked structure ST1 . The lower insulating layer 105 may be formed of a material having a high selectivity with respect to the sacrificial layers HL1 and HL2 and the insulating layers 110 . For example, the lower insulating layer 105 may include a high dielectric layer such as a silicon nitride layer, an aluminum oxide layer, or a hafnium oxide layer. The lower insulating layer 105 may be formed to have a thickness smaller than that of the sacrificial layers HL1 and HL2 and the insulating layers 110 .

도 2 및 도 5를 참조하면, 상기 적층 구조체(ST1, ST2)를 관통하여 상기 기판(100)을 노출하는 채널 홀들(CH)이 형성될 수 있다. 상기 채널 홀들(CH)은, 앞서 도 2 및 도 3을 참조하여 설명한 채널 막들(135)과 같이 배치될 수 있다.2 and 5 , channel holes CH exposing the substrate 100 through the stacked structures ST1 and ST2 may be formed. The channel holes CH may be disposed like the channel layers 135 described above with reference to FIGS. 2 and 3 .

상기 채널 홀들(CH)을 형성하는 것은, 상기 적층 구조체(ST1, ST2) 상에 상기 채널 홀들(CH)이 형성될 영역을 정의하는 개구부들을 갖는 마스크 패턴을 형성하는 것, 및 상기 마스크 패턴을 식각 마스크로 상기 적층 구조체(ST1, ST2)를 식각하는 것을 포함할 수 있다. 이 후, 상기 마스크 패턴들이 제거될 수 있다. 한편, 상기 식각 공정 동안, 상기 기판(100)의 상면이 과식각될 수 있다. 이에 따라, 상기 기판(100)의 상면이 리세스될 수 있다.Forming the channel holes CH includes forming a mask pattern having openings defining regions in which the channel holes CH will be formed on the stack structures ST1 and ST2, and etching the mask pattern. It may include etching the stacked structures ST1 and ST2 as a mask. After that, the mask patterns may be removed. Meanwhile, during the etching process, the upper surface of the substrate 100 may be over-etched. Accordingly, the upper surface of the substrate 100 may be recessed.

도 2 및 도 6을 참조하면, 각각의 상기 채널 홀들(CH)의 내벽을 차례로 덮는 게이트 절연막(145) 및 채널 막(135)이 형성될 수 있다. 일 예로, 상기 게이트 절연막(145)은 터널 절연막 및 전하 저장막을 포함할 수 있다. 다른 예로, 상기 게이트 절연막(145)은 블로킹 절연막을 더 포함할 수 있다. 이때, 상기 블로킹 절연막은 상기 희생막들(HL1, HL2)과 상기 전하 저장막 사이에 개재될 수 있다. 상기 게이트 절연막(145) 및 상기 채널 막(135)은 각각 원자층 증착(ALD) 또는 화학적 기상 증착(CVD)을 이용하여 형성될 수 있다. 이어서, 각각의 상기 채널 홀들(CH)을 완전히 채우는 매립 절연 패턴(150)이 형성될 수 있다. 2 and 6 , a gate insulating layer 145 and a channel layer 135 may be formed to sequentially cover inner walls of each of the channel holes CH. For example, the gate insulating layer 145 may include a tunnel insulating layer and a charge storage layer. As another example, the gate insulating layer 145 may further include a blocking insulating layer. In this case, the blocking insulating layer may be interposed between the sacrificial layers HL1 and HL2 and the charge storage layer. The gate insulating layer 145 and the channel layer 135 may be formed using atomic layer deposition (ALD) or chemical vapor deposition (CVD), respectively. Subsequently, a filling insulating pattern 150 completely filling each of the channel holes CH may be formed.

도 2 및 도 7을 참조하면, 상기 제2 적층 구조체(ST2) 상에 제1 하부막(ULa1) 및 제1 포토레지스트 패턴(PR1)이 형성될 수 있다. 상기 제1 하부막(ULa1)은 상기 제2 적층 구조체(ST2)의 전면을 덮도록 형성될 수 있다. 상기 제1 포토레지스트 패턴(PR1)은, 상기 채널 막들(135)이 위치하는 셀 어레이 영역(CAR), 및 상기 셀 어레이 영역(CAR)과 인접하는 제2 콘택 영역(CTR2) 상에 형성될 수 있다. 상기 제1 포토레지스트 패턴(PR1)은, 상기 제2 콘택 영역(CTR2)을 사이에 두고 상기 셀 어레이 영역(CAR)과 이격된 제1 콘택 영역(CTR1)과는 수직적으로 중첩되지 않을 수 있다.2 and 7 , a first lower layer ULa1 and a first photoresist pattern PR1 may be formed on the second stacked structure ST2 . The first lower layer ULa1 may be formed to cover the entire surface of the second stacked structure ST2 . The first photoresist pattern PR1 may be formed on the cell array region CAR in which the channel layers 135 are positioned and the second contact region CTR2 adjacent to the cell array region CAR. there is. The first photoresist pattern PR1 may not vertically overlap the first contact region CTR1 spaced apart from the cell array region CAR with the second contact region CTR2 interposed therebetween.

구체적으로, 상기 제1 하부막(ULa1)을 형성하는 것은, 유기 조성물을 상기 제2 적층 구조체(ST2) 상에 코팅하는 것을 포함할 수 있다. 상기 제1 하부막(ULa1)은 제1 두께(TH1)를 가질 수 있다. 상기 유기 조성물은 노볼락(novolac) 기반의 유기 고분자를 포함할 수 있다. 상기 유기 조성물은 하기 화학식 1의 화합물을 포함하는 가교제를 더 포함할 수 있다.Specifically, forming the first lower layer ULa1 may include coating an organic composition on the second stacked structure ST2 . The first lower layer ULa1 may have a first thickness TH1. The organic composition may include a novolac-based organic polymer. The organic composition may further include a crosslinking agent including a compound of Formula 1 below.

[화학식 1][Formula 1]

Figure 112017024259150-pat00007
Figure 112017024259150-pat00007

상기 식에서, R4OOC(CX2)n-, R5- 및 R6OOC(CX2)m- 중 적어도 2개는 상이한 산 또는 에스터 그룹일 수 있고, R4, R5, R6 및 X는 각각 독립적으로 수소 또는 비-수소 치환기일 수 있다. 여기서 상기 비-수소 치환기는 치환 또는 비치환된 C1-10알킬, 치환 또는 비치환된 C2-10알케닐 또는 C2-10알키닐(예: 알릴 등), 치환 또는 비치환된 C1-10알카노일, 치환 또는 비치환된 C1-10알콕시(예: 메톡시, 프로폭시, 부톡시 등), 에폭시, 치환 또는 비치환된 C1-10알킬티오, 치환 또는 비치환된 C1-10알킬설피닐, 치환 또는 비치환된 C1-10알킬설포닐, 치환 또는 비치환된 카복시, 치환 또는 비치환된 -COO-C1-8알킬, 치환 또는 비치환된 C6-12아릴(예: 페닐, 나프틸 등), 또는 치환 또는 비치환된 5원 내지 10원의 헤테로알리사이클릭 또는 헤테로아릴기(예: 메틸프탈이미드, N-메틸-1,8-프탈이미드 등)일 수 있다. n 및 m은 서로 같거나 또는 다르고 각각 0 보다 큰 정수일 수 있다.wherein at least two of R 4 OOC(CX 2 ) n -, R 5 - and R 6 OOC(CX 2 ) m - may be different acid or ester groups, and R 4 , R5, R6 and X are each independently hydrogen or non-hydrogen substituents. wherein the non-hydrogen substituent is substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C2-10 alkenyl or C2-10 alkynyl (eg, allyl, etc.), substituted or unsubstituted C1-10 alkanoyl , substituted or unsubstituted C1-10 alkoxy (eg methoxy, propoxy, butoxy, etc.), epoxy, substituted or unsubstituted C1-10 alkylthio, substituted or unsubstituted C1-10 alkylsulfinyl, substituted or unsubstituted C1-10 alkylsulfonyl, substituted or unsubstituted carboxy, substituted or unsubstituted -COO-C1-8 alkyl, substituted or unsubstituted C6-12 aryl (eg phenyl, naphthyl, etc.); Or it may be a substituted or unsubstituted 5- to 10-membered heteroalicyclic or heteroaryl group (eg, methylphthalimide, N-methyl-1,8-phthalimide, etc.). n and m may be equal to or different from each other and each may be an integer greater than zero.

나아가, 상기 유기 조성물은 용매, 산(또는 산 발생제)을 더 포함할 수 있다.Furthermore, the organic composition may further include a solvent, an acid (or an acid generator).

상기 용매는, 예를 들어, 옥시부티르산 에스터류, 글리콜 에테르류, 하이드록시기를 갖는 에테르류, 에스터류, 이염기성 에스터류, 프로필렌 카보네이트류 및 감마-부티로락톤류 중 적어도 하나를 포함할 수 있다.The solvent may include, for example, at least one of oxybutyric acid esters, glycol ethers, ethers having a hydroxyl group, esters, dibasic esters, propylene carbonates, and gamma-butyrolactones. .

상기 산은, 예를 들어, p-톨루엔설폰산, 도데실벤젠설폰산, 옥살산, 프탈산, 인산, 캄포설폰산, 2,4,6-트리메틸벤젠설폰산, 트리이소나프탈렌설폰산, 5-나이트로-o-톨루엔설폰산, 5-설포살리실산, 2,5-디메틸벤질설폰산, 2-나이트로벤젠설폰산, 3-클로로벤젠설폰산, 3-브로모벤젠설폰산, 2-플루오로카프릴설폰산, 1-나프톨-5-설폰산 및 2-메톡시-4-하이드록시-5-벤조일벤젠설폰산 중 적어도 하나를 포함할 수 있다.The acid is, for example, p-toluenesulfonic acid, dodecylbenzenesulfonic acid, oxalic acid, phthalic acid, phosphoric acid, camphorsulfonic acid, 2,4,6-trimethylbenzenesulfonic acid, triisonaphthalenesulfonic acid, 5-nitro -o-Toluenesulfonic acid, 5-sulfosalicylic acid, 2,5-dimethylbenzylsulfonic acid, 2-nitrobenzenesulfonic acid, 3-chlorobenzenesulfonic acid, 3-bromobenzenesulfonic acid, 2-fluorocaprylsulfonic acid at least one of phonic acid, 1-naphthol-5-sulfonic acid, and 2-methoxy-4-hydroxy-5-benzoylbenzenesulfonic acid.

상기 산 발생제는 광산 발생제(photoacid generator) 또는 열산 발생제(thermal acid generator)일 수 있다. 상기 광산 발생제는, 예를 들어, 오늄 염(onium salt)계, 나이트로벤질계, 설폰산 에스터계, 디아조메탄계, 글리옥심계, N-하이드록시이미드 설폰산 에스터계 및 할로트리아진계 중 적어도 하나를 포함할 수 있다. 상기 열산 발생제는 상기 제1 하부막(ULa1)의 경화 동안 가교 반응을 촉진하거나 증진시킬 수 있다. 예를 들어, 상기 열산 발생제는 사이클로헥실 p-톨루엔설포네이트, 메틸 p-톨루엔설포네이트, 사이클로헥실 2,4,6-트리이소프로필벤젠 설포네이트, 2-나이트로벤질 토실레이트, 트리스(2,3-디브로모프로필)-1,3,5-트리아진-2,4,6-트리온, 유기설폰산의 알킬에스터류와 그 염들, 도데실벤젠설폰산의 트리에틸아민염, p-톨루엔설폰산의 암모늄염 중 적어도 하나를 포함할 수 있다.The acid generator may be a photoacid generator or a thermal acid generator. The photoacid generator is, for example, onium salt-based, nitrobenzyl-based, sulfonic acid ester-based, diazomethane-based, glyoxime-based, N-hydroxyimide sulfonic acid ester-based and halotriazine-based agents. may include at least one of The thermal acid generator may promote or enhance a crosslinking reaction during curing of the first lower layer ULa1. For example, the thermal acid generator is cyclohexyl p-toluenesulfonate, methyl p-toluenesulfonate, cyclohexyl 2,4,6-triisopropylbenzene sulfonate, 2-nitrobenzyl tosylate, tris(2 ,3-dibromopropyl)-1,3,5-triazine-2,4,6-trione, alkylesters of organic sulfonic acid and their salts, triethylamine salt of dodecylbenzenesulfonic acid, p -It may include at least one of the ammonium salt of toluenesulfonic acid.

추가적으로, 상기 유기 조성물은 계면활성제, 레벨링제, 염료 화합물 등을 더 포함할 수 있다.Additionally, the organic composition may further include a surfactant, a leveling agent, a dye compound, and the like.

상기 제1 포토레지스트 패턴(PR1)을 형성하는 것은, 포토레지스트 조성물을 준비하는 것, 상기 포토레지스트 조성물을 상기 기판(100) 전면 상에 도포하여 포토레지스트막을 형성하는 것, 및 상기 포토레지스트막을 노광 및 현상하여 상기 제1 포토레지스트 패턴(PR1)을 형성하는 것을 포함할 수 있다.Forming the first photoresist pattern PR1 includes preparing a photoresist composition, applying the photoresist composition on the entire surface of the substrate 100 to form a photoresist film, and exposing the photoresist film and developing the first photoresist pattern PR1 .

상기 포토레지스트 조성물은 실리콘을 함유할 수 있다. 구체적으로, 상기 포토레지스트 조성물은 실록산을 백본(backbone)으로 하는 (R1SiO3/2)l(R2SiO3/2)m(R3SiO3/2)n의 화학식을 갖는 고분자 화합물을 포함할 수 있다. 상기 화학식에서, 상기 R1 내지 R3는 각각 독립적으로 수소, 또는 치환 또는 비치환된 탄소수 1 내지 20의 탄화수소(hydrocarbyl group)일 수 있다. 상기 l은 1 내지 10의 정수, 상기 m은 1 내지 10의 정수, 및 상기 n은 1 내지 10의 정수일 수 있다. 상기 고분자 화합물은 1,000 내지 100,000의 중량 평균 분자량을 가질 수 있다. 최종적으로, 상기 제1 포토레지스트 패턴(PR1) 내의 실리콘은 10 wt% 내지 40 wt%일 수 있다.The photoresist composition may contain silicon. Specifically, the photoresist composition is a polymer compound having a chemical formula of (R 1 SiO 3/2 ) l (R 2 SiO 3/2 ) m (R 3 SiO 3/2 ) n using siloxane as a backbone. may include In the above formula, R 1 to R 3 may each independently be hydrogen, or a substituted or unsubstituted hydrocarbon group having 1 to 20 carbon atoms (hydrocarbyl group). 1 may be an integer of 1 to 10, m may be an integer of 1 to 10, and n may be an integer of 1 to 10. The polymer compound may have a weight average molecular weight of 1,000 to 100,000. Finally, the amount of silicon in the first photoresist pattern PR1 may be 10 wt% to 40 wt%.

보다 구체적으로, 상기 고분자 화합물 내 상기 (R1SiO3 / 2)l 단위, 상기 (R2SiO3/2)m 단위 및 상기 (R3SiO3 / 2)n 단위는 각각 독립적으로 하기 화학식 5의 단위를 가질 수 있다.More specifically, in the polymer compound, the (R 1 SiO 3 / 2 ) l unit, the (R 2 SiO 3/2 ) m unit, and the (R 3 SiO 3 / 2 ) n unit are each independently represented by Formula 5 can have units of

[화학식 5][Formula 5]

Figure 112017024259150-pat00008
Figure 112017024259150-pat00008

상기 화학식 5에서, 상기 R10은 수소, C1-C10알킬, C1-C10알케닐, C1-C10알키닐, C6-C10아릴, 아다만틸(adamantyl), C1-C5알킬-아다만틸, 또는 C2-C6락톤일 수 있다. 상기 t는 1 내지 10의 정수일 수 있다.In Formula 5, R 10 is hydrogen, C1-C10 alkyl, C1-C10 alkenyl, C1-C10 alkynyl, C6-C10 aryl, adamantyl, C1-C5 alkyl-adamantyl, or It may be a C2-C6 lactone. The t may be an integer from 1 to 10.

예를 들어, 상기 고분자 화합물은 하기 화학식 6의 고분자를 포함할 수 있다.For example, the polymer compound may include a polymer of Formula 6 below.

[화학식 6][Formula 6]

Figure 112017024259150-pat00009
Figure 112017024259150-pat00009

상기 화학식 6의 l : m : n의 비는 40 : 30 : 30이다. 상기 화학식 7의 고분자는 20,000의 중량 평균 분자량(Mw)을 가진다.The ratio of l:m:n in Formula 6 is 40:30:30. The polymer of Formula 7 has a weight average molecular weight (Mw) of 20,000.

그 외, 상기 포토레지스트 조성물은 감방사선성 산발생제(radiation-sensitive acid-generating compound), 부가적 수지(auxiliary resin), 가소제, 안정제, 착색제 및 계면활성제 등을 더 포함할 수 있다.In addition, the photoresist composition may further include a radiation-sensitive acid-generating compound, an auxiliary resin, a plasticizer, a stabilizer, a colorant, a surfactant, and the like.

상기 제1 포토레지스트 패턴(PR1)은 제2 두께(TH2)를 가질 수 있다. 이때, 상기 제1 두께(TH1)는 상기 제2 두께(TH2)의 10배 내지 30배일 수 있다.The first photoresist pattern PR1 may have a second thickness TH2 . In this case, the first thickness TH1 may be 10 to 30 times the second thickness TH2.

도 2 및 도 8을 참조하면, 상기 제1 포토레지스트 패턴(PR1)을 식각 마스크로 상기 제1 하부막(ULa1)을 이방성 식각하여, 제1 하부 패턴(UL1)이 형성될 수 있다. 따라서, 상기 제1 하부 패턴(UL1)은 상기 제1 콘택 영역(CTR1)을 노출시킬 수 있다.2 and 8 , a first lower pattern UL1 may be formed by anisotropically etching the first lower layer ULa1 using the first photoresist pattern PR1 as an etch mask. Accordingly, the first lower pattern UL1 may expose the first contact region CTR1 .

상기 제1 하부 패턴(UL1)을 형성하는 식각 공정 동안, 상기 제1 포토레지스트 패턴(PR1)은 모두 제거될 수 있다. 상기 이방성 식각 공정 동안, 제1 포토레지스트 패턴(PR1) : 제1 하부막(ULa1)의 식각 선택비는 1:2 내지 1:30일 수 있다. 상기 식각 선택비를 고려해 상기 제2 두께(TH2)를 조절하여, 상기 식각 공정 동안 상기 제1 포토레지스트 패턴(PR1)을 모두 제거할 수 있다. 만약, 상기 식각 공정 이후에 상기 제1 포토레지스트 패턴(PR1)이 잔류하는 경우, 추가적으로 전류하는 상기 제1 포토레지스트 패턴(PR1)을 제거할 수 있다.During the etching process of forming the first lower pattern UL1 , all of the first photoresist pattern PR1 may be removed. During the anisotropic etching process, an etch selectivity ratio of the first photoresist pattern PR1 to the first lower layer ULa1 may be 1:2 to 1:30. By adjusting the second thickness TH2 in consideration of the etching selectivity, all of the first photoresist pattern PR1 may be removed during the etching process. If the first photoresist pattern PR1 remains after the etching process, the first photoresist pattern PR1 that additionally conducts current may be removed.

본 발명의 실시예들에 따른 상기 제1 포토레지스트 패턴(PR1)과 상기 제1 하부막(ULa1)은 서로 높은 식각 선택비를 갖기 때문에, 상기 제1 포토레지스트 패턴(PR1)의 상기 제2 두께(TH2)가 상기 제1 두께(TH1)에 비해 매우 작더라도 상기 제1 하부 패턴(UL1)을 형성할 수 있다. 나아가, 얇은 상기 제1 포토레지스트 패턴(PR1)에 의해 상기 제1 하부 패턴(UL1)의 측벽은 수직에 가까운 단면 프로파일을 가질 수 있다.Since the first photoresist pattern PR1 and the first lower layer ULa1 have a high etch selectivity to each other, the second thickness of the first photoresist pattern PR1 is Although TH2 is very small compared to the first thickness TH1, the first lower pattern UL1 may be formed. Furthermore, the sidewall of the first lower pattern UL1 may have a cross-sectional profile close to vertical due to the thin first photoresist pattern PR1 .

도 2 및 도 9를 참조하면, 상기 제1 하부 패턴(UL1)을 식각 마스크로 상기 제2 콘택 영역(CTR2)의 최상층의 상기 절연막(110) 및 최상층의 상기 제2 희생막(HL2)을 순차적으로 식각할 수 있다. 식각된 상기 절연막(110) 및 식각된 상기 제2 희생막(HL2)은, 이들 아래의 다른 절연막(110) 및 다른 제2 희생막(HL2)을 노출시킬 수 있다.2 and 9 , the insulating layer 110 of the uppermost layer of the second contact region CTR2 and the second sacrificial layer HL2 of the uppermost layer are sequentially formed using the first lower pattern UL1 as an etch mask. can be etched with The etched insulating layer 110 and the etched second sacrificial layer HL2 may expose the other insulating layer 110 and the other second sacrificial layer HL2 below them.

도 2 및 도 10을 참조하면, 상기 제1 하부 패턴(UL1)에 대하여 트리밍(trimming) 공정이 수행될 수 있다. 즉, 상기 제1 하부 패턴(UL1)에 대하여 등방성 식각 공정이 수행될 수 있다. 이로써 상기 제1 하부 패턴(UL1)의 폭 및 높이가 줄어들 수 있다. 구체적으로, 상기 트리밍 공정 동안, 상기 제1 하부 패턴(UL1)의 폭은 제1 길이(T1)만큼 줄어들 수 있고 높이는 제2 길이(T2)만큼 줄어들 수 있다.2 and 10 , a trimming process may be performed on the first lower pattern UL1 . That is, an isotropic etching process may be performed on the first lower pattern UL1 . Accordingly, the width and height of the first lower pattern UL1 may be reduced. Specifically, during the trimming process, the width of the first lower pattern UL1 may be reduced by the first length T1 and the height may be reduced by the second length T2 .

상기 트리밍 공정은, 상기 제1 하부 패턴(UL1)을 선택적으로 제거할 수 있는 식각액을 이용하여 수행될 수 있다. 이러한 습식 식각의 특성상, 상기 제1 하부 패턴(UL1)의 폭이 줄어드는 길이 보다 상기 제1 하부 패턴(UL1)의 높이가 줄어드는 길이가 더 클 수 있다. 이는, 상기 제1 하부 패턴(UL1)의 상면이 노출되는 면적이 상기 제1 하부 패턴(UL1)의 측벽이 노출되는 면적보다 더 크기 때문이다.The trimming process may be performed using an etchant capable of selectively removing the first lower pattern UL1. Due to the characteristics of the wet etching, a length in which a height of the first lower pattern UL1 is reduced may be greater than a length in which a width of the first lower pattern UL1 is reduced. This is because the exposed area of the upper surface of the first lower pattern UL1 is larger than the exposed area of the sidewall of the first lower pattern UL1.

한편, 본 발명의 실시예들에 따른 상기 노볼락 기반의 유기 고분자를 이용할 경우, 상기 제1 하부 패턴(UL1)의 높이가 줄어드는 것을 최소화할 수 있다. 구체적으로, 상기 트리밍 공정 동안 줄어든 상기 제2 길이(T2)는, 상기 제1 길이(T1)보다 크고 상기 제1 길이(T1)의 1.5배보다 작을 수 있다.Meanwhile, when the novolak-based organic polymer according to embodiments of the present invention is used, a decrease in the height of the first lower pattern UL1 may be minimized. Specifically, the second length T2 reduced during the trimming process may be greater than the first length T1 and less than 1.5 times the first length T1 .

앞서 도 9 및 도 10을 참조하여 설명한 단계들은, 상기 제2 콘택 영역(CTR2)의 측벽을 계단식 구조로 형성하기 위한 하나의 사이클을 구성할 수 있다. 즉, 상기 사이클은, 상기 제1 하부 패턴(UL1)을 마스크로, 상기 제1 하부 패턴(UL1)에 의해 노출된 적어도 하나의 상기 절연막들(110) 및 적어도 하나의 상기 제2 희생막들(HL2)을 식각하는 것, 및 상기 제1 하부 패턴(UL1)을 트리밍하여, 그의 폭 및 높이를 줄이는 것을 포함할 수 있다. 상기 사이클이 반복되는 것을 아래에서 설명한다.The steps described above with reference to FIGS. 9 and 10 may constitute one cycle for forming the sidewall of the second contact region CTR2 in a stepped structure. That is, in the cycle, at least one of the insulating layers 110 and at least one of the second sacrificial layers exposed by the first lower pattern UL1 using the first lower pattern UL1 as a mask etching the HL2 ) and trimming the first lower pattern UL1 to reduce the width and height thereof. It is described below that the cycle is repeated.

도 2 및 도 11을 참조하면, 크기가 한번 줄어든 상기 제1 하부 패턴(UL1)을 식각 마스크로 상기 최상층의 절연막(110)을 식각할 수 있다. 이와 동시에, 상기 최상층의 절연막(110) 및 제2 희생막(HL2)에 의해 노출된 이들 아래의 절연막(110)이 함께 식각될 수 있다. 이어서, 상기 제1 하부 패턴(UL1)을 식각 마스크로 상기 최상층의 제2 희생막(HL2)을 식각할 수 있다. 이와 동시에, 상기 최상층의 제2 희생막(HL2)에 의해 노출된 이 아래의 제2 희생막(HL2)이 함께 식각될 수 있다. 식각된 상기 절연막들(110) 및 식각된 상기 제2 희생막들(HL2)은, 이들 아래의 다른 절연막(110) 및 다른 제2 희생막(HL2)을 노출시킬 수 있다.2 and 11 , the uppermost insulating layer 110 may be etched using the first lower pattern UL1, which has been reduced in size, as an etch mask. At the same time, the uppermost insulating layer 110 and the insulating layer 110 exposed by the second sacrificial layer HL2 may be etched together. Subsequently, the uppermost second sacrificial layer HL2 may be etched using the first lower pattern UL1 as an etch mask. At the same time, the lower second sacrificial layer HL2 exposed by the uppermost second sacrificial layer HL2 may be etched together. The etched insulating layers 110 and the etched second sacrificial layers HL2 may expose the other insulating layer 110 and the other second sacrificial layer HL2 below them.

도 2 및 도 12를 참조하면, 상기 제1 하부 패턴(UL1)에 대하여 트리밍 공정이 다시 수행될 수 있다. 상기 트리밍 공정 동안, 상기 제1 하부 패턴(UL1)의 폭은 상기 제1 길이(T1)만큼 줄어들 수 있고 높이는 상기 제2 길이(T2)만큼 줄어들 수 있다. 이로써, 상기 사이클이 한번 더 반복됨을 확인할 수 있다.2 and 12 , a trimming process may be performed again on the first lower pattern UL1. During the trimming process, the width of the first lower pattern UL1 may be reduced by the first length T1 and the height may be reduced by the second length T2 . Accordingly, it can be confirmed that the cycle is repeated once more.

도 2 및 도 13을 참조하면, 상기 제2 콘택 영역(CTR2)의 최하층의 상기 절연막(110) 및 상기 제2 희생막(HL2)이 식각될 때까지 상기 사이클을 반복할 수 있다. 이로써, 상기 제1 콘택 영역(CTR1)의 최상층의 상기 절연막(110)의 상면의 일부가 노출될 수 있다.2 and 13 , the cycle may be repeated until the insulating layer 110 and the second sacrificial layer HL2 of the lowermost layer of the second contact region CTR2 are etched. Accordingly, a portion of the upper surface of the insulating layer 110 of the uppermost layer of the first contact region CTR1 may be exposed.

상기 제1 하부 패턴(UL1)을 이용한 상기 사이클의 반복을 통하여, 상기 제2 적층 구조체(ST2)의 일단(즉, 상기 제2 콘택 영역(CTR2))은 계단식 구조를 가질 수 있다. 이와 함께, 반복된 트리밍 공정으로 인하여 상기 제1 포토레지스트 패턴(PR1)의 크기는 매우 작아질 수 있다.Through repetition of the cycle using the first lower pattern UL1 , one end of the second stack structure ST2 (ie, the second contact region CTR2 ) may have a stepped structure. In addition, the size of the first photoresist pattern PR1 may be very small due to the repeated trimming process.

도 2 및 도 14를 참조하면, 잔류하는 상기 제1 하부 패턴(UL1)을 제거한 뒤, 상기 적층 구조체(ST1, ST2)를 덮는 제2 하부막(ULa2)이 형성될 수 있다. 상기 제2 하부막(ULa2)은, 앞서 설명한 유기 조성물을 상기 적층 구조체(ST1, ST2) 상에 코팅함으로써 형성될 수 있다. 상기 제2 하부막(ULa2)은 균일한 두께로 형성될 수 있으므로, 상기 제2 콘택 영역(CTR2) 상에서 경사질 수 있다. 상기 제2 하부막(ULa2)은 제3 두께(TH3)를 가질 수 있다.2 and 14 , after the remaining first lower pattern UL1 is removed, a second lower layer ULa2 covering the stacked structures ST1 and ST2 may be formed. The second lower layer ULa2 may be formed by coating the above-described organic composition on the stack structures ST1 and ST2. Since the second lower layer ULa2 may have a uniform thickness, it may be inclined on the second contact region CTR2 . The second lower layer ULa2 may have a third thickness TH3.

상기 제2 하부막(ULa2) 상에 제2 포토레지스트 패턴(PR2)이 형성될 수 있다. 상기 제2 포토레지스트 패턴(PR2)은, 상기 셀 어레이 영역(CAR), 상기 제2 콘택 영역(CTR2), 및 상기 제1 콘택 영역(CTR1) 상에 형성될 수 있다. 상기 제2 포토레지스트 패턴(PR2)은, 앞서 설명한 실리콘을 함유하는 포토레지스트 조성물을 이용해 형성될 수 있다. 상기 제2 포토레지스트 패턴(PR2)은 제4 두께(TH4)를 가질 수 있으며, 이때 상기 제3 두께(TH3)는 상기 제4 두께(TH4)의 10배 내지 30배일 수 있다.A second photoresist pattern PR2 may be formed on the second lower layer ULa2 . The second photoresist pattern PR2 may be formed on the cell array region CAR, the second contact region CTR2 , and the first contact region CTR1 . The second photoresist pattern PR2 may be formed using the above-described photoresist composition containing silicon. The second photoresist pattern PR2 may have a fourth thickness TH4, and in this case, the third thickness TH3 may be 10 to 30 times the fourth thickness TH4.

도 2 및 도 15를 참조하면, 상기 제2 포토레지스트 패턴(PR2)을 식각 마스크로 상기 제2 하부막(ULa2)을 이방성 식각하여, 제2 하부 패턴(UL2)이 형성될 수 있다. 상기 제2 하부 패턴(UL2)은, 상기 제1 콘택 영역(CTR1) 밖의 상기 절연막들(110) 및 상기 제1 희생막들(HL1)을 노출시킬 수 있다. 상기 제2 하부 패턴(UL2)을 형성하는 식각 공정 동안 상기 제2 포토레지스트 패턴(PR2)은 모두 제거될 수 있다.2 and 15 , the second lower layer ULa2 may be anisotropically etched using the second photoresist pattern PR2 as an etch mask to form a second lower pattern UL2 . The second lower pattern UL2 may expose the insulating layers 110 and the first sacrificial layers HL1 outside the first contact region CTR1 . During the etching process of forming the second lower pattern UL2 , the second photoresist pattern PR2 may be completely removed.

도 2 및 도 16을 참조하면, 상기 제2 하부 패턴(UL2)을 식각 마스크로 상기 제1 콘택 영역(CTR1)의 최상층의 상기 절연막(110) 및 최상층의 상기 제1 희생막(HL1)을 순차적으로 식각할 수 있다. 식각된 상기 절연막(110) 및 식각된 상기 제1 희생막(HL1)은, 이들 아래의 다른 절연막(110) 및 다른 제1 희생막(HL1)을 노출시킬 수 있다.2 and 16 , the insulating layer 110 of the uppermost layer and the first sacrificial layer HL1 of the uppermost layer of the first contact region CTR1 are sequentially formed using the second lower pattern UL2 as an etch mask. can be etched with The etched insulating layer 110 and the etched first sacrificial layer HL1 may expose the other insulating layer 110 and the other first sacrificial layer HL1 below them.

도 2 및 도 17을 참조하면, 상기 제2 하부 패턴(UL2)에 대하여 트리밍 공정이 수행될 수 있다. 상기 트리밍 공정 동안, 상기 제2 하부 패턴(UL2)의 폭은 제1 길이(T1)만큼 줄어들 수 있고 높이는 제2 길이(T2)만큼 줄어들 수 있다.2 and 17 , a trimming process may be performed on the second lower pattern UL2 . During the trimming process, the width of the second lower pattern UL2 may be reduced by the first length T1 and the height may be reduced by the second length T2 .

즉, 앞서 도 16 및 도 17을 참조하여 설명한 단계들은, 앞서 도 9 및 도 10을 참조하여 설명한 하나의 사이클과 동일할 수 있다. 이어서, 상기 사이클은 반복될 수 있다.That is, the steps described above with reference to FIGS. 16 and 17 may be the same as one cycle described with reference to FIGS. 9 and 10 above. The cycle can then be repeated.

도 2 및 도 18을 참조하면, 크기가 한번 줄어든 상기 제2 하부 패턴(UL2)을 식각 마스크로 상기 최상층의 절연막(110)을 식각할 수 있다. 이와 동시에, 상기 최상층의 절연막(110) 및 제1 희생막(HL1)에 의해 노출된 이들 아래의 절연막(110)이 함께 식각될 수 있다. 이어서, 상기 제2 하부 패턴(UL2)을 식각 마스크로 상기 최상층의 제1 희생막(HL1)을 식각할 수 있다. 이와 동시에, 상기 최상층의 제1 희생막(HL1)에 의해 노출된 이 아래의 제1 희생막(HL1)이 함께 식각될 수 있다.Referring to FIGS. 2 and 18 , the uppermost insulating layer 110 may be etched using the second lower pattern UL2, which has been reduced in size once, as an etch mask. At the same time, the uppermost insulating layer 110 and the insulating layer 110 exposed by the first sacrificial layer HL1 may be etched together. Subsequently, the uppermost first sacrificial layer HL1 may be etched using the second lower pattern UL2 as an etch mask. At the same time, the lower first sacrificial layer HL1 exposed by the uppermost first sacrificial layer HL1 may be etched together.

도 2 및 도 19를 참조하면, 상기 제2 하부 패턴(UL2)에 대하여 트리밍 공정이 다시 수행될 수 있다. 이로써, 상기 사이클이 한번 더 반복됨을 확인할 수 있다.2 and 19 , a trimming process may be performed again on the second lower pattern UL2. Accordingly, it can be confirmed that the cycle is repeated once more.

도 2 및 도 20을 참조하면, 상기 제1 콘택 영역(CTR1)의 최하층의 상기 절연막(110) 및 상기 제1 희생막(HL1)이 식각될 때까지 상기 사이클을 반복할 수 있다. 이로써, 상기 하부 절연막(105)의 상면의 일부가 노출될 수 있다. 상기 제2 하부 패턴(UL2)을 이용한 상기 사이클의 반복을 통하여, 상기 제1 적층 구조체(ST1)의 일단(즉, 상기 제1 콘택 영역(CTR1))은 계단식 구조를 가질 수 있다. 이와 함께, 반복된 트리밍 공정으로 인하여 상기 제2 하부 패턴(UL2)의 크기는 매우 작아질 수 있다.2 and 20 , the cycle may be repeated until the insulating layer 110 and the first sacrificial layer HL1 of the lowermost layer of the first contact region CTR1 are etched. Accordingly, a portion of the upper surface of the lower insulating layer 105 may be exposed. Through repetition of the cycle using the second lower pattern UL2 , one end of the first stacked structure ST1 (ie, the first contact region CTR1 ) may have a stepped structure. In addition, the size of the second lower pattern UL2 may be very small due to the repeated trimming process.

도 2 및 도 21을 참조하면, 잔류하는 상기 제2 하부 패턴(UL2)을 제거한 뒤, 상기 기판(100) 상에 상기 적층 구조체(ST1, ST2)를 덮는 제1 층간 절연막(180)이 형성될 수 있다. 상기 제1 층간 절연막(180)은 상기 제1 및 제2 콘택 영역들(CTR1, CTR2)을 덮도록 형성될 수 있다. 상기 제1 층간 절연막(180)을 평탄화하여, 상기 제2 적층 구조체(ST2)의 상면을 노출시킬 수 있다.2 and 21 , after the remaining second lower pattern UL2 is removed, a first interlayer insulating layer 180 covering the stacked structures ST1 and ST2 is formed on the substrate 100 . can The first interlayer insulating layer 180 may be formed to cover the first and second contact regions CTR1 and CTR2 . The first interlayer insulating layer 180 may be planarized to expose a top surface of the second stacked structure ST2 .

이어서, 상기 적층 구조체(ST1, ST2)를 패터닝하여, 인접하는 채널 홀들(CH) 사이에 상기 기판(100)을 노출시키는 트렌치들(TR)이 형성될 수 있다. 구체적으로, 상기 트렌치들(TR)을 형성하는 것은, 상기 적층 구조체(ST1, ST2) 상에 상기 트렌치들(TR)이 형성될 평면적 위치를 정의하는 마스크 패턴들을 형성하는 것, 및 상기 마스크 패턴들을 식각 마스크로 상기 적층 구조체(ST1, ST2)를 식각하는 것을 포함할 수 있다. Subsequently, by patterning the stack structures ST1 and ST2 , trenches TR exposing the substrate 100 may be formed between adjacent channel holes CH. Specifically, forming the trenches TR includes forming mask patterns defining planar positions at which the trenches TR are to be formed on the stack structures ST1 and ST2, and forming the mask patterns It may include etching the stacked structures ST1 and ST2 using an etch mask.

상기 트렌치들(TR)은 상기 희생막들(HL1, HL2) 및 상기 절연막들(110)의 측벽들을 노출시키도록 형성될 수 있다. 수직적 깊이에 있어서, 상기 트렌치들(TR)은 상기 하부 절연막(105)의 측벽을 노출시키도록 형성될 수 있다. 또한, 도시되진 않았지만, 상기 트렌치들(TR)은 이방성 식각 공정에 의해 상기 기판(100)으로부터의 수직적 거리에 따라 다른 폭을 가질 수 있다. The trenches TR may be formed to expose sidewalls of the sacrificial layers HL1 and HL2 and the insulating layers 110 . In a vertical depth, the trenches TR may be formed to expose a sidewall of the lower insulating layer 105 . Also, although not shown, the trenches TR may have different widths according to a vertical distance from the substrate 100 by an anisotropic etching process.

상기 트렌치들(TR)이 형성됨에 따라, 상기 적층 구조체(ST1, ST2)는 복수개로 나뉘어질 수 있다. 각각의 상기 적층 구조체들(ST1, ST2)은 제2 방향(D2)으로 연장되는 라인 형태를 가질 수 있다. 하나의 상기 적층 구조체(ST1, ST2)는 복수의 상기 채널 막들(135)에 의해 관통될 수 있다.As the trenches TR are formed, the stacked structures ST1 and ST2 may be divided into a plurality. Each of the stack structures ST1 and ST2 may have a line shape extending in the second direction D2 . One of the stack structures ST1 and ST2 may be penetrated by the plurality of channel layers 135 .

도 2 및 도 22를 참조하면, 상기 트렌치들(TR)에 의하여 노출된 상기 희생막들(HL1, HL2)을 선택적으로 제거하여 리세스 영역들(155)이 형성될 수 있다. 상기 리세스 영역들(155)은 상기 희생막들(HL1, HL2)이 제거된 영역들에 해당될 수 있다. 상기 희생막들(HL1, HL2)이 실리콘 질화막 또는 실리콘 산질화막을 포함하는 경우, 상기 희생막들(HL1, HL2)의 제거 공정은 인산을 포함하는 식각 용액을 사용하여 수행될 수 있다. 상기 리세스 영역들(155)에 의하여 상기 게이트 절연막(145)의 측벽의 일부들이 노출될 수 있다.2 and 22 , recess regions 155 may be formed by selectively removing the sacrificial layers HL1 and HL2 exposed by the trenches TR. The recess regions 155 may correspond to regions from which the sacrificial layers HL1 and HL2 have been removed. When the sacrificial layers HL1 and HL2 include a silicon nitride layer or a silicon oxynitride layer, the removal process of the sacrificial layers HL1 and HL2 may be performed using an etching solution containing phosphoric acid. A portion of a sidewall of the gate insulating layer 145 may be exposed by the recess regions 155 .

도 2 및 도 23을 참조하면, 상기 리세스 영역들(155)을 채우는 게이트 전극들(LSL, WL1, WL2, USL)이 형성될 수 있다. 구체적으로, 상기 게이트 전극들(LSL, WL1, WL2, USL)을 형성하는 것은, 상기 리세스 영역들(155)을 채우는 도전막을 형성한 뒤, 상기 리세스 영역들(155) 외부에 형성된 상기 도전막을 제거하는 것을 포함할 수 있다.2 and 23 , gate electrodes LSL, WL1, WL2, and USL filling the recess regions 155 may be formed. Specifically, in forming the gate electrodes LSL, WL1, WL2, and USL, a conductive layer filling the recess regions 155 is formed, and then the conductive layer formed outside the recess regions 155 is formed. removing the membrane.

상기 게이트 전극들(LSL, WL1, WL2, USL)이 형성된 후, 상기 기판(100)에 공통 소스 영역들(CSL)이 형성될 수 있다. 상기 공통 소스 영역들(CSL)은 이온 주입 공정을 통해 형성될 수 있고, 상기 트렌치들(TR)에 의해 노출된 상기 기판(100) 내에 형성될 수 있다. 상기 공통 소스 영역들(CSL)은 상기 기판(100)과 PN 접합을 구성할 수 있다. 이어서, 이온 주입 공정을 통해 상기 채널 막들(135)의 상부에 드레인 영역들(DR)이 각각 형성될 수 있다.After the gate electrodes LSL, WL1, WL2, and USL are formed, common source regions CSL may be formed on the substrate 100 . The common source regions CSL may be formed through an ion implantation process, and may be formed in the substrate 100 exposed by the trenches TR. The common source regions CSL may form a PN junction with the substrate 100 . Subsequently, drain regions DR may be respectively formed on the channel layers 135 through an ion implantation process.

만약, 상기 게이트 절연막(145)이 터널 절연막 및 전하 저장막을 포함할 경우, 상기 게이트 전극들(LSL, WL1, WL2, USL)을 형성하기 이전에 상기 리세스 영역들(155)의 일부를 채우는 블로킹 절연막(미도시)을 추가로 형성할 수 있다. 이후, 상기 블로킹 절연막 상에 상기 리세스 영역들(155)을 완전히 채우는 상기 게이트 전극들(LSL, WL1, WL2, USL)이 형성될 수 있다.If the gate insulating layer 145 includes a tunnel insulating layer and a charge storage layer, blocking filling a portion of the recess regions 155 before forming the gate electrodes LSL, WL1, WL2, and USL An insulating film (not shown) may be additionally formed. Thereafter, the gate electrodes LSL, WL1, WL2, and USL completely filling the recess regions 155 may be formed on the blocking insulating layer.

도 2 및 도 3을 다시 참조하면, 상기 트렌치들(TR)을 채우는 매립 절연막(170)이 형성될 수 있다. 상기 매립 절연막(170)은 실리콘 산화막을 포함할 수 있다.Referring back to FIGS. 2 and 3 , a buried insulating layer 170 filling the trenches TR may be formed. The buried insulating layer 170 may include a silicon oxide layer.

상기 채널 막들(135)의 상면과 접하는 도전 패드들(160)이 각각 형성될 수 있다. 이어서, 상기 매립 절연막(170), 상기 도전 패드들(160) 및 상기 제1 층간 절연막(180)을 덮는 제2 층간 절연막(190)이 형성될 수 있다. 상기 제2 층간 절연막(190)을 관통하여, 상기 도전 패드들(160)과 접하는 비트 라인 플러그들(BPLG)이 형성될 수 있다. Conductive pads 160 contacting top surfaces of the channel layers 135 may be formed, respectively. Subsequently, a second interlayer insulating layer 190 covering the filling insulating layer 170 , the conductive pads 160 , and the first interlayer insulating layer 180 may be formed. Bit line plugs BPLG in contact with the conductive pads 160 may be formed through the second interlayer insulating layer 190 .

한편, 상기 제2 층간 절연막(190)을 관통하여, 상기 제1 콘택 영역(CTR1)의 상기 게이트 전극들(LSL, WL1)과 각각 접속되는 제1 콘택 플러그들(PLG1)이 형성될 수 있다. 상기 제2 층간 절연막(190)을 관통하여, 상기 제2 콘택 영역(CTR2)의 상기 게이트 전극들(WL2, USL)과 각각 접속되는 제2 콘택 플러그들(PLG2)이 형성될 수 있다.Meanwhile, first contact plugs PLG1 respectively connected to the gate electrodes LSL and WL1 of the first contact region CTR1 may be formed through the second interlayer insulating layer 190 . Second contact plugs PLG2 respectively connected to the gate electrodes WL2 and USL of the second contact region CTR2 may be formed through the second interlayer insulating layer 190 .

상기 제2 층간 절연막(190) 상에, 제1 방향(D1)으로 연장되는 비트 라인들(BL)이 형성될 수 있다. 각각의 상기 비트 라인들(BL)은 복수개의 상기 비트 라인 플러그들(BPLG)을 서로 연결시킬 수 있다. 이에 더하여, 상기 제2 층간 절연막(190) 상에, 상기 제1 및 제2 콘택 플러그들(PLG1, PLG2)와 각각 접하는 제1 및 제2 연결 라인들(CL1, CL2)이 형성될 수 있다.Bit lines BL extending in the first direction D1 may be formed on the second interlayer insulating layer 190 . Each of the bit lines BL may connect a plurality of the bit line plugs BPLG to each other. In addition, first and second connection lines CL1 and CL2 contacting the first and second contact plugs PLG1 and PLG2, respectively, may be formed on the second interlayer insulating layer 190 .

본 발명의 실시예들에 따르면, 포토레지스트 패턴과 하부막의 이중막(bi-layer) 공정을 이용하여 산포가 개선된 하부 패턴을 형성할 수 있다. 나아가 상기 하부 패턴을 두껍게 형성할 수 있기 때문에, 한번의 포토레지스트 공정을 통하여 많은 수의 계단 구조들을 형성할 수 있어 효율적인 공정 달성이 가능할 수 있다.According to embodiments of the present invention, a lower pattern with improved dispersion may be formed using a bi-layer process of the photoresist pattern and the lower layer. Furthermore, since the lower pattern can be formed thickly, a large number of step structures can be formed through a single photoresist process, thereby achieving an efficient process.

도 24 내지 도 26은 본 발명의 실시예들에 따른 3차원 반도체 메모리 장치의 제조방법을 설명하기 위한 단면도들로서, 도 2의 I-I'선을 따라 자른 단면도들이다. 본 실시예에서는, 앞서 도 4 내지 도 23을 참조하여 설명한 반도체 소자의 제조방법과 중복되는 기술적 특징에 대한 상세한 설명은 생략하고, 차이점에 대해 상세히 설명한다.24 to 26 are cross-sectional views for explaining a method of manufacturing a 3D semiconductor memory device according to embodiments of the present invention, and are cross-sectional views taken along line I-I' of FIG. 2 . In this embodiment, a detailed description of technical features overlapping with the method of manufacturing a semiconductor device described above with reference to FIGS. 4 to 23 will be omitted, and differences will be described in detail.

도 2 및 도 24를 참조하면, 도 6의 결과물 상에 제3 포토레지스트 패턴(PR3)이 형성될 수 있다. 앞서 설명한 도 7과는 달리 제1 하부막(ULa1)은 생략될 수 있고, 상기 제3 포토레지스트 패턴(PR3)이 제2 적층 구조체(ST2)의 상면을 직접 덮을 수 있다. 구체적으로, 상기 제3 포토레지스트 패턴(PR3)은 셀 어레이 영역(CAR) 및 제2 콘택 영역(CTR2) 상에 형성될 수 있다. 상기 제3 포토레지스트 패턴(PR3)은 제1 콘택 영역(CTR1)을 노출시킬 수 있다.2 and 24 , a third photoresist pattern PR3 may be formed on the resultant of FIG. 6 . Unlike FIG. 7 described above, the first lower layer ULa1 may be omitted, and the third photoresist pattern PR3 may directly cover the upper surface of the second stacked structure ST2 . Specifically, the third photoresist pattern PR3 may be formed on the cell array region CAR and the second contact region CTR2 . The third photoresist pattern PR3 may expose the first contact region CTR1 .

구체적으로, 상기 제3 포토레지스트 패턴(PR3)을 형성하는 것은, 포토레지스트 조성물을 준비하는 것, 상기 포토레지스트 조성물을 상기 기판(100) 전면 상에 도포하여 포토레지스트막을 형성하는 것, 및 상기 포토레지스트막을 노광 및 현상하여 상기 제3 포토레지스트 패턴(PR3)을 형성하는 것을 포함할 수 있다.Specifically, forming the third photoresist pattern PR3 includes preparing a photoresist composition, applying the photoresist composition on the entire surface of the substrate 100 to form a photoresist film, and the photoresist composition It may include exposing and developing the resist layer to form the third photoresist pattern PR3 .

여기서, 상기 포토레지스트 조성물은 앞서 도 7을 참조하여 설명한 포토레지스트 조성물과 달리, PHS(poly(4-hydroxystyrene)) 기반의 유기 고분자를 포함할 수 있다. 구체적으로, 상기 포토레지스트 조성물을 준비하는 것은, 치환 또는 비치환된 4-하이드록시스티렌(4-hydroxystyrene) 및 아크릴레이트(acrylate)를 함유하는 혼합물에 중합 반응을 수행하여, 공중합체를 합성하는 것을 포함할 수 있다. 4-하이드록시스티렌 또는 아크릴레이트는, 후술할 탄화수소로 치환될 수 있다. 여기서, 중합 반응 전, 상기 혼합물 내 4-하이드록시스티렌 : 아크릴레이트의 중량비는, 95:5 내지 60:40일 수 있다. 보다 구체적으로, 상기 혼합물 내 4-하이드록시스티렌 : 아크릴레이트의 중량비는 90:10 내지 80:20일 수 있다.Here, the photoresist composition may include an organic polymer based on poly(4-hydroxystyrene) (PHS), unlike the photoresist composition described above with reference to FIG. 7 . Specifically, preparing the photoresist composition involves performing a polymerization reaction on a mixture containing substituted or unsubstituted 4-hydroxystyrene and acrylate to synthesize a copolymer. may include 4-hydroxystyrene or acrylate may be substituted with a hydrocarbon to be described later. Here, before the polymerization reaction, the weight ratio of 4-hydroxystyrene: acrylate in the mixture may be 95:5 to 60:40. More specifically, the weight ratio of 4-hydroxystyrene: acrylate in the mixture may be 90:10 to 80:20.

합성된 상기 공중합체는 하기 화학식 2 및 화학식 3의 단위들을 포함할 수 있다. 합성된 상기 공중합체는 선택적으로 하기 화학식 4의 단위를 더 포함할 수 있다.The synthesized copolymer may include units of Chemical Formulas 2 and 3 below. The synthesized copolymer may optionally further include a unit represented by the following formula (4).

[화학식 2][Formula 2]

Figure 112017024259150-pat00010
Figure 112017024259150-pat00010

[화학식 3][Formula 3]

Figure 112017024259150-pat00011
Figure 112017024259150-pat00011

[화학식 4] [Formula 4]

Figure 112017024259150-pat00012
Figure 112017024259150-pat00012

상기 화학식 2 내지 화학식 4에서, 상기 R7 내지 R9는 각각 독립적으로 수소, 또는 치환 또는 비치환된 탄소수 1 내지 20의 탄화수소(hydrocarbyl group)일 수 있다. 상기 탄화수소는, 알킬, 알케닐, 알키닐, 사이클로알킬, 알킬로 치환된 사이클로알킬, 아릴, 아랄킬(aralkyl) 및 알칼릴(alkaryl)으로 이루어진 군에서 선택될 수 있다. 상기 탄화수소는 -O-R11로 치환될 수 있다. 상기 R11은 C1-C10알킬, C2-C10알케닐, C2-C10알키닐, C6-C10아릴 또는 C3-C10사이클로알킬이다. 일 예로, 상기 탄화수소는 알콕시로 치환될 수 있다. 상기 탄화수소는, 하나 이상의 알킬 에테르기(alkyl ether group) 또는 알킬렌 옥시기(alkylene oxy group)를 갖는 알킬 에테르(alkyl ether)일 수 있다. 상기 알킬 에테르기는, 에톡시, 프로폭시 및 부톡시로 이루어진 군에서 선택될 수 있다. 상기 p는 1 내지 10의 정수, 상기 q는 1 내지 10의 정수, 및 상기 r은 1 내지 10의 정수일 수 있다. 상기 p의 분율(p/(p+q+r))은 0.4 내지 0.6일 수 있고, 상기 q의 분율(q/(p+q+r))은 0.5 내지 0.2일 수 있고, 상기 r의 분율(r/(p+q+r))은 0.2 내지 0.4일 수 있다. 상기 공중합체는 1,000 내지 100,000의 중량 평균 분자량을 가질 수 있다.In Formulas 2 to 4, R 7 to R 9 may each independently be hydrogen or a substituted or unsubstituted hydrocarbon group having 1 to 20 carbon atoms (hydrocarbyl group). The hydrocarbon may be selected from the group consisting of alkyl, alkenyl, alkynyl, cycloalkyl, cycloalkyl substituted with alkyl, aryl, aralkyl and alkaryl. The hydrocarbon may be substituted with -OR 11 . and R 11 is C1-C10 alkyl, C2-C10 alkenyl, C2-C10 alkynyl, C6-C10 aryl or C3-C10 cycloalkyl. For example, the hydrocarbon may be substituted with alkoxy. The hydrocarbon may be an alkyl ether having one or more alkyl ether groups or alkylene oxy groups. The alkyl ether group may be selected from the group consisting of ethoxy, propoxy and butoxy. Wherein p may be an integer of 1 to 10, q may be an integer of 1 to 10, and r may be an integer of 1 to 10. The fraction of p (p/(p+q+r)) may be 0.4 to 0.6, the fraction of q (q/(p+q+r)) may be 0.5 to 0.2, and the fraction of r (r/(p+q+r)) may be 0.2 to 0.4. The copolymer may have a weight average molecular weight of 1,000 to 100,000.

예를 들어, 상기 공중합체는 하기 화학식 7의 고분자를 포함할 수 있다. For example, the copolymer may include a polymer of Formula 7 below.

[화학식 7][Formula 7]

Figure 112017024259150-pat00013
Figure 112017024259150-pat00013

상기 화학식 7의 P : q : r의 비는 55 : 15 : 30이다. 상기 화학식 7의 공중합체는 15,000의 중량 평균 분자량(Mw)을 가진다. 상기 화학식 7의 공중합체는 프리 라디칼 중합(free radical polymerization)으로 제조될 수 있으나, 이에 제한되지 않는다. 다른 예로, 상기 공중합체는 음이온 중합(anion polymerization)으로 제조될 수 있다.The ratio of P:q:r in Formula 7 is 55:15:30. The copolymer of Formula 7 has a weight average molecular weight (Mw) of 15,000. The copolymer of Formula 7 may be prepared by free radical polymerization, but is not limited thereto. As another example, the copolymer may be prepared by anion polymerization.

상기 포토레지스트 조성물을 준비하는 것은, 합성된 상기 공중합체를 유기용매 내에서 감방사선성 산발생제(radiation-sensitive acid-generating compound), 및 3차 지방족 아민화합물(trialkanolamine)과 혼합하는 것을 포함할 수 있다.Preparing the photoresist composition may include mixing the synthesized copolymer with a radiation-sensitive acid-generating compound and a tertiary aliphatic amine compound in an organic solvent. can

상기 감방사선성 산발생제는 활성광선의 조사에 의해 해리되어 산을 발생하는 화합물일 수 있다. 상기 감방사선성 산발생제는 탄소수 1 내지 10의 플루오로알킬술폰산 이온을 음이온으로서 지닌 오늄염 화합물(onium salt compound)일 수 있다. 일 예로, 상기 감방사선성 산발생제는, 디페닐이오드오니움 트리플루오르메탄슬포네이트 및 노나플루오르부탄슬포네이트(diphenyliodonium trifluoromethanesulfonate and nonafluorobutanesulfonate), 또는 비스(4-터트-부틸페닐)이오드오니움트리플루오르메탄슬포네이트 및 노나플루오르부탄술포네이트(bis(4-tert-butylphenyl)iodonium trifluoromethanesulfonate and nonafluorobutanesulfonate)를 포함할 수 있다.The radiation-sensitive acid generator may be a compound that is dissociated by irradiation with actinic rays to generate an acid. The radiation-sensitive acid generator may be an onium salt compound having a fluoroalkylsulfonic acid ion having 1 to 10 carbon atoms as an anion. For example, the radiation-sensitive acid generator is diphenyliodonium trifluoromethanesulfonate and nonafluorobutanesulfonate (diphenyliodonium trifluoromethanesulfonate and nonafluorobutanesulfonate), or bis (4-tert-butylphenyl) iodine tri fluoromethanesulfonate and nonafluorobutanesulfonate (bis(4-tert-butylphenyl)iodonium trifluoromethanesulfonate and nonafluorobutanesulfonate).

상기 3차 지방족 아민화합물은, 활성광선을 노광한 후에 포토레지스트 패턴의 단면 프로파일을 향상시키고 이의 안정성을 향상시킬 수 있다. 일 예로, 상기 3차 지방족 아민화합물은 트리메틸아민, 트리에틸아민, 트리-n-프로필아민, 트리이소프로필아민, 트리-n-부틸아민, 트리이소부틸아민, 트리-tert-부틸아민, 트리펜틸아민, 트리에탄올아민, 트리부탄올아민, 또는 이들의 조합을 포함할 수 있다.The tertiary aliphatic amine compound may improve the cross-sectional profile of the photoresist pattern after exposure to actinic rays and improve stability thereof. For example, the tertiary aliphatic amine compound is trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-tert-butylamine, tripentylamine amine, triethanolamine, tributanolamine, or combinations thereof.

상기 포토레지스트 조성물 내에서, 상기 공중합체 100 중량부에 대하여 상기 감방사선성 산발생제는 1 내지 10중량부일 수 있고, 상기 3차 지방족 아민화합물은 0.01 내지 1중량부일 수 있다.In the photoresist composition, the amount of the radiation-sensitive acid generator may be 1 to 10 parts by weight, and the tertiary aliphatic amine compound may be 0.01 to 1 part by weight based on 100 parts by weight of the copolymer.

그 외 포토레지스트 막의 성능을 개선하기 위해서, 상기 포토레지스트 조성물에 부가적 수지(auxiliary resin), 가소제, 안정제, 착색제 및 계면활성제 등을 더 첨가할 수 있다.In addition, in order to improve the performance of the photoresist film, an auxiliary resin, a plasticizer, a stabilizer, a colorant and a surfactant may be further added to the photoresist composition.

도 2 및 도 25를 참조하면, 상기 제3 포토레지스트 패턴(PR3)을 식각 마스크로 상기 제2 콘택 영역(CTR2)의 최상층의 상기 절연막(110) 및 최상층의 상기 제2 희생막(HL2)을 순차적으로 식각할 수 있다. 식각된 상기 절연막(110) 및 식각된 상기 제2 희생막(HL2)은, 이들 아래의 다른 절연막(110) 및 다른 제2 희생막(HL2)을 노출시킬 수 있다.2 and 25 , the insulating layer 110 of the uppermost layer and the second sacrificial layer HL2 of the uppermost layer of the second contact region CTR2 are formed using the third photoresist pattern PR3 as an etch mask. It can be etched sequentially. The etched insulating layer 110 and the etched second sacrificial layer HL2 may expose the other insulating layer 110 and the other second sacrificial layer HL2 below them.

도 2 및 도 26을 참조하면, 상기 제3 포토레지스트 패턴(PR3)에 대하여 트리밍 공정이 수행될 수 있다. 즉, 상기 제3 포토레지스트 패턴(PR3)에 대하여 등방성 식각 공정이 수행될 수 있다. 이로써 상기 제3 포토레지스트 패턴(PR3)의 폭 및 높이가 줄어들 수 있다. 구체적으로, 상기 트리밍 공정 동안, 상기 제3 포토레지스트 패턴(PR3)의 폭은 제3 길이(T3)만큼 줄어들 수 있고 높이는 제4 길이(T4)만큼 줄어들 수 있다.2 and 26 , a trimming process may be performed on the third photoresist pattern PR3 . That is, an isotropic etching process may be performed on the third photoresist pattern PR3 . Accordingly, the width and height of the third photoresist pattern PR3 may be reduced. Specifically, during the trimming process, the width of the third photoresist pattern PR3 may be reduced by the third length T3 and the height may be reduced by the fourth length T4 .

상기 트리밍 공정은, 상기 제3 포토레지스트 패턴(PR3)을 선택적으로 제거할 수 있는 식각액을 이용하여 수행될 수 있다. 한편, 본 발명의 실시예들에 따른 PHS 기반의 상기 포토레지스트 조성물을 이용할 경우, 상기 제3 포토레지스트 패턴(PR3)의 높이가 줄어드는 것을 최소화할 수 있다. 구체적으로, 상기 트리밍 공정 동안 줄어든 상기 제4 길이(T4)는, 상기 제3 길이(T3)보다 크고 상기 제3 길이(T3)의 1.5배보다 작을 수 있다. 이는 앞서 도 10을 참조하여 설명한 상기 제1 하부 패턴(UL1)의 트리밍 공정 결과와 유사할 수 있다.The trimming process may be performed using an etchant capable of selectively removing the third photoresist pattern PR3 . Meanwhile, when the PHS-based photoresist composition according to the embodiments of the present invention is used, a decrease in the height of the third photoresist pattern PR3 may be minimized. Specifically, the fourth length T4 reduced during the trimming process may be greater than the third length T3 and less than 1.5 times the third length T3 . This may be similar to the result of the trimming process of the first lower pattern UL1 described above with reference to FIG. 10 .

앞서 도 25 및 도 26을 참조하여 설명한 단계들은, 상기 제2 콘택 영역(CTR2)의 측벽을 계단식 구조로 형성하기 위한 하나의 사이클을 구성할 수 있다. 이어서, 상기 제2 콘택 영역(CTR2)의 최하층의 상기 절연막(110) 및 상기 제2 희생막(HL2)이 식각될 때까지 상기 사이클을 반복할 수 있다. 이후, 앞서 도 14 내지 도 23에서 설명한 것과 동일한 공정이 수행될 수 있다.The steps described above with reference to FIGS. 25 and 26 may constitute one cycle for forming the sidewall of the second contact region CTR2 in a stepped structure. Subsequently, the cycle may be repeated until the insulating layer 110 and the second sacrificial layer HL2 of the lowermost layer of the second contact region CTR2 are etched. Thereafter, the same process as described above with reference to FIGS. 14 to 23 may be performed.

본 실시예에 따른 PHS 기반의 제3 포토레지스트 패턴(PR3)을 이용하는 경우, 추가적인 하부막 없이도 상기 제2 콘택 영역(CTR2)의 측벽을 계단식 구조로 형성할 수 있다. 따라서, 보다 효율적인 공정을 달성할 수 있다. 다만, 이어서 상기 제1 콘택 영역(CTR1)의 측벽에 계단식 구조를 형성할 때에는, 앞서 설명한 포토레지스트 패턴과 하부막의 이중막 공정을 이용할 수 있다. 이는, 상기 제2 콘택 영역(CTR2)의 단차진 구조로 인해 패턴의 산포가 불량할 수 있는데, 상기 조합 공정은 패턴 산포를 개선시킬 수 있기 때문이다.When the PHS-based third photoresist pattern PR3 according to the present embodiment is used, the sidewall of the second contact region CTR2 may be formed in a stepped structure without an additional lower layer. Accordingly, a more efficient process can be achieved. However, when the stepwise structure is subsequently formed on the sidewall of the first contact region CTR1 , the above-described double layer process of the photoresist pattern and the lower layer may be used. This is because pattern distribution may be poor due to the stepped structure of the second contact region CTR2, and the combination process may improve pattern distribution.

Claims (10)

기판 상에 교대로 그리고 반복적으로 적층된 절연막들 및 희생막들을 포함하는 적층 구조체를 형성하는 것;
상기 적층 구조체 상에, 제1 하부막 및 제1 포토레지스트 패턴을 형성하는 것;
상기 제1 포토레지스트 패턴을 마스크로 상기 제1 하부막을 식각하여, 제1 하부 패턴을 형성하는 것; 및
상기 제1 하부 패턴을 마스크로 상기 적층 구조체의 일단을 식각하여, 상기 일단을 계단 형태로 형성하는 것을 포함하되,
상기 제1 하부막은 노볼락(novolac) 기반의 유기 고분자를 포함하며,
상기 제1 포토레지스트 패턴은, 하기 화학식 5의 단위를 포함하는 고분자를 포함하고,
[화학식 5]
Figure 112021132812352-pat00045

상기 화학식 5에서, 상기 R10은 수소, C1-C10알킬, C1-C10알케닐, C1-C10알키닐, C6-C10아릴, 아다만틸(adamantyl), C1-C5알킬-아다만틸, 또는 C2-C6락톤이고,
상기 t는 1 내지 10의 정수이며,
상기 실리콘을 함유하는 고분자는 1,000 내지 100,000의 중량 평균 분자량을 가지는 반도체 소자의 제조 방법.
forming a laminate structure including insulating films and sacrificial films alternately and repeatedly laminated on a substrate;
forming a first lower layer and a first photoresist pattern on the laminate structure;
forming a first lower pattern by etching the first lower layer using the first photoresist pattern as a mask; and
Etching one end of the stacked structure using the first lower pattern as a mask to form the one end in a step shape,
The first lower layer includes a novolac-based organic polymer,
The first photoresist pattern includes a polymer including a unit represented by the following Chemical Formula 5,
[Formula 5]
Figure 112021132812352-pat00045

In Formula 5, R 10 is hydrogen, C1-C10 alkyl, C1-C10 alkenyl, C1-C10 alkynyl, C6-C10 aryl, adamantyl, C1-C5 alkyl-adamantyl, or C2-C6 lactone;
Wherein t is an integer from 1 to 10,
The method of manufacturing a semiconductor device, wherein the silicon-containing polymer has a weight average molecular weight of 1,000 to 100,000.
삭제delete 제1항에 있어서,
상기 제1 포토레지스트 패턴 내의 실리콘은 10 wt% 내지 40 wt%인 반도체 소자의 제조 방법.
According to claim 1,
The method of manufacturing a semiconductor device in which silicon in the first photoresist pattern is 10 wt% to 40 wt%.
제1항에 있어서,
상기 제1 하부막은, 하기 화학식 1의 화합물을 함유하는 가교제를 더 포함하는 반도체 소자의 제조 방법:
[화학식 1]
Figure 112017024259150-pat00015

상기 화학식 1에서, R4OOC(CX2)n-, R5- 및 R6OOC(CX2)m- 중 적어도 2개는 상이한 산 또는 에스터 그룹이고,
R4, R5, R6 및 X는 각각 독립적으로 수소 또는 비-수소 치환기이며,
상기 비-수소 치환기는 치환 또는 비치환된 C1-10알킬, 치환 또는 비치환된 C2-10알케닐 또는 C2-10알키닐, 치환 또는 비치환된 C1-10알카노일, 치환 또는 비치환된 C1-10알콕시, 에폭시, 치환 또는 비치환된 C1-10알킬티오, 치환 또는 비치환된 C1-10알킬설피닐, 치환 또는 비치환된 C1-10알킬설포닐, 치환 또는 비치환된 카복시, 치환 또는 비치환된 -COO-C1-8알킬, 치환 또는 비치환된 C6-12아릴, 또는 치환 또는 비치환된 5원 내지 10원의 헤테로알리사이클릭 또는 헤테로아릴기이고,
n 및 m은 서로 같거나 다르고, 각각 0 보다 큰 정수임.
According to claim 1,
The method of manufacturing a semiconductor device, wherein the first lower layer further comprises a crosslinking agent containing a compound of Formula 1:
[Formula 1]
Figure 112017024259150-pat00015

In Formula 1, at least two of R 4 OOC(CX 2 ) n -, R 5 -, and R 6 OOC(CX 2 ) m - are different acid or ester groups,
R 4 , R 5 , R 6 and X are each independently hydrogen or a non-hydrogen substituent;
The non-hydrogen substituent is substituted or unsubstituted C1-10 alkyl, substituted or unsubstituted C2-10 alkenyl or C2-10 alkynyl, substituted or unsubstituted C1-10 alkanoyl, substituted or unsubstituted C1 -10 alkoxy, epoxy, substituted or unsubstituted C 1-10 alkylthio, substituted or unsubstituted C 1-10 alkylsulfinyl, substituted or unsubstituted C 1-10 alkylsulfonyl, substituted or unsubstituted carboxy, substituted or an unsubstituted -COO-C1-8 alkyl, a substituted or unsubstituted C6-12 aryl, or a substituted or unsubstituted 5-10 membered heteroalicyclic or heteroaryl group;
n and m are equal to or different from each other and each is an integer greater than 0.
제1항에 있어서,
상기 일단을 상기 계단 형태로 형성하는 것은, 하기의 단계들을 하나의 사이클로 하여, 상기 사이클을 반복하는 것을 포함하는 반도체 소자의 제조 방법:
상기 제1 하부 패턴을 마스크로, 상기 제1 하부 패턴에 의해 노출된 적어도 하나의 상기 절연막들을 식각하는 것;
상기 적어도 하나의 절연막들 아래의 적어도 하나의 상기 희생막들을 식각하는 것; 및
상기 제1 하부 패턴을 트리밍하여, 그의 폭 및 높이를 줄이는 것.
According to claim 1,
Forming the one end in the step shape includes repeating the cycle by using the following steps as one cycle:
etching the at least one insulating layer exposed by the first lower pattern using the first lower pattern as a mask;
etching at least one of the sacrificial layers under the at least one insulating layer; and
trimming the first lower pattern to reduce its width and height.
제5항에 있어서,
상기 제1 하부 패턴을 트리밍 하는 것은:
상기 폭을 제1 길이만큼 줄이는 것; 및
상기 높이를 제2 길이만큼 줄이는 것을 포함하되,
상기 제2 길이는, 상기 제1 길이보다 크고 상기 제1 길이의 1.5배보다 작은 반도체 소자의 제조 방법.
6. The method of claim 5,
Trimming the first lower pattern comprises:
reducing the width by a first length; and
reducing the height by a second length;
The second length is greater than the first length and less than 1.5 times the first length.
제5항에 있어서,
상기 사이클은 상기 적층 구조체의 최하층의 절연막 및 희생막이 식각될 때까지 반복되는 반도체 소자의 제조 방법.
6. The method of claim 5,
The cycle is repeated until the insulating layer and the sacrificial layer of the lowermost layer of the stacked structure are etched.
제1항에 있어서,
상기 적층 구조체의 일단은, 셀 어레이 영역과 인접하는 제1 콘택 영역, 및 상기 제1 콘택 영역을 사이에 두고 상기 셀 어레이 영역과 이격된 제2 콘택 영역을 포함하고,
상기 제1 콘택 영역은 상기 제1 하부 패턴에 의해 상기 계단 형태로 형성되며,
상기 제조 방법은:
상기 적층 구조체 상에 노볼락 기반의 유기 고분자를 포함하는 제2 하부 패턴을 형성하는 것; 및
상기 제2 하부 패턴을 마스크로 상기 제2 콘택 영역을 식각하여, 상기 제2 콘택 영역을 계단 형태로 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
According to claim 1,
One end of the stacked structure includes a first contact region adjacent to the cell array region, and a second contact region spaced apart from the cell array region with the first contact region interposed therebetween;
The first contact region is formed in the step shape by the first lower pattern,
The preparation method is:
forming a second lower pattern including a novolak-based organic polymer on the laminate structure; and
and etching the second contact region using the second lower pattern as a mask to form the second contact region in a step shape.
제1항에 있어서,
상기 적층 구조체의 일단은, 셀 어레이 영역과 인접하는 제1 콘택 영역, 및 상기 제1 콘택 영역을 사이에 두고 상기 셀 어레이 영역과 이격된 제2 콘택 영역을 포함하고,
상기 제2 콘택 영역은 상기 제1 하부 패턴에 의해 상기 계단 형태로 형성되며,
상기 제조 방법은:
상기 적층 구조체 상에 제2 포토레지스트 패턴을 형성하는 것; 및
상기 제2 포토레지스트 패턴을 마스크로 상기 제1 콘택 영역을 식각하여, 상기 제1 콘택 영역을 계단 형태로 형성하는 것을 더 포함하되,
상기 제2 포토레지스트 패턴은, 하기 화학식 2 및 화학식 3의 단위들을 포함하며 선택적으로 하기 화학식 4의 단위를 포함하는 공중합체를 함유하는 반도체 소자의 제조 방법:
[화학식 2]
Figure 112017024259150-pat00016

[화학식 3]
Figure 112017024259150-pat00017

[화학식 4]
Figure 112017024259150-pat00018

상기 화학식 2 내지 화학식 4에서, 상기 R7 내지 R9는 각각 독립적으로 수소, 탄소수 1 내지 20의 탄화수소, 또는 -O-R11로 치환된 탄소수 1 내지 20의 탄화수소이고,
상기 R11은 C1-C10알킬, C2-C10알케닐, C2-C10알키닐, C6-C10아릴 또는 C3-C10사이클로알킬이고,
상기 p는 1 내지 10의 정수, 상기 q는 1 내지 10의 정수, 및 상기 r은 1 내지 10의 정수이며,
상기 공중합체는 1,000 내지 100,000의 중량 평균 분자량을 가짐.
According to claim 1,
One end of the stacked structure includes a first contact region adjacent to the cell array region, and a second contact region spaced apart from the cell array region with the first contact region interposed therebetween;
The second contact region is formed in the step shape by the first lower pattern,
The preparation method is:
forming a second photoresist pattern on the laminate structure; and
The method further comprising: etching the first contact region using the second photoresist pattern as a mask to form the first contact region in a step shape;
The second photoresist pattern includes units of Chemical Formulas 2 and 3, and optionally, a method of manufacturing a semiconductor device containing a copolymer including units of Chemical Formula 4:
[Formula 2]
Figure 112017024259150-pat00016

[Formula 3]
Figure 112017024259150-pat00017

[Formula 4]
Figure 112017024259150-pat00018

In Formulas 2 to 4, R 7 to R 9 are each independently hydrogen, a hydrocarbon having 1 to 20 carbon atoms, or a hydrocarbon having 1 to 20 carbon atoms substituted with -OR 11 ,
wherein R 11 is C1-C10 alkyl, C2-C10 alkenyl, C2-C10 alkynyl, C6-C10 aryl or C3-C10 cycloalkyl;
Wherein p is an integer from 1 to 10, q is an integer from 1 to 10, and r is an integer from 1 to 10,
The copolymer has a weight average molecular weight of 1,000 to 100,000.
제1항에 있어서,
상기 적층 구조체의 셀 어레이 영역을 관통하여 상기 기판을 노출하는 채널 홀들을 형성하는 것; 및
각각의 상기 채널 홀들 내에, 그의 내벽을 덮는 게이트 절연막 및 채널 막을 순차적으로 형성하는 것을 더 포함하는 반도체 소자의 제조 방법.
According to claim 1,
forming channel holes exposing the substrate through a cell array region of the stacked structure; and
The method of manufacturing a semiconductor device further comprising sequentially forming a gate insulating film and a channel film covering an inner wall thereof in each of the channel holes.
KR1020170030781A 2017-03-10 2017-03-10 Method for manufacturing semiconductor device KR102391916B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020170030781A KR102391916B1 (en) 2017-03-10 2017-03-10 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170030781A KR102391916B1 (en) 2017-03-10 2017-03-10 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR20180104251A KR20180104251A (en) 2018-09-20
KR102391916B1 true KR102391916B1 (en) 2022-04-29

Family

ID=63719781

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170030781A KR102391916B1 (en) 2017-03-10 2017-03-10 Method for manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR102391916B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114005737A (en) * 2021-10-13 2022-02-01 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007139878A (en) 2005-11-15 2007-06-07 Fujifilm Corp Photosensitive composition, photosensitive film, permanent pattern forming method and pattern
JP2007256666A (en) 2006-03-23 2007-10-04 Nec Lcd Technologies Ltd Substrate processing method and chemical used therefor

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004354417A (en) * 2003-05-27 2004-12-16 Shin Etsu Chem Co Ltd Positive resist material and pattern forming method using the same
KR102190384B1 (en) * 2013-10-14 2020-12-14 삼성전자주식회사 Method of fabricating a semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007139878A (en) 2005-11-15 2007-06-07 Fujifilm Corp Photosensitive composition, photosensitive film, permanent pattern forming method and pattern
JP2007256666A (en) 2006-03-23 2007-10-04 Nec Lcd Technologies Ltd Substrate processing method and chemical used therefor

Also Published As

Publication number Publication date
KR20180104251A (en) 2018-09-20

Similar Documents

Publication Publication Date Title
KR102374049B1 (en) Methods of forming patterns using photoresist
US11825656B2 (en) 3D NAND memory device and method of forming the same
US10153295B2 (en) Nonvolatile memory devices and methods of forming same
US9728499B2 (en) Set of stepped surfaces formation for a multilevel interconnect structure
KR102624619B1 (en) Three-dimensional semiconductor memory devices
US10551738B2 (en) Photoresist compositions, intermediate products, and methods of manufacturing patterned devices and semiconductor devices
CN108364954B (en) Three-dimensional memory device and method of forming epitaxial structure in channel hole thereof
WO2020040835A1 (en) Three-dimensional flat memory device including a dual dipole blocking dielectric layer and methods of making the same
US10345701B2 (en) Photoresist polymers, photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices
KR102591266B1 (en) Three-dimensional memory device including split memory cells and method of forming the same
US11276704B2 (en) Device and method of forming with three-dimensional memory and three-dimensional logic
KR102391916B1 (en) Method for manufacturing semiconductor device
CN108572513B (en) Method for manufacturing semiconductor device
KR20170073911A (en) Photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices
KR102391906B1 (en) Method for manufacturing semiconductor device
US10319735B2 (en) Method for manufacturing semiconductor device
KR20170031302A (en) Method for manufacturing semiconductor device
US20170077138A1 (en) Method for manufacturing semiconductor device
CN108573860B (en) Method for manufacturing semiconductor device
US10381361B2 (en) Method for manufacturing semiconductor device
US20150241771A1 (en) Photoresist compositions and methods of forming patterns using the same
KR102522161B1 (en) Semiconductor device and method for manufacturing the same
WO2016085581A1 (en) Set of Stepped Surfaces Formation for a Multilevel Interconnect Structure
CN114503263A (en) Three-dimensional NAND memory device and method of forming the same
KR20220099137A (en) Method of manufacturing a three dimensional semiconductor memory device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant