KR102383912B1 - Fan-out packages and methods of forming the same - Google Patents

Fan-out packages and methods of forming the same Download PDF

Info

Publication number
KR102383912B1
KR102383912B1 KR1020190098192A KR20190098192A KR102383912B1 KR 102383912 B1 KR102383912 B1 KR 102383912B1 KR 1020190098192 A KR1020190098192 A KR 1020190098192A KR 20190098192 A KR20190098192 A KR 20190098192A KR 102383912 B1 KR102383912 B1 KR 102383912B1
Authority
KR
South Korea
Prior art keywords
interposer
substrate
conductive
package
connectors
Prior art date
Application number
KR1020190098192A
Other languages
Korean (ko)
Other versions
KR20200037066A (en
Inventor
포-하오 짜이
밍-츠 예우
치아-쿠에이 쑤
신-푸 정
포-야오 추앙
멩-리앙 린
신-팅 훙
포-아오 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/371,917 external-priority patent/US11164754B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200037066A publication Critical patent/KR20200037066A/en
Priority to KR1020220041020A priority Critical patent/KR102494297B1/en
Application granted granted Critical
Publication of KR102383912B1 publication Critical patent/KR102383912B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • H01L2224/331Disposition
    • H01L2224/3318Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/33181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/731Location prior to the connecting process
    • H01L2224/73151Location prior to the connecting process on different surfaces
    • H01L2224/73153Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8112Aligning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81385Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81909Post-treatment of the bump connector or bonding area
    • H01L2224/81948Thermal treatments, e.g. annealing, controlled cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83193Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/8385Bonding techniques using a polymer adhesive, e.g. an adhesive based on silicone, epoxy, polyimide, polyester
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92222Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92225Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/107Indirect electrical connections, e.g. via an interposer, a flexible substrate, using TAB
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1094Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/367Cooling facilitated by shape of device
    • H01L23/3677Wire-like or pin-like cooling fins or heat sinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

실시예들은 인터포저의 코어 층 내에 배치된 보강 구조체들을 갖는 인터포저를 형성하는 것을 포함한다. 인터포저는 전기 커넥터들에 의해 패키지 디바이스에 부착될 수 있다. 보강 구조체들은 패키지 디바이스에 강성 및 열 소산을 제공한다. 일부 실시예들은 리세싱된 본드 패드에 대한 인터포저의 상부 코어 층 내에 개구부를 갖는 인터포저를 포함할 수 있다. 일부 실시예들은 인터포저와 패키지 디바이스 사이에 커넥터들을 또한 사용하며, 여기서 인터포저에 접속된 솔더 재료는 패키지 디바이스에 접속된 금속 필라를 둘러싼다.Embodiments include forming an interposer having reinforcing structures disposed within a core layer of the interposer. The interposer may be attached to the packaged device by electrical connectors. The reinforcing structures provide rigidity and heat dissipation to the packaged device. Some embodiments may include an interposer having an opening in the upper core layer of the interposer for a recessed bond pad. Some embodiments also use connectors between the interposer and the package device, wherein the solder material connected to the interposer surrounds a metal pillar connected to the package device.

Description

팬-아웃 패키지 및 그 형성 방법{FAN-OUT PACKAGES AND METHODS OF FORMING THE SAME}FAN-OUT PACKAGES AND METHODS OF FORMING THE SAME

<우선권 주장 및 상호 참조><Priority claim and cross-reference>

본 출원은 2018년 9월 28일자로 출원된 미국 가출원 제62/738,918호의 이익을 주장하고, 이 미국 출원은 이로써 본 명세서에 참고로 포함된다.This application claims the benefit of U.S. Provisional Application No. 62/738,918, filed September 28, 2018, which U.S. application is hereby incorporated by reference herein.

<배경><background>

반도체 산업은 각종의 전자 컴포넌트들(예컨대, 트랜지스터들, 다이오드들, 저항기들, 커패시터들 등) 집적 밀도의 지속적인 개선들로 인해 급속한 성장을 경험하였다. 대부분의 경우, 집적 밀도의 개선은 최소 피처 크기의 반복적 감소로부터 결과되었으며, 이는 보다 많은 컴포넌트들이 주어진 영역에 집적될 수 있게 해준다. 전자 디바이스들을 축소시키는 것에 대한 요구가 커짐에 따라, 반도체 다이들의 보다 작고 보다 창의적인 패키징 기술들에 대한 필요성이 대두되었다. 그러한 패키징 시스템들의 일 예는 패키지-온-패키지(Package-on-Package)(PoP) 기술이다. PoP 디바이스에서, 높은 레벨의 집적 및 컴포넌트 밀도를 제공하기 위해 상부 반도체 패키지(top semiconductor package)가 하부 반도체 패키지(bottom semiconductor package) 위에 적층된다. PoP 기술은 일반적으로 향상된 기능들 및 작은 풋프린트들을 갖는 반도체 디바이스들을 인쇄 회로 보드(PCB) 상에 생성하는 것을 가능하게 해준다.The semiconductor industry has experienced rapid growth due to continuous improvements in the integration density of various electronic components (eg, transistors, diodes, resistors, capacitors, etc.). In most cases, improvements in integration density have resulted from iterative reductions in the minimum feature size, which allows more components to be integrated in a given area. The growing demand for shrinking electronic devices has created a need for smaller and more creative packaging techniques of semiconductor dies. One example of such packaging systems is Package-on-Package (PoP) technology. In a PoP device, a top semiconductor package is stacked over a bottom semiconductor package to provide a high level of integration and component density. PoP technology generally makes it possible to create semiconductor devices on a printed circuit board (PCB) with improved functions and small footprints.

본 개시내용의 양태들은 첨부 도면들과 함께 읽을 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 산업계에서의 표준 관행에 따라, 다양한 피처들이 축척대로 그려져 있지 않음에 유의해야 한다. 실제로, 다양한 피처들의 치수들은 논의의 명확성을 위해 임의적으로 증가되거나 감소될 수 있다.
도 1 내지 도 13은, 일부 실시예들에 따른, 인터포저를 형성하는 공정들에서의 다양한 중간 단계들을 예시하고 있다.
도 14 내지 도 30은, 일부 실시예들에 따른, 인터포저를 형성하는 공정들에서의 다양한 중간 단계들을 예시하고 있다.
도 31 내지 도 35는, 일부 실시예들에 따른, 팬-아웃 하부 패키지를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다.
도 36 내지 도 45는, 일부 실시예들에 따른, 팬-아웃 하부 패키지 및 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다.
도 46 및 도 47은 일부 실시예들에 따른, 인터포저를 갖지 않지만, 금속 필라(metal pillar)를 둘러싸는 커넥터들을 사용하여 서로 부착되는(attached) 팬-아웃 하부 패키지와 제2 디바이스를 포함하는 패키지의 뷰들을 예시하고 있다.
도 48 내지 도 50은, 일부 실시예들에 따른, 접착제가 그 사이에 형성되는 팬-아웃 하부 패키지와 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다.
도 51 내지 도 54는, 일부 실시예들에 따른, 몰딩 컴파운드(molding compound)가 그 상에 이전에 형성되는 팬-아웃 하부 패키지 및 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다.
도 55 내지 도 70은, 일부 실시예들에 따른, 팬-아웃 하부 패키지와, 캐비티 또는 스루홀이 그 내에 형성되는, 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다.
도 71 내지 도 79는, 일부 실시예들에 따른, 팬-아웃 하부 패키지와, 리세싱된 본드 패드가 그 내에 형성된 상부 코어 층(upper core layer)을 갖는, 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. Indeed, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.
1-13 illustrate various intermediate steps in processes of forming an interposer, in accordance with some embodiments.
14-30 illustrate various intermediate steps in processes of forming an interposer, in accordance with some embodiments.
31-35 illustrate various intermediate steps in the process of forming a fan-out bottom package, in accordance with some embodiments.
36-45 illustrate various intermediate steps in a process of forming a package structure including a fan-out bottom package and an interposer, in accordance with some embodiments.
46 and 47 include a fan-out bottom package and a second device without an interposer, but attached to each other using connectors surrounding a metal pillar, in accordance with some embodiments. I'm exemplifying the views of the package.
48-50 illustrate various intermediate steps in a process of forming a package structure including an interposer and a fan-out bottom package with an adhesive formed therebetween, in accordance with some embodiments.
51-54 illustrate various intermediate steps in a process of forming a package structure including an interposer and a fan-out underlying package on which a molding compound is previously formed, in accordance with some embodiments. are exemplifying
55-70 illustrate various intermediate steps in a process of forming a package structure including a fan-out bottom package and an interposer having a cavity or through-hole formed therein, in accordance with some embodiments; there is.
71-79 form a package structure including an interposer having a fan-out bottom package and an upper core layer having a recessed bond pad formed therein, in accordance with some embodiments; Various intermediate steps in the process are illustrated.

하기의 개시내용은 본 발명의 상이한 특징들을 구현하기 위한 많은 상이한 실시예들, 또는 예들을 제공한다. 본 개시내용을 단순화하기 위해 컴포넌트들 및 배열들의 특정 예들이 아래에서 설명된다. 이들은, 물론, 예들에 불과하고 제한하는 것으로 의도되지 않는다. 예를 들어, 하기의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처를 형성하는 것은 제1 피처와 제2 피처가 직접 접촉하게 형성되는 실시예들을 포함할 수 있으며, 제1 피처와 제2 피처가 직접 접촉하지 않을 수 있도록, 제1 피처와 제2 피처 사이에 부가의 피처들이 형성될 수 있는 실시예들을 또한 포함할 수 있다. 그에 부가하여, 본 개시내용은 다양한 예들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간단함 및 명확함을 위한 것이며, 그 자체가 논의되는 다양한 실시예들 및/또는 구성들 간의 관계에 영향을 미치지 않는다.The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These, of course, are merely examples and are not intended to be limiting. For example, in the description below, forming a first feature over or on a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, wherein the first feature and the second feature are formed in direct contact. Embodiments may also include wherein additional features may be formed between the first and second features, such that the second feature may not be in direct contact. In addition, this disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the sake of simplicity and clarity, and in itself does not affect the relationship between the various embodiments and/or configurations being discussed.

게다가, "아래쪽에(beneath)", "아래에(below)", "하부(lower)", "위에(above)" "상부(upper)" 및 이와 유사한 것과 같은, 공간 관계어들(spatially relative terms)은, 본 명세서에서 설명의 편의상, 도면들에 예시된 바와 같이 하나의 요소 또는 피처의 다른 요소(들) 또는 피처(들)에 대한 관계를 설명하는 데 사용될 수 있다. 공간 관계어들은 도면들에 묘사된 배향에 부가하여 사용 또는 동작 중인 디바이스의 상이한 배향들을 포괄하도록 의도된다. 이 장치는 다른 방식으로 배향될 수 있고(90도 또는 다른 배향들로 회전될 수 있고), 본 명세서에서 사용되는 공간 관계 기술어들(spatially relative descriptors)도 마찬가지로 그에 따라 해석될 수 있다.Furthermore, spatially relative words, such as "beneath," "below," "lower," "above," "upper," and the like. terms) may be used herein to describe the relationship of one element or feature to another element(s) or feature(s), as illustrated in the drawings, for convenience of description. Spatial relative terms are intended to encompass different orientations of a device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations), and the spatially relative descriptors used herein may likewise be interpreted accordingly.

일부 실시예들은, 다이를 포함하고 인터포저가 그에 부착된, 팬-아웃 하부 패키지를 포함한다. 인터포저는 인터포저의 코어 층을 관통하여 배치된 보강 구조체들(reinforcing structures)을 포함할 수 있다. 보강 구조체들은 지지력(support), 강성, 및 열 소산(thermal dissipation)을 제공하는 데 도움을 줄 수 있다. 보강 구조체들을 갖는 인터포저의 부가된 강성으로 인해 패키지 핸들링 위험들이 감소될 수 있다. 또한, 패키지 뒤틀림(package warpage)은 인터포저에 의해 제공되는 지지력을 사용하여 보다 잘 제어될 수 있어, 보다 양호한 DRAM(Dynamic Random Access Memory) 또는 SMT(surface mount technology) 접합 윈도(joint window)를 제공할 수 있다. 일부 실시예들에서, 인터포저는 그 내에 배치된 캐비티 또는 스루홀을 가질 수 있고, 캐비티 또는 스루홀은 팬-아웃 하부 패키지의 다이에 정렬되고, 다이는 캐비티 또는 스루홀 내에 적어도 부분적으로 배치되어, 패키지의 전체 두께를 감소시킬 수 있다. 일부 실시예들에서, 인터포저와 팬-아웃 하부 패키지의 다이 사이에 접착제가 사용될 수 있다.Some embodiments include a fan-out bottom package comprising a die and an interposer attached thereto. The interposer may include reinforcing structures disposed through a core layer of the interposer. Reinforcing structures can help provide support, stiffness, and thermal dissipation. Package handling risks may be reduced due to the added stiffness of the interposer with reinforcing structures. Additionally, package warpage can be better controlled using the support provided by the interposer, providing a better dynamic random access memory (DRAM) or surface mount technology (SMT) joint window. can do. In some embodiments, the interposer may have a cavity or through hole disposed therein, the cavity or through hole aligned to a die of the fan-out lower package, the die disposed at least partially within the cavity or through hole , can reduce the overall thickness of the package. In some embodiments, an adhesive may be used between the interposer and the die of the fan-out bottom package.

일부 실시예들에서, 인터포저는 제1 코어 층 위에 배치된 제2 코어 층을 가질 수 있으며, 리세싱된 본드 패드들이 제1 코어 층과 제2 코어 층 사이에 배치될 수 있다. 리세싱된 본드 패드들은 제2 코어 층을 통해 노출되어 위에 놓이는(overlying) 디바이스 또는 패키지에 대한 커넥터를 위한 딥 리세스(deep recess)를 제공하며, 그로써 전체 패키지 높이를 감소시킨다. 리세싱된 본드 패드들은 위에 놓이는 디바이스에 대한 양호한 정렬을 또한 제공한다. 일부 실시예들에서, 인터포저는 제2 코어 층 및 코어 층들 중 하나 또는 둘 다 내에 배치된 보강 구조체들을 가질 수 있다. 일부 실시예들에서, 인터포저는 그 내에 배치된 캐비티 또는 스루홀을 가질 수 있고, 캐비티 또는 스루홀은 팬-아웃 하부 패키지의 다이에 정렬되고, 다이는 캐비티 또는 스루홀 내에 적어도 부분적으로 배치될 수 있다.In some embodiments, the interposer may have a second core layer disposed over the first core layer, and recessed bond pads may be disposed between the first core layer and the second core layer. Recessed bond pads are exposed through the second core layer to provide a deep recess for a connector to an overlying device or package, thereby reducing overall package height. Recessed bond pads also provide good alignment to the overlying device. In some embodiments, the interposer may have a second core layer and reinforcing structures disposed within one or both of the core layers. In some embodiments, the interposer may have a cavity or through hole disposed therein, the cavity or through hole being aligned with a die of the fan-out lower package, the die being disposed at least partially within the cavity or through hole can

일부 실시예들에서, 팬-아웃 하부 패키지와, 인터포저 또는 제2 디바이스와 같은, 위에 놓이는 상부 패키지 사이에 계단형(stepped) 본드 패드가 사용될 수 있다. 위에 놓이는 상부 패키지의 뒤틀림으로 인해 그렇지 않았으면 균열(cracking)을 겪을지도 모르는 계단형 본드 패드는 향상되고 견고한 접합 신뢰성(joint reliability)을 제공한다. 계단형 본드 패드는 커넥터들 사이의 감소된 피치를 위해 미세 피치(fine pitch) 공정들을 또한 지원한다. 계단형 본드 패드는 팬-아웃 하부 패키지와 위에 놓이는 상부 패키지 사이에 제어된 접합 스탠드오프(controlled joint standoff)를 또한 제공한다. 계단형 본드 패드는 위에 놓이는 상부 패키지를 본딩하는 데 양호한 자기 정렬을 또한 제공한다. 계단형 본드 패드는 본 명세서에서 논의된 인터포저들 중 임의의 것을 포함한, 본 명세서에 설명된 다른 실시예들 중 임의의 것과 함께 사용될 수 있다. 계단형 본드 패드는, 인터포저를 포함하지 않고, 위에 놓이는 패키지가 팬-아웃 하부 패키지에 본딩되는 디바이스 패키지인, 실시예들에서 사용될 수 있다.In some embodiments, a stepped bond pad may be used between the fan-out bottom package and an overlying top package, such as an interposer or second device. Stepped bond pads, which may otherwise suffer cracking due to distortion of the overlying top package, provide improved and solid joint reliability. The stepped bond pad also supports fine pitch processes for reduced pitch between connectors. The stepped bond pad also provides a controlled joint standoff between the fan-out bottom package and the overlying top package. The stepped bond pads also provide good self-alignment for bonding the overlying top package. The stepped bond pad may be used with any of the other embodiments described herein, including any of the interposers discussed herein. A stepped bond pad may be used in embodiments where the overlying package is a device package that does not include an interposer and is bonded to a fan-out underlying package.

이러한 실시예들은 첨부 도면들의 설명을 사용하여 상세히 논의될 것이다. 그렇지만, 그러한 조합들이 명시적으로 개시되지 않는 경우들에도, 본 명세서에서 상세하게 논의되는 실시예들 각각의 특징들이 임의의 적당한 방식으로 조합될 수 있음이 이해되어야 한다.These embodiments will be discussed in detail using the description of the accompanying drawings. It should be understood, however, that features of each of the embodiments discussed in detail herein may be combined in any suitable manner, even where such combinations are not explicitly disclosed.

도 1 내지 도 30은 일부 실시예들에 따른, 인터포저 기판(100)(도 1 내지 도 13) 또는 인터포저 기판(200)(도 14 내지 도 30)을 형성하기 위한 공정의 중간 단계들의 단면도들을 예시하고 있다. 인터포저 기판(100)은 아래에서 추가로 설명되는 바와 같이 하나의 코어 층을 포함하고 인터포저 기판(200)은 아래에서 추가로 설명되는 바와 같이 하나 초과의 코어 층을 포함한다. 비록 하나의 인터포저 기판(100)의 형성이, 예를 들어, 도 1 내지 도 12에 도시되어 있고, 하나의 인터포저 기판(200)의 형성이, 예를 들어, 도 13 내지 도 29에 도시되어 있지만, 다수의 인터포저 기판들(100) 또는 다수의 인터포저 기판들(200)이 동일한 웨이퍼 또는 기판을 사용하여 동시에 형성될 수 있고, 후속하여 개개의 인터포저 기판들(100) 또는 인터포저 기판들(200)을 형성하도록 싱귤레이팅될(singulated) 수 있다.1-30 are cross-sectional views of intermediate steps in a process for forming interposer substrate 100 ( FIGS. 1-13 ) or interposer substrate 200 ( FIGS. 14-30 ), in accordance with some embodiments. are exemplifying Interposer substrate 100 includes one core layer as further described below and interposer substrate 200 includes more than one core layer as further described below. Although the formation of one interposer substrate 100 is shown, for example, in FIGS. 1 to 12 , and the formation of one interposer substrate 200 is shown, for example, in FIGS. 13 to 29 . However, a plurality of interposer substrates 100 or a plurality of interposer substrates 200 may be simultaneously formed using the same wafer or substrate, and subsequently individual interposer substrates 100 or interposer substrates may be formed. It may be singulated to form substrates 200 .

도 1 내지 도 13은 인터포저 기판(100)을 형성하기 위한 공정의 중간 단계들의 단면도들을 예시하고 있다. 도 1에서, 캐리어 기판(102)이 제공되고, 이형 층(release layer)(104)은 캐리어 기판(102) 상에 형성된다. 캐리어 기판(102)은 유리 캐리어 기판, 세라믹 캐리어 기판, 또는 이와 유사한 것일 수 있다. 다수의 패키지들이 캐리어 기판(102) 상에 동시에 형성될 수 있도록, 캐리어 기판(102)은 웨이퍼일 수 있다. 이형 층(104)은, 후속 단계들에서 형성될 위에 놓이는 구조체들로부터 캐리어 기판(102)과 함께 제거될 수 있는, 폴리머계 재료(polymer-based material)로 형성될 수 있다. 일부 실시예들에서, 이형 층(104)은, 광열 변환(light-to-heat-conversion)(LTHC) 이형 코팅과 같은, 가열될 때 자신의 접착 특성을 상실하는, 에폭시계 열 방출 재료(epoxy-based thermal-release material)이다. 다른 실시예들에서, 이형 층(104)은, UV 광에 노출될 때 자신의 접착 특성을 상실하는, 자외선(UV) 글루(glue)일 수 있다. 이형 층(104)은 액체로서 디스펜싱되고(dispensed) 경화될 수 있거나, 캐리어 기판(102) 상에 라미네이트된 라미네이트 막(laminate film)일 수 있거나, 이와 유사한 것일 수 있다. 이형 층(104)의 상부 표면(top surface)은 레벨링될(leveled) 수 있다.1-13 illustrate cross-sectional views of intermediate steps of a process for forming the interposer substrate 100 . 1 , a carrier substrate 102 is provided, and a release layer 104 is formed on the carrier substrate 102 . The carrier substrate 102 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 102 may be a wafer such that multiple packages may be simultaneously formed on the carrier substrate 102 . The release layer 104 may be formed of a polymer-based material, which may be removed along with the carrier substrate 102 from overlying structures to be formed in subsequent steps. In some embodiments, the release layer 104 is an epoxy-based heat dissipating material that loses its adhesive properties when heated, such as a light-to-heat-conversion (LTHC) release coating. -based thermal-release material). In other embodiments, the release layer 104 may be an ultraviolet (UV) glue, which loses its adhesive properties when exposed to UV light. The release layer 104 may be dispensed as a liquid and cured, may be a laminate film laminated onto the carrier substrate 102 , or the like. A top surface of the release layer 104 may be leveled.

도전성 층(105)은 이형 층(104) 위에 형성될 수 있다. 도전성 층(105)은 구리, 티타늄, 니켈, 알루미늄, 이들의 조성물들, 또는 이와 유사한 것의 하나 이상의 층일 수 있고, 포일(foil), 화학적 기상 퇴적(CVD), 물리적 기상 퇴적(PVD) 등에 의해서와 같이, 임의의 적절한 공정을 사용하여 형성될 수 있다.A conductive layer 105 may be formed over the release layer 104 . Conductive layer 105 may be one or more layers of copper, titanium, nickel, aluminum, compositions thereof, or the like, and may be formed by foil, chemical vapor deposition (CVD), physical vapor deposition (PVD), and the like. As such, it may be formed using any suitable process.

이제 도 2를 참조하면, 도전성 층(105)은 도전성 라인들(106)의 도전성 패턴을 형성하기 위해 허용가능한 포토리소그래피 기술들을 사용하여 패터닝될 수 있다. 예를 들어, 도전성 층(105) 위에 포토레지스트가 퇴적되고, 도전성 패턴의 네거티브(negative)를 노광시키기 위해 포토레지스트가 현상될 수 있으며, 도전성 층(105)의 노광된 부분들이 허용가능한 에칭 기술에 의해 제거될 수 있다. 도전성 라인들(106)의 도전성 패턴은 후속하여 형성된 인터포저 코어 층의 표면에 걸쳐, 예를 들어, 하나의 비아로부터 코어 층을 통해 코어 층 내의 다른 비아로, 신호, 전력, 및/또는 접지 라인들을 라우팅할 수 있다.Referring now to FIG. 2 , conductive layer 105 may be patterned using acceptable photolithography techniques to form a conductive pattern of conductive lines 106 . For example, a photoresist may be deposited over the conductive layer 105 , the photoresist may be developed to expose a negative of the conductive pattern, and the exposed portions of the conductive layer 105 may be subjected to an acceptable etching technique. can be removed by A conductive pattern of conductive lines 106 may be applied across the surface of the subsequently formed interposer core layer, eg, from one via through the core layer to another via in the core layer, a signal, power, and/or ground line. can be routed.

일부 실시예들에서, 도전성 라인들(106)의 도전성 패턴을 형성하는 공정은, 도 32와 관련하여 아래에서 논의되는 재배선 구조체(redistribution structure)(306)와 같은, 재배선 구조체를 형성하기 위해 여러 번 반복될 수 있다. 그러한 실시예들에서, 재배선 구조체(306)와 관련하여 아래에서 논의되는 바와 같이, 유전체 층들은 도전성 라인들(106)의 상이한 층들을 분리시키는 데 사용될 수 있다.In some embodiments, the process of forming the conductive pattern of the conductive lines 106 is performed to form a redistribution structure, such as a redistribution structure 306 discussed below with respect to FIG. 32 . It can be repeated several times. In such embodiments, as discussed below with respect to redistribution structure 306 , dielectric layers may be used to isolate different layers of conductive lines 106 .

도 3을 참조하면, 하나 이상의 기판 코어가 도전성 라인들(106) 위에 형성된다. 참조의 편의를 위해, 이들은 집합적으로 기판 코어(110)라고 지칭될 것이다. 기판 코어(110)는 미리 함침된 복합 섬유(pre-impregnated composite fiber)("프리프레그(prepreg)"), 절연 필름(insulating film) 또는 빌드업 필름(build-up film), 종이, 유리 섬유, 부직 유리 직물(non-woven glass fabric), 실리콘, 또는 이와 유사한 것으로 형성될 수 있다. 일부 실시예들에서, 기판 코어(110)는 유리 섬유와 수지(resin)를 포함한 프리프레그로 형성된다. 일부 실시예들에서, 기판 코어(110)는 구리 피복된(copper-clad) 에폭시 함침된 유리 천 라미네이트(copper-clad epoxy-impregnated glass-cloth laminate), 구리 피복된 폴리이미드 함침된 유리 천 라미네이트(copper-clad polyimide-impregnated glass-cloth laminate), 또는 이와 유사한 것일 수 있다. 기판 코어(110)는, 약 100 μm와 같은, 20 μm 내지 약 200 μm의 두께 T1을 가질 수 있지만, 다른 두께들이 고려되고 사용될 수 있다. 기판 코어(110)는 몇 개의 구별되는 층들로 이루어질 수 있다.Referring to FIG. 3 , one or more substrate cores are formed over conductive lines 106 . For convenience of reference, these will be referred to collectively as the substrate core 110 . The substrate core 110 may be formed of a pre-impregnated composite fiber (“prepreg”), an insulating film or build-up film, paper, glass fiber, It may be formed of a non-woven glass fabric, silicone, or the like. In some embodiments, the substrate core 110 is formed of a prepreg including glass fibers and a resin. In some embodiments, the substrate core 110 is formed of a copper-clad epoxy-impregnated glass-cloth laminate, a copper-clad polyimide impregnated glass-cloth laminate; copper-clad polyimide-impregnated glass-cloth laminate), or the like. The substrate core 110 may have a thickness T 1 of from 20 μm to about 200 μm, such as about 100 μm, although other thicknesses are contemplated and may be used. The substrate core 110 may consist of several distinct layers.

도전성 층(112)은 기판 코어(110) 위에 형성될 수 있다. 도전성 층(112)은 구리, 티타늄, 니켈, 알루미늄, 이들의 조성물들, 또는 이와 유사한 것의 하나 이상의 층일 수 있고, 금속 포일 라미네이션(metal foil lamination), 화학적 기상 퇴적(CVD), 물리적 기상 퇴적(PVD) 등에 의해서와 같이, 임의의 적절한 공정을 사용하여 형성될 수 있다. 일부 실시예들에서, 도전성 층(112)은 기판 코어(110)에 열적으로 라미네이트되는 포일일 수 있다.A conductive layer 112 may be formed over the substrate core 110 . The conductive layer 112 may be one or more layers of copper, titanium, nickel, aluminum, compositions thereof, or the like, and may include metal foil lamination, chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. ) can be formed using any suitable process, such as by In some embodiments, conductive layer 112 may be a foil that is thermally laminated to substrate core 110 .

도 4에서, 개구부들(114)은 도전성 층(112)을 관통하여 기판 코어(110) 내로 형성된다. 일부 실시예들에서, 개구부들(114)은 레이저 드릴링(laser drilling)에 의해 형성된다. 드릴 비트(drill bit)를 사용한 기계적 드릴링(mechanical drilling)과 같은, 다른 공정들이 개구부들(114)을 형성하는 데 또한 사용될 수 있다. 임의의 다른 적당한 공정이 개구부들(114)을 형성하는 데 사용될 수 있다. 개구부들(114)은, 다각형, 원형, 또는 이와 유사한 것과 같은, 임의의 평면도 형상(top-view shape)을 가질 수 있다. 기판 코어(110)의 제거된 재료로 스미어링되었을(smeared) 수 있는 개구부들(114) 근방의 영역들을 세정하기 위해 세정 공정(cleaning process)이 이어서 수행될 수 있다. 개구부들(114)은, 약 100 μm와 같은, 약 50 μm 내지 약 250 μm의 폭 W1을 가질 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 개구부들(114)은, 약 230 μm와 같은, 100 μm 내지 약 300 μm의 피치 P1을 가지는, 규칙적인 패턴(regular pattern)으로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 개구부들(114)의 폭들 W1은 기판 코어(110)의 상이한 부분들에서 상이할 수 있다. 예를 들어, 도 9는 대응하는 불규칙적인 개구부들(114)로부터 결과되는 불규칙적인 보강 구조체들(122)을 예시하고 있다. 일부 실시예들에서, 도전성 비아들에 비해 후속하여 형성된 보강 구조체들에 대한 개구부들(114)의 패턴은 상이할 수 있다. 일부 실시예들에서, 도전성 비아들에 비해 후속하여 형성된 보강 구조체들에 대한 개구부들(114)은 랜덤할 수 있다.In FIG. 4 , openings 114 are formed through the conductive layer 112 into the substrate core 110 . In some embodiments, the openings 114 are formed by laser drilling. Other processes may also be used to form the openings 114 , such as mechanical drilling using a drill bit. Any other suitable process may be used to form the openings 114 . The openings 114 may have any top-view shape, such as polygonal, circular, or the like. A cleaning process may then be performed to clean areas near the openings 114 that may have been smeared with the removed material of the substrate core 110 . The openings 114 may have a width W 1 of from about 50 μm to about 250 μm, such as about 100 μm, although other values are contemplated and may be used. In some embodiments, the openings 114 may be formed in a regular pattern, with a pitch P 1 of from 100 μm to about 300 μm, such as about 230 μm, although other values are contemplated and can be used In some embodiments, the widths W 1 of the openings 114 may be different in different portions of the substrate core 110 . For example, FIG. 9 illustrates irregular reinforcement structures 122 resulting from corresponding irregular openings 114 . In some embodiments, the pattern of the openings 114 for the subsequently formed reinforcement structures relative to the conductive vias may be different. In some embodiments, the openings 114 for the subsequently formed reinforcement structures relative to the conductive vias may be random.

도 5에서, 도전성 비아들(116)은 개구부들(114) 중 일부에 형성되고 보강 구조체들(120)은 나머지 개구부들(114)에 형성된다. 또한, 도전성 층(112)은 기판 코어(110) 상에 도전성 라인들(113)을 형성하는 데 사용된다.5 , conductive vias 116 are formed in some of the openings 114 and reinforcing structures 120 are formed in the remaining openings 114 . The conductive layer 112 is also used to form conductive lines 113 on the substrate core 110 .

도전성 비아들(116) 및 도전성 라인들(113)과 관련하여, 도전성 비아들(116)은 구리, 티타늄, 텅스텐, 알루미늄, 또는 이와 유사한 것과 같은 도전성 재료로 형성될 수 있다. 일부 실시예들에서, 도전성 비아들(116) 및 도전성 라인들(113)은 동일한 재료 또는 상이한 재료들로 형성될 수 있고, 동일한 공정 또는 상이한 공정들에 의해 형성될 수 있다. 다른 실시예들에서, 도전성 비아들(116)은 제1 공정으로 형성되고 도전성 라인들(113)은 제2 공정으로 형성된다.With respect to conductive vias 116 and conductive lines 113 , conductive vias 116 may be formed of a conductive material such as copper, titanium, tungsten, aluminum, or the like. In some embodiments, conductive vias 116 and conductive lines 113 may be formed of the same material or different materials, and may be formed by the same process or different processes. In other embodiments, the conductive vias 116 are formed by a first process and the conductive lines 113 are formed by a second process.

보강 구조체들(120)과 관련하여, 일부 실시예들에서, 보강 구조체들(120)은 도전성 비아들(116)과 동일한 또는 상이한 공정에서 형성될 수 있다. 보강 구조체들(120)이 도전성 비아들(116)과 동일한 공정에서 형성되는 실시예들에서, 보강 구조체들(120) 및 도전성 비아들(116)이 동일한 도전성 재료로 형성될 수 있지만, 보강 구조체들(120)의 도전성 재료는 커플링되지 않고 전기적으로 플로팅된다(electrically floats). 보강 구조체들(120)이 도전성 비아들(116)과 상이한 공정에서 형성되는 실시예들에서, 보강 구조체들(120)은 도전성 비아들(116)과 동일한 또는 상이한 재료들을 사용하여 형성될 수 있다. 그러한 실시예들에서, 도전성 비아들(116) 또는 보강 구조체들(120) 중 어느 하나가 먼저 형성될 수 있다.With respect to the reinforcing structures 120 , in some embodiments, the reinforcing structures 120 may be formed in the same or a different process as the conductive vias 116 . In embodiments where the reinforcing structures 120 are formed in the same process as the conductive vias 116 , the reinforcing structures 120 and the conductive vias 116 may be formed of the same conductive material, although the reinforcing structures The conductive material at 120 is uncoupled and electrically floats. In embodiments where the reinforcing structures 120 are formed in a different process than the conductive vias 116 , the reinforcing structures 120 may be formed using the same or different materials as the conductive vias 116 . In such embodiments, either the conductive vias 116 or the reinforcement structures 120 may be formed first.

도전성 비아들(116) 및 도전성 라인들(113)의 형성을 참조하면, 도전성 비아들(116) 및 도전성 라인들(113)은 임의의 적당한 공정에 의해 형성될 수 있다. 예를 들어, 일부 실시예들에서, 후속하여 보강 구조체들(120)이 될 개구부들(114)은 마스킹되는 반면, 도전성 비아들(116)이 될 개구부들(114)은 노출된다.Referring to the formation of conductive vias 116 and conductive lines 113 , conductive vias 116 and conductive lines 113 may be formed by any suitable process. For example, in some embodiments, the openings 114 , which will subsequently become the reinforcement structures 120 , are masked, while the openings 114 , which will become the conductive vias 116 , are exposed.

도전성 비아들(116) 및 도전성 라인들(113)이 개별적으로 형성되는 공정에서, 시드 층들(도시되지 않음)은 노출된 개구부들(114) 내에 형성될 수 있다. 전기 도금 또는 무전해 도금과 같은, 도금 공정은 개구부들(114) 내에 도전성 재료를 퇴적하고, 그로써 도전성 비아들(116)을 형성하는 데 사용될 수 있다. 도전성 라인들(113)을 형성하기 위해, 포토레지스트는 도전성 층(112) 위에 형성되고, 도전성 라인들(113)의 패턴에 포함되지 않은 도전성 층(112)의 부분들을 노출시키도록 도전성 라인들(113)의 역상(inverse image)으로 패터닝될 수 있다. 도전성 층(112)의 노출된 부분들은 이어서, 예를 들어, 습식 또는 건식 에칭에 의해서와 같이, 적당한 에칭 공정에 의해, 제거되어, 도전성 라인들(113)을 형성할 수 있다. 포토레지스트는, 산소 플라스마 또는 이와 유사한 것을 사용하는 것과 같이, 허용가능한 애싱(ashing) 또는 스트리핑(stripping) 공정에 의해 제거될 수 있다. 도전성 라인들(113)은 도전성 비아들(116)의 형성 이전에 또는 그 이후에 형성될 수 있다. 이 공정으로부터 결과되는 예시적인 구조체가 도 5에서 확대되어 도시되어 있다(좌측 확대도(enlargement)).In a process in which the conductive vias 116 and the conductive lines 113 are separately formed, seed layers (not shown) may be formed in the exposed openings 114 . A plating process, such as electroplating or electroless plating, may be used to deposit a conductive material in the openings 114 , thereby forming the conductive vias 116 . To form the conductive lines 113 , a photoresist is formed over the conductive layer 112 , exposing portions of the conductive layer 112 that are not included in the pattern of the conductive lines 113 . 113) may be patterned as an inverse image. Exposed portions of conductive layer 112 may then be removed by a suitable etching process, such as by wet or dry etching, for example, to form conductive lines 113 . The photoresist may be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. The conductive lines 113 may be formed before or after the formation of the conductive vias 116 . An exemplary structure resulting from this process is shown enlarged in FIG. 5 (left enlargement).

도전성 비아들(116) 및 도전성 라인들(113)이 동일한 공정에서 형성되는 공정에서, 노출된 개구들(114) 내에 형성되는 시드 층들(도시되지 않음)은 도전성 라인들이 될 도전성 층(112)의 부분들 위에 또한 연장될 수 있다. 포토레지스트는 도전성 층(112) 및 시드 층 위에 형성되고, 도전성 라인들(113)의 패턴에 포함되는 시드 층의 부분들을 노출시키기 위해 도전성 라인들(113)의 이미지로 패터닝될 수 있다. 도금 공정은 도전성 비아들(116)을 형성하기 위해 개구부들(114)에 있고 도전성 재료(112p)를 형성하기 위해 포토레지스트를 통해 노광되는 도전성 재료를 시드 층 상에 퇴적시키는 데 사용될 수 있다. 도금 이후에, 포토레지스트는, 산소 플라스마 또는 이와 유사한 것을 사용하는 것과 같이, 허용가능한 애싱 또는 스트리핑 공정에 의해 제거될 수 있다. 이어서, 시드 층의 노광된 부분들이 제거되고, 뒤이어서 도전성 층(112)의 노광된 부분들이 제거될 수 있다. 시드 층 및 도전성 층(112)의 부분들의 제거는, 습식 또는 건식 에칭에 의해서와 같이, 허용가능한 에칭 공정에 의할 수 있다. 이 공정으로부터 결과되는 예시적인 구조체가 도 5에서 확대되어 도시되어 있다(우측 확대도).In a process in which the conductive vias 116 and the conductive lines 113 are formed in the same process, seed layers (not shown) formed in the exposed openings 114 are of the conductive layer 112 that will become conductive lines. It may also extend over the parts. A photoresist is formed over the conductive layer 112 and the seed layer, and may be patterned with the image of the conductive lines 113 to expose portions of the seed layer included in the pattern of the conductive lines 113 . A plating process may be used to deposit a conductive material on the seed layer that is in the openings 114 to form conductive vias 116 and is exposed through photoresist to form conductive material 112p . After plating, the photoresist may be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. The exposed portions of the seed layer may then be removed, followed by the exposed portions of the conductive layer 112 . Removal of the seed layer and portions of the conductive layer 112 may be by an acceptable etching process, such as by wet or dry etching. An exemplary structure resulting from this process is shown enlarged in FIG. 5 (right enlarged view).

이상에서 사용된 포토레지스트는 스핀 코팅(spin coating) 또는 이와 유사한 것에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은, 앞서 설명된 것과 같은, 사용된 공정에 따라, 도전성 라인들(113)의 도전성 패턴 또는 도전성 라인들(113)의 도전성 패턴의 역(inverse)에 대응한다.The photoresist used above may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to the conductive pattern of conductive lines 113 or the inverse of the conductive pattern of conductive lines 113, depending on the process used, as described above.

일부 실시예들에서, 도전성 라인들(113)을 형성하는 공정은, 도 32와 관련하여 아래에서 논의되는 재배선 구조체(306)와 같은, 재배선 구조체를 형성하기 위해 임의의 횟수 반복될 수 있다. 그러한 실시예들에서, 재배선 구조체(306)와 관련하여 아래에서 논의되는 바와 같이, 유전체 층들은 도전성 라인들(113)의 상이한 층들을 분리시키는 데 사용될 수 있다.In some embodiments, the process of forming the conductive lines 113 may be repeated any number of times to form a redistribution structure, such as the redistribution structure 306 discussed below with respect to FIG. 32 . . In such embodiments, as discussed below with respect to redistribution structure 306 , dielectric layers may be used to isolate different layers of conductive lines 113 .

이제 보강 구조체들(120)을 참조하면, 보강 구조체들(120)이 개구부들(114) 중 일부 내에 형성된다. 일부 실시예들에서, 보강 구조체들(120)은, 예를 들어, 약 400 W/m·K와 같은, 약 10 W/m·K 내지 475 W/m·K의 높은 열 전도율을 가지는 재료로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 보강 구조체들(120)은, 예를 들어, 약 120 GPa와 같은, 약 10 GPa 내지 약 380 GPa의 높은 강성(영률(Young's modulus))을 가지는 재료로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 보강 구조체들(120)은, 예를 들어, 약 30 PPM/℃(parts per million per degree Celsius)와 같은, 약 20 PPM/℃ 내지 약 100 PPM/℃의, 기판 코어(110)와 유사한 열 팽창 계수(coefficient of thermal expansion)(CTE)를 가지는 재료로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 보강 구조체들(120)은 높은 열 전도율, 높은 강성, 및 특정의 CTE 중 하나 이상을 갖도록 선택될 수 있다.Referring now to reinforcement structures 120 , reinforcement structures 120 are formed in some of the openings 114 . In some embodiments, the reinforcing structures 120 are made of a material having a high thermal conductivity of between about 10 W/m·K and 475 W/m·K, such as, for example, about 400 W/m·K. may be formed, but other values are contemplated and may be used. In some embodiments, the reinforcing structures 120 may be formed of a material having a high stiffness (Young's modulus) of about 10 GPa to about 380 GPa, such as, for example, about 120 GPa, Other values are contemplated and may be used. In some embodiments, the reinforcing structures 120 may include, for example, a substrate core ( 110), although other values are contemplated and may be used. Reinforcing structures 120 may be selected to have one or more of high thermal conductivity, high stiffness, and a specific CTE.

일부 실시예들에서, 보강 구조체들(120)의 재료는, 구리, 티타늄, 텅스텐, 알루미늄, 또는 이와 유사한 것과 같은, 금속 재료일 수 있다. 일부 실시예들에서, 보강 구조체들(120)은, 알루미늄 산화물, 지르코니아, 또는 이와 유사한 것과 같은, 세라믹으로 형성될 수 있다. 다른 실시예들에서, 보강 구조체들(120)은 폴리머 재료들, 흑연 재료들, 실리콘 재료들, 또는 금속 또는 비금속 도전성 막으로 형성될 수 있다. 일부 실시예들에서, 보강 구조체들(120)은 상기한 것들 중 임의의 것의 합성물들(composites) 또는 조합들로 형성될 수 있다.In some embodiments, the material of the reinforcing structures 120 may be a metallic material, such as copper, titanium, tungsten, aluminum, or the like. In some embodiments, the reinforcing structures 120 may be formed of a ceramic, such as aluminum oxide, zirconia, or the like. In other embodiments, the reinforcing structures 120 may be formed of polymeric materials, graphite materials, silicon materials, or a metal or non-metal conductive film. In some embodiments, the reinforcing structures 120 may be formed from composites or combinations of any of the above.

보강 구조체들(120)은 열 소산을 개선시키고 동시에 뒤틀림을 감소시킨다. 보다 큰 영률을 가지는 보강 구조체들(120)은 기판 코어(110)의 강도(strength)를 향상시킬 수 있다. 일반적으로, 기판 코어(110) 내의 보강 구조체들(120)의 밀도가 클수록, 후속하는 열 공정들에서 뒤틀림이 덜 발생한다. 보강 구조체들(120)이 보다 큰 영률 및 보다 높은 열 전도율 둘 다를 가질 때, 열이 주변 기판 코어(110) 재료보다 응력(stress)을 덜 받는 보강 구조체들(120)을 통해 열 발생 컴포넌트들(heat generating components)로부터 멀어지는 쪽으로 소산된다.Reinforcing structures 120 improve heat dissipation and at the same time reduce warping. The reinforcing structures 120 having a larger Young's modulus may improve the strength of the substrate core 110 . In general, the greater the density of the reinforcing structures 120 in the substrate core 110 , the less warping occurs in subsequent thermal processes. When the reinforcing structures 120 have both a greater Young's modulus and a higher thermal conductivity, heat is applied to the heat-generating components ( It is dissipated away from the heat generating components.

보강 구조체들(120)은, 임의의 다른 커넥터에 전기적으로 커플링되지 않고, 전기적으로 플로팅되어 있을 수 있다. 보강 구조체들(120)는 평면도에서 상이한 형상들 및 크기들을 가질 수 있고(예컨대, 보강 구조체들(122)을 예시하는 도 9 참조), 어떤 패턴으로 또는 랜덤하게 레이아웃될 수 있다.The reinforcement structures 120 may be electrically floating, not electrically coupled to any other connector. The reinforcement structures 120 may have different shapes and sizes in plan view (eg, see FIG. 9 which illustrates the reinforcement structures 122 ), and may be laid out in any pattern or randomly.

다른 실시예들에서, 도전성 비아들(116)은 상이한 공정들로 형성된다. 보강 구조체들(120)은, 보강 구조체들(120)의 재료에 따라, 임의의 적당한 공정을 이용하여 형성될 수 있다. 예를 들어, 금속은 도전성 비아들(116)과 관련하여 앞서 설명된 것과 유사한 방식으로 형성될 수 있다. 다른 재료들은 포토리소그래피를 사용하여 다른 개구부들(114) 또는 도전성 비아들(116)을 마스킹하는 것, 및 개구부들(114)을 노광시켜 대응하는 보강 구조체들(120)을 형성하는 것에 의해 형성될 수 있다. 포토레지스트는, 예를 들어, 스핀 코팅 또는 라미네이팅에 의해 형성되고, 이어서 보강 구조체들(120)을 위해 사용될 개구부들(114)을 노광시키기 위해 적당한 광원(light source)에 노광시킴으로써 패터닝될 수 있다. 개구부들(114)을 노광시킨 후에, 보강 구조체들(120)은 금속 재료들 등에 대한 전기 도금 또는 무전해 도금에 의해 형성될 수 있다. 보강 구조체들(120)을 형성한 후에, 포토레지스트는, 애싱 기술에 의해서와 같이, 습식 및/또는 건식 기술에 의해 제거될 수 있다. 보강 구조체들(120)이 세라믹으로 형성되는 다른 예에서, 세라믹은 CVD 공정을 사용하여 퇴적될 수 있다. 보강 구조체들(120)이 폴리머로 형성되는 또 다른 예에서, 폴리머는 스핀 온(spin on) 또는 디스펜싱 기술을 사용하여 퇴적되고 경화될 수 있다. 다른 퇴적 방법들이 고려되고 사용될 수 있다.In other embodiments, the conductive vias 116 are formed in different processes. The reinforcing structures 120 may be formed using any suitable process, depending on the material of the reinforcing structures 120 . For example, the metal may be formed in a manner similar to that described above with respect to the conductive vias 116 . Other materials may be formed by masking the other openings 114 or conductive vias 116 using photolithography, and exposing the openings 114 to form corresponding reinforcement structures 120 . can The photoresist may be formed, for example, by spin coating or laminating, and then patterned by exposing to a suitable light source to expose the openings 114 to be used for the reinforcement structures 120 . After exposing the openings 114 to light, the reinforcing structures 120 may be formed by electroplating or electroless plating to metal materials or the like. After forming the reinforcement structures 120 , the photoresist may be removed by wet and/or dry techniques, such as by an ashing technique. In another example where the reinforcement structures 120 are formed of ceramic, the ceramic may be deposited using a CVD process. In another example in which the reinforcement structures 120 are formed from a polymer, the polymer may be deposited and cured using a spin on or dispensing technique. Other deposition methods are contemplated and may be used.

일부 실시예들에서, 예를 들어, 보강 구조체들(120)의 상부를 인터포저 기판(100)의 다른 층과 동일한 높이로 레벨링하기 위해, 평탄화 공정과 같은, 제거 공정이 보강 구조체들(120)의 재료의 부분들을 제거하는 데 사용될 수 있다. 보강 구조체들(120)이 도전성 층(112)의 형성 이전에 형성되는 실시예들에서, 보강 구조체들(120)의 상부는 기판 코어(110)의 상부와 동일한 높이로 레벨링될 수 있다. 다른 실시예들에서, 보강 구조체들의 상부는 도전성 라인들(113)의 상부와 동일한 높이로 또는 도전성 비아들(116)의 상부와 동일한 높이로 레벨링될 수 있다. 일부 실시예들에서, 도전성 라인들(113)의 상부를 도전성 비아들(116)의 상부와 동일한 높이로 레벨링하기 위해, 평탄화 공정과 같은, 동일한 제거 공정 또는 별개의 제거 공정이 사용될 수 있다.In some embodiments, a removal process, such as a planarization process, is performed to level the top of the reinforcement structures 120 flush with another layer of the interposer substrate 100 , for example. It can be used to remove parts of the material of In embodiments in which the reinforcing structures 120 are formed prior to the formation of the conductive layer 112 , the top of the reinforcement structures 120 may be leveled to the same height as the top of the substrate core 110 . In other embodiments, the top of the reinforcement structures may be leveled flush with the top of the conductive lines 113 or flush with the top of the conductive vias 116 . In some embodiments, the same removal process or a separate removal process, such as a planarization process, may be used to level the tops of the conductive lines 113 to the same height as the tops of the conductive vias 116 .

도 6에서, 캐리어 기판(102)이 제거된다. 캐리어 기판(102)은 기판 코어(110)로부터 분리될(detached)(또는 "디본딩될(de-bonded)") 수 있다. 일부 실시예들에서, 디본딩(de-bonding)은, 이형 층(104)이 광의 열로 인해 분해되고 캐리어 기판(102)이 제거될 수 있도록, 레이저 광 또는 UV 광과 같은 광을 이형 층(104) 상에 투사하는 것을 포함한다.6 , the carrier substrate 102 is removed. The carrier substrate 102 may be detached (or “de-bonded”) from the substrate core 110 . In some embodiments, de-bonding is performed by applying light, such as laser light or UV light, to the release layer 104 such that the release layer 104 decomposes due to the heat of the light and the carrier substrate 102 can be removed. ), including projection onto

솔더 레지스트 층들(124)은 기판 코어(110)의 대향 측면들 위에, 도전성 라인들(106) 및 도전성 라인들(113) 상에 형성된다. 솔더 레지스트 층들(124)은 기판 코어(110)의 영역들을 외부 손상으로부터 보호한다. 일부 실시예들에서, 솔더 레지스트 층들(124)은 감광성 유전체 층을 퇴적시키는 것, 감광성 재료를 광학 패턴으로 노광시키는 것, 및 노광된 층을 현상하여 개구부들(124o)를 형성하는 것에 의해 형성된다. 일부 실시예들에서, 솔더 레지스트 층들(124)은 비-감광성 유전체 층(예컨대, 실리콘 산화물, 또는 실리콘 질화물, 또는 이와 유사한 것)을 퇴적시키는 것, 및 허용가능한 포토리소그래피 및 에칭 기술들을 사용하여 유전체 층을 패터닝하여 개구부들(124o)을 형성하는 것에 의해 형성된다. 개구부들(124o)은 후속 공정들에서 커넥터 패드들(connector pads) 또는 언더범프 금속화부들(underbump metallizations)로서 사용될 수 있는 도전성 라인들(113) 및 도전성 라인들(106)의 아래에 놓이는(underlying) 부분들을 노출시킨다. 개구부들(124o)은 테이퍼링되어, 개구부(124o)의 가장 얕은 부분에서의 보다 큰 폭 W3에 비해 개구부(124o)의 가장 깊은 부분에서의 보다 작은 폭 W2을 갖는다. 폭 W2는, 약 180 μm와 같은, 약 55 μm 내지 약 320 μm일 수 있지만, 다른 치수들이 고려되고 사용될 수 있다. 폭 W3은, 약 210 μm와 같은, 약 70 μm 내지 약 350 μm일 수 있지만, 다른 치수들이 고려되고 사용될 수 있다. 각각의 솔더 레지스트 층의 두께 T2는, 약 25 μm와 같은, 약 5 μm 내지 약 50 μm일 수 있지만, 다른 두께들이 고려된다. 인터포저 기판(100)의 전체 두께 T3은, 약 100 μm와 같은, 약 50 μm 내지 약 300 μm일 수 있지만, 다른 두께들이 고려된다.Solder resist layers 124 are formed on the conductive lines 106 and the conductive lines 113 on opposite sides of the substrate core 110 . The solder resist layers 124 protect regions of the substrate core 110 from external damage. In some embodiments, the solder resist layers 124 are formed by depositing a photosensitive dielectric layer, exposing the photosensitive material to an optical pattern, and developing the exposed layer to form openings 124o. . In some embodiments, the solder resist layers 124 are formed by depositing a non-photosensitive dielectric layer (eg, silicon oxide, or silicon nitride, or the like) and a dielectric using acceptable photolithography and etching techniques. It is formed by patterning the layer to form openings 124o. The openings 124o are underlying conductive lines 113 and 106 which may be used as connector pads or underbump metallizations in subsequent processes. ) parts are exposed. The openings 124o are tapered to have a smaller width W 2 at the deepest portion of the opening 124o compared to the larger width W 3 at the shallowest portion of the opening 124o . The width W 2 may be from about 55 μm to about 320 μm, such as about 180 μm, although other dimensions are contemplated and may be used. The width W 3 can be from about 70 μm to about 350 μm, such as about 210 μm, although other dimensions are contemplated and may be used. The thickness T 2 of each solder resist layer may be from about 5 μm to about 50 μm, such as about 25 μm, although other thicknesses are contemplated. The overall thickness T 3 of the interposer substrate 100 may be from about 50 μm to about 300 μm, such as about 100 μm, although other thicknesses are contemplated.

도 7에서, 도전성 커넥터들(126)은 개구부들(124o)(도 6 참조) 내에 형성된다. 도전성 커넥터들(126)은 도전성 라인들(106)의 노출된 부분들과 접촉할 수 있다. 도전성 커넥터들(126)은 볼 그리드 어레이(ball grid array)(BGA) 커넥터들, 솔더 볼들, 금속 필라(metal pillar)들, C4(controlled collapse chip connection) 범프들, 마이크로 범프들, ENEPIG(electroless nickel-electroless palladium-immersion gold technique) 형성 범프들, 또는 이와 유사한 것일 수 있다. 도전성 커넥터들(126)은 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석, 이와 유사한 것, 또는 이들의 조합과 같은 도전성 재료를 포함할 수 있다. 일부 실시예들에서, 도전성 커넥터들(126)은 증발, 전기 도금, 인쇄, 솔더 전사(solder transfer), 볼 배치(ball placement), 또는 이와 유사한 것과 같은 그러한 통상적으로 사용되는 방법들을 통해 솔더와 같은 공융 재료(eutectic material)의 층을 초기에 형성하는 것에 의해 형성되는 공융 커넥터들(eutectic connectors)이다. 솔더의 층이 구조체 상에 일단 형성되었으면, 재료를 원하는 범프 형상들로 셰이핑하기 위해 리플로(reflow)가 수행될 수 있다. 다른 실시예에서, 도전성 커넥터들(126)은 인쇄, 전기 도금, 무전해 도금, 화학적 기상 퇴적(CVD), 물리적 기상 퇴적(PVD) 등에 의해 형성된 (구리 필라와 같은) 금속 필라들을 포함한다. 금속 필라들은 솔더 프리(solder free)이고 실질적으로 수직인 측벽들을 가질 수 있다.In FIG. 7 , conductive connectors 126 are formed in openings 124o (see FIG. 6 ). The conductive connectors 126 may contact exposed portions of the conductive lines 106 . Conductive connectors 126 include ball grid array (BGA) connectors, solder balls, metal pillars, controlled collapse chip connection (C4) bumps, micro bumps, electroless nickel (ENEPIG) -electroless palladium-immersion gold technique) forming bumps, or the like. The conductive connectors 126 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. In some embodiments, the conductive connectors 126 are soldered through commonly used methods such as evaporation, electroplating, printing, solder transfer, ball placement, or the like. eutectic connectors formed by initially forming a layer of eutectic material. Once the layer of solder has been formed on the structure, a reflow may be performed to shape the material into desired bump shapes. In another embodiment, the conductive connectors 126 include metal pillars (such as copper pillars) formed by printing, electroplating, electroless plating, chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. The metal pillars are solder free and may have substantially vertical sidewalls.

도 8 및 도 9는 다양한 실시예들에 따른, 인터포저 기판(100)의 기판 코어(110)를 통한 수평 단면도들이다. 도 8에 예시된 인터포저 기판(100)의 실시예에서, 보강 구조체들(120)은 인터포저 기판(100) 전체에 걸쳐 다양한 위치들에 형성된다. 보강 구조체들(120)은 도전성 비아들(116)과 거의 동일한 크기 또는 상이한 크기들을 가질 수 있다. 보강 구조체들(120)은 도전성 비아들(116)의 패턴과 동일한 패턴으로 또는 상이한 패턴으로 형성될 수 있다. 일부 실시예들에서, 보강 구조체들(120)은 랜덤하게 분포일 수 있다. 도 9에 예시된 인터포저 기판(100)의 실시예는 불규칙적인 형상들을 갖고 보강 구조체들(120) 중 다른 것들의 면적의 약 2배 내지 100배인 면적을 포함하는 보강 구조체들(122)을 예시하고 있지만, 그 면적이 보강 구조체들(120)의 면적의 2배 미만 또는 100배 초과일 수 있다. 보강 구조체들(122)은 부착된 패키지 내의 특정의 디바이스 또는 핫 스폿에 대응하도록 위치되고 설계될 수 있으며, 부착된 패키지로부터 열을 소산시키는 데 도움을 줄 수 있다.8 and 9 are horizontal cross-sectional views through the substrate core 110 of the interposer substrate 100 in accordance with various embodiments. In the embodiment of the interposer substrate 100 illustrated in FIG. 8 , the reinforcement structures 120 are formed at various locations throughout the interposer substrate 100 . The reinforcing structures 120 may have approximately the same size or different sizes as the conductive vias 116 . The reinforcing structures 120 may be formed in the same pattern as the pattern of the conductive vias 116 or in a different pattern. In some embodiments, the reinforcement structures 120 may be randomly distributed. The embodiment of the interposer substrate 100 illustrated in FIG. 9 illustrates reinforcing structures 122 having irregular shapes and comprising an area that is about 2 to 100 times the area of the other of the reinforcing structures 120 . However, the area may be less than twice or greater than 100 times the area of the reinforcing structures 120 . Reinforcement structures 122 may be positioned and designed to correspond to a particular device or hot spot within the attached package, and may help dissipate heat from the attached package.

도 8 및 도 9는 도 7에 대해 취해진 단면을 나타내는 라인 A-A를 예시하고 있다. 도 8 및 도 9에 예시된 뷰들에서, 평면도에서 보강 구조체들(120) 및 보강 구조체들(122) 전부의 총 면적은 인터포저 기판(100)의 총 면적의 약 5% 내지 약 80%일 수 있다. 보강 구조체들(120) 및 보강 구조체들(122) 전부의 총 체적은 인터포저 기판(100)의 기판 코어(110)의 체적의 약 5% 내지 약 80%일 수 있다.8 and 9 illustrate the line A-A showing the cross section taken with respect to FIG. 7 . In the views illustrated in FIGS. 8 and 9 , the total area of the reinforcement structures 120 and all of the reinforcement structures 122 in a plan view can be from about 5% to about 80% of the total area of the interposer substrate 100 . there is. The total volume of the reinforcement structures 120 and all of the reinforcement structures 122 may be from about 5% to about 80% of the volume of the substrate core 110 of the interposer substrate 100 .

도 10은 인터포저 기판(100)의 상부 뷰(top view), 중간 뷰(middle view), 및 하부 뷰(bottom view)를 예시하고 있다. 도 10에 예시된 바와 같이, 도전성 비아들(116)은 인터포저 기판(100)의 주변 영역(peripheral area)에 위치될 수 있고, 도전성 라인들(113)은 하나의 도전성 비아(116)로부터 다른 도전성 비아(116)로의 라우팅을 제공할 수 있다. 보강 구조체들(120 및/또는 122)은 기판 코어(110)의 중앙(middle)을 통해 형성될 수 있다.10 illustrates a top view, a middle view, and a bottom view of the interposer substrate 100 . As illustrated in FIG. 10 , conductive vias 116 may be located in a peripheral area of the interposer substrate 100 , and conductive lines 113 may be separated from one conductive via 116 to another. Routing to conductive vias 116 may be provided. The reinforcement structures 120 and/or 122 may be formed through the middle of the substrate core 110 .

도 11은 일부 실시예들에 따른, 캐비티(130)가 그 내에 배치되어 있는 인터포저 기판(100)을 예시하고 있다. 캐비티(130)는 도전성 커넥터들(126)을 형성하기 이전에 또는 그 이후에 기판 코어(110) 및 솔더 레지스트 층들(124)의 부분들을 제거함으로써 형성될 수 있다. 캐비티(130)를 형성하기 위한 재료의 제거는 컴퓨터 수치 제어(computer numeric control)(CNC)를 사용한 기계적 드릴링 공정에 의해 달성될 수 있다. 그러한 실시예들에서, 재료는 기계적 드릴에 의해 제거되고, 드릴의 위치는 컴퓨터 또는 제어기에 의해 제어된다. 제거는, 레이저 커팅 공정, 레이저 드릴링 공정, 또는 이와 유사한 것과 같은, 다른 공정들에 의해 또한 달성될 수 있다. 재료의 남아 있는 부분들은 인터포저 기판(100)을 형성한다. 캐비티(130)는, 약 50 μm와 같은, 약 20 μm 내지 약 270 μm의 높이 H1을 가질 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 그러한 실시예들에서, 보강 구조체들(120 및/또는 122)은 인터포저 기판(100)의 얇은 부분에 그리고/또는 인터포저 기판(100)의 주변 부분들에 배치될 수 있다. 그에 따라, 그러한 보강 구조체들(120 및/또는 122) 중 일부는 캐비티(130)가 형성될 때 마찬가지로 시닝될(thinned) 수 있다. 인터포저 기판(100)이 하부 팬 아웃 패키지(bottom fan out package)에 부착될 때 형성되는 패키지의 전체 두께를 감소시키기 위해, 캐비티(130)가 하부 팬 아웃 패키지(아래에서 더욱 상세히 논의됨)의 실장된 디바이스(mounted device)와 정렬되도록 하는 인터포저 기판(100)의 위치에 캐비티(130)가 형성될 수 있다.11 illustrates an interposer substrate 100 having a cavity 130 disposed therein, in accordance with some embodiments. Cavity 130 may be formed by removing portions of substrate core 110 and solder resist layers 124 before or after forming conductive connectors 126 . Removal of material to form cavity 130 may be accomplished by a mechanical drilling process using computer numeric control (CNC). In such embodiments, material is removed by a mechanical drill, and the position of the drill is controlled by a computer or controller. Removal may also be accomplished by other processes, such as a laser cutting process, a laser drilling process, or the like. The remaining portions of the material form the interposer substrate 100 . Cavity 130 may have a height H 1 from about 20 μm to about 270 μm, such as about 50 μm, although other values are contemplated and may be used. In such embodiments, the reinforcement structures 120 and/or 122 may be disposed in a thin portion of the interposer substrate 100 and/or in peripheral portions of the interposer substrate 100 . Accordingly, some of such reinforcing structures 120 and/or 122 may likewise be thinned when cavity 130 is formed. To reduce the overall thickness of the package that is formed when the interposer substrate 100 is attached to the bottom fan out package, the cavity 130 is formed in the lower fan out package (discussed in more detail below) The cavity 130 may be formed at a position of the interposer substrate 100 to be aligned with a mounted device.

도 12는 일부 실시예들에 따른, 스루홀(140)이 그 내에 배치되어, 링 형상을 제공하는 인터포저 기판(100)을 예시하고 있다. 일부 실시예들에서, 캐비티(130)는 스루홀(140)을 형성하도록 기판 코어 층(110) 및 솔더 레지스트 층(124)을 완전히 관통하여 형성될 수 있다. 그러한 실시예들에서, 보강 구조체들(120 및/또는 122)은 인터포저 기판(100)의 주변 부분들 내에 배치될 수 있다. 인터포저 기판(100)이 하부 팬 아웃 패키지에 부착될 때 형성되는 패키지의 전체 두께를 감소시키기 위해, 스루홀(140)이 하부 팬 아웃 패키지(아래에서 더욱 상세히 논의됨)의 실장된 디바이스와 정렬되도록 하는 인터포저 기판(100)의 위치에 스루홀(140)이 형성될 수 있다.12 illustrates the interposer substrate 100 having a through hole 140 disposed therein to provide a ring shape, in accordance with some embodiments. In some embodiments, the cavity 130 may be formed completely penetrating the substrate core layer 110 and the solder resist layer 124 to form the through hole 140 . In such embodiments, the reinforcement structures 120 and/or 122 may be disposed within peripheral portions of the interposer substrate 100 . To reduce the overall thickness of the package formed when the interposer substrate 100 is attached to the lower fan out package, a through hole 140 is aligned with the mounted device of the lower fan out package (discussed in more detail below). The through-hole 140 may be formed at a position of the interposer substrate 100 to make it possible.

도 13은 일부 실시예들에 따른, 도 12에 예시된 바와 같은 링 형상 인터포저 기판(100)의 기판 코어(110)를 통한 수평 단면도를 예시하고 있다. 라인 A-A는 도 12에 대한 취해진 단면을 나타낸다. 보강 구조체들(120)은 인터포저 기판(100) 전체에 걸쳐 다양한 위치들에 형성된다. 보강 구조체들(120)은 도전성 비아들(116)과 거의 동일한 크기 또는 상이한 크기들을 가질 수 있다. 보강 구조체들(120)은 도전성 비아들(116)의 패턴과 동일한 패턴으로 또는 상이한 패턴으로 형성될 수 있다. 일부 실시예들에서, 보강 구조체들(120)은 랜덤하게 분포일 수 있다. 비록 이 뷰에 도시되어 있지 않지만, 보강 구조체들(122)(도 9 참조)이 포함될 수 있다. 보강 구조체들(120) 및 보강 구조체들(122) 전부의 총 면적은 인터포저 기판(100)의 총 면적의 약 5% 내지 약 80%일 수 있다. 보강 구조체들(120) 및 보강 구조체들(122) 전부의 총 체적은 인터포저 기판(100)의 기판 코어(110)의 체적의 약 5% 내지 약 80%일 수 있다.FIG. 13 illustrates a horizontal cross-sectional view through the substrate core 110 of a ring-shaped interposer substrate 100 as illustrated in FIG. 12 , in accordance with some embodiments. Lines A-A represent the cross-section taken for FIG. 12 . The reinforcing structures 120 are formed at various locations throughout the interposer substrate 100 . The reinforcing structures 120 may have approximately the same size or different sizes as the conductive vias 116 . The reinforcing structures 120 may be formed in the same pattern as the pattern of the conductive vias 116 or in a different pattern. In some embodiments, the reinforcement structures 120 may be randomly distributed. Although not shown in this view, reinforcement structures 122 (see FIG. 9 ) may be included. The total area of the reinforcing structures 120 and all of the reinforcing structures 122 may be about 5% to about 80% of the total area of the interposer substrate 100 . The total volume of the reinforcement structures 120 and all of the reinforcement structures 122 may be from about 5% to about 80% of the volume of the substrate core 110 of the interposer substrate 100 .

도 14 내지 도 30은 하나 이상의 부가 기판 코어(210) 층을 포함하는 인터포저 기판(200)의 다양한 실시예들을 예시하고 있다. 도 14는 일부 실시예들에 따른, 기판 코어(110) 및 도전성 라인들(113) 위에 형성되는 제2 기판 코어(210)를 예시하고 있다. 도 5의 도전성 비아들(116) 및 도전성 라인들(113)의 형성 이후에, 제2 기판 코어(210)는 제1 기판 코어(110) 및 도전성 라인들(113)에 라미네이트될 수 있다. 제2 기판 코어(210)는 기판 코어(110)와 관련하여 앞서 논의된 것들과 유사한 재료들 및 공정들을 사용하여 형성될 수 있으며, 이는 반복되지 않는다. 도전성 라인들(212)은 제2 기판 코어(210) 위에 형성될 수 있다. 도전성 라인들(212)은 먼저 도전성 층(112)과 관련하여 앞서 논의된 것들과 유사한 공정들 및 재료들을 사용하여 도전성 층을 형성하는 것 및 이어서 도전성 라인들(113)의 패터닝에서 앞서 논의된 것들과 유사한 공정들 및 재료들을 사용하여 도전성 라인들(212)을 생성하기 위해 도전성 층을 패터닝하는 것에 의해 형성될 수 있으며, 이는 반복되지 않는다. 도 14에 예시된 바와 같이, 일부 실시예들에서, 기판 코어(110) 또는 기판 코어(210) 중 어느 것도 보강 구조체들이 그 내에 배치되어 있지 않을 수 있다. 일부 실시예들에서, 도전성 라인들(212)을 형성하는 공정은, 도 32와 관련하여 아래에서 논의되는 재배선 구조체(306)와 같은, 재배선 구조체를 형성하기 위해 임의의 횟수 반복될 수 있다. 그러한 실시예들에서, 재배선 구조체(306)와 관련하여 아래에서 논의되는 바와 같이, 유전체 층들은 도전성 라인들(212)의 상이한 층들을 분리시키는 데 사용될 수 있다.14-30 illustrate various embodiments of an interposer substrate 200 including one or more additional substrate core 210 layers. 14 illustrates a second substrate core 210 formed over the substrate core 110 and the conductive lines 113, in accordance with some embodiments. After the formation of the conductive vias 116 and the conductive lines 113 of FIG. 5 , the second substrate core 210 may be laminated to the first substrate core 110 and the conductive lines 113 . The second substrate core 210 may be formed using materials and processes similar to those discussed above with respect to the substrate core 110 , which are not repeated. The conductive lines 212 may be formed on the second substrate core 210 . Conductive lines 212 are first formed using processes and materials similar to those discussed above with respect to conductive layer 112 , followed by forming a conductive layer as previously discussed in patterning of conductive lines 113 . may be formed by patterning the conductive layer to create conductive lines 212 using processes and materials similar to , which is not repeated. As illustrated in FIG. 14 , in some embodiments, neither the substrate core 110 nor the substrate core 210 may have reinforcement structures disposed therein. In some embodiments, the process of forming the conductive lines 212 may be repeated any number of times to form a redistribution structure, such as the redistribution structure 306 discussed below with respect to FIG. 32 . . In such embodiments, as discussed below with respect to redistribution structure 306 , dielectric layers may be used to isolate different layers of conductive lines 212 .

도 15는 일부 실시예들에 따른, 기판 코어(110) 및 도전성 라인들(113) 위에 형성되는 제2 기판 코어(210)를 예시하고 있다. 도 5의 도전성 비아들(116), 도전성 라인들(113), 및 보강 구조체들(120)의 형성 이후에, 제2 기판 코어(210)는 제1 기판 코어(110) 및 도전성 라인들(113)에 라미네이트될 수 있다. 제2 기판 코어(210) 및 도전성 라인들(212)은 도 14의 제2 기판 코어(210)와 관련하여 논의된 것과 유사한 방식으로 형성될 수 있다. 도 15에 예시된 바와 같이, 일부 실시예들에서, 보강 구조체들(120)이 기판 코어(110) 내에 배치된 후에 기판 코어(210)가 기판 코어(110) 위에 형성될 수 있지만, 보강 구조체들이 없을 수 있다.15 illustrates a second substrate core 210 formed over the substrate core 110 and the conductive lines 113, in accordance with some embodiments. After the formation of the conductive vias 116 , the conductive lines 113 , and the reinforcement structures 120 of FIG. 5 , the second substrate core 210 is connected to the first substrate core 110 and the conductive lines 113 . ) can be laminated to The second substrate core 210 and conductive lines 212 may be formed in a manner similar to that discussed with respect to the second substrate core 210 of FIG. 14 . As illustrated in FIG. 15 , in some embodiments, the substrate core 210 may be formed over the substrate core 110 after the reinforcement structures 120 are disposed within the substrate core 110 , although the reinforcement structures are not there may not be

도 16은 일부 실시예들에 따른, 기판 코어(110) 및 도전성 라인들(113) 위에 형성되는 제2 기판 코어(210)를 예시하고 있다. 도 5의 도전성 비아들(116), 도전성 라인들(113), 및 보강 구조체들(120)의 형성 이후에, 제2 기판 코어(210)는 제1 기판 코어(110) 및 도전성 라인들(113)에 라미네이트될 수 있다. 일부 실시예들에서, 보강 구조체들(220)은 제2 기판 코어(210) 내에 형성될 수 있다. 일부 실시예들에서, 보강 구조체들(220) 중 일부 또는 전부는 각자의 보강 구조체들(120)과 정렬될 수 있는 반면, 다른 실시예들에서, 보강 구조체들(220) 중 어느 것도 보강 구조체들(120)과 정렬되지 않는다. 일부 실시예들에서, 보강 구조체들(220)은 앞서 논의된 보강 구조체들(122)과 유사한 불규칙적인 형상의(irregularly shaped) 보강 구조체들을 포함할 수 있다. 보강 구조체들(220)은 보강 구조체들(120 및/또는 122)의 형성에서 앞서 논의된 것들과 유사한 공정들 및 재료들을 사용하여 형성될 수 있으며, 이는 반복되지 않는다.16 illustrates a second substrate core 210 formed over the substrate core 110 and the conductive lines 113 in accordance with some embodiments. After the formation of the conductive vias 116 , the conductive lines 113 , and the reinforcement structures 120 of FIG. 5 , the second substrate core 210 is connected to the first substrate core 110 and the conductive lines 113 . ) can be laminated to In some embodiments, the reinforcing structures 220 may be formed in the second substrate core 210 . In some embodiments, some or all of the reinforcing structures 220 may be aligned with respective reinforcing structures 120 , while in other embodiments, none of the reinforcing structures 220 are reinforcing structures. (120) is not aligned. In some embodiments, the reinforcing structures 220 may include irregularly shaped reinforcing structures similar to the reinforcing structures 122 discussed above. Reinforcing structures 220 may be formed using processes and materials similar to those previously discussed in the formation of reinforcing structures 120 and/or 122 , which are not repeated.

도 17 내지 도 21은 인터포저 기판(200)을 완성하는 데 있어서의 다양한 중간 공정들을 예시하고 있다. 비록 도 17 내지 도 21이 도 14에 묘사된 바와 같은 인터포저 기판(200)에 기초하여 예시되어 있지만, 도 15 및 도 16에 묘사된 것들과 부합하는 인터포저 기판(200)의 실시예들에도 적용될 수 있음이 이해되어야 한다.17 to 21 illustrate various intermediate processes in completing the interposer substrate 200 . Although FIGS. 17-21 are illustrated based on an interposer substrate 200 as depicted in FIG. 14 , embodiments of the interposer substrate 200 consistent with those depicted in FIGS. 15 and 16 are also exemplified. It should be understood that applicable.

도 17은 도전성 라인들(113)의 일 부분에 대응하는 리세싱된 본드 패드(113p)를 노출시키기 위해 리세스들(250)이 제2 기판 코어(210) 내에 형성된 후의 도 14의 인터포저 기판을 예시하고 있다. 일부 실시예들에서, 리세스들(250)은 레이저 드릴링에 의해 형성된다. 드릴 비트를 사용한 기계적 드릴링과 같은, 다른 공정들이 리세스들(250)을 형성하는 데 또한 사용될 수 있다. 임의의 다른 적당한 공정이 리세스들(250)을 형성하는 데 사용될 수 있다. 리세스들(250)은, 다각형, 원형, 또는 이와 유사한 것과 같은, 임의의 평면도 형상을 가질 수 있다. 기판 코어(210)의 제거된 재료로 스미어링되었을 수 있는 리세스들(250) 근방의 영역들을 세정하기 위해 세정 공정이 이어서 수행될 수 있다. 리세스들(250)은, 약 210 μm와 같은, 약 70 μm 내지 약 350 μm의 폭 W4를 가질 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 리세스들(250)은, 약 260 μm와 같은, 70 μm 내지 약 400 μm의 피치 P4를 가지는, 규칙적인 패턴으로 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 리세스들(250)의 상부에서의 폭 W4는 리세스들(250)의 하부에서의 폭 W5보다 더 넓을 수 있어, 리세스들(250)은 테이퍼링된 형상을 가질 수 있다. 폭 W5는, 약 180 μm와 같은, 약 55 μm 내지 약 320 μm일 수 있다. 리세스들(250)은, 약 30 μm와 같은, 약 20 μm 내지 약 300 μm의 높이 H4를 가질 수 있지만, 다른 값들이 고려되고 사용될 수 있다.FIG. 17 shows the interposer substrate of FIG. 14 after recesses 250 are formed in the second substrate core 210 to expose a recessed bond pad 113p corresponding to a portion of the conductive lines 113 . is exemplifying In some embodiments, the recesses 250 are formed by laser drilling. Other processes may also be used to form the recesses 250 , such as mechanical drilling using a drill bit. Any other suitable process may be used to form the recesses 250 . The recesses 250 may have any top view shape, such as polygonal, circular, or the like. A cleaning process may then be performed to clean areas near the recesses 250 that may have smeared with the removed material of the substrate core 210 . The recesses 250 may have a width W 4 of from about 70 μm to about 350 μm, such as about 210 μm, although other values are contemplated and may be used. In some embodiments, the recesses 250 may be formed in a regular pattern, with a pitch P 4 of from 70 μm to about 400 μm, such as about 260 μm, although other values are contemplated and used. . In some embodiments, the width W 4 at the top of the recesses 250 may be wider than the width W 5 at the bottom of the recesses 250 , such that the recesses 250 adopt a tapered shape. can have The width W 5 may be from about 55 μm to about 320 μm, such as about 180 μm. The recesses 250 may have a height H 4 of from about 20 μm to about 300 μm, such as about 30 μm, although other values are contemplated and may be used.

도 18에서, 캐리어 기판(102)이 제거된다. 캐리어 기판(102)은 기판 코어(110)로부터 분리될(또는 "디본딩될") 수 있다. 일부 실시예들에서, 디본딩은, 이형 층(104)이 광의 열로 인해 분해되고 캐리어 기판(102)이 제거될 수 있도록, 레이저 광 또는 UV 광과 같은 광을 이형 층(104) 상에 투사하는 것을 포함한다. 일부 실시예들에서, 부가 기판 코어 층들이 기판 코어(210)와 관련하여 앞서 논의된 것과 유사한 방식으로 부가될 수 있으며, 도전성 라인들, 비아들, 및 보강 구조체들은 앞서 논의된 것과 부합하는 방식으로 그 내에 배치될 수 있고, 최상부 기판 코어(topmost substrate core)는 리세스들(250)이 그 내에 형성될 수 있다.18 , the carrier substrate 102 is removed. The carrier substrate 102 may be separated (or “debonded”) from the substrate core 110 . In some embodiments, debonding involves projecting light, such as laser light or UV light, onto the release layer 104 such that the release layer 104 decomposes due to heat of the light and the carrier substrate 102 can be removed. include that In some embodiments, additional substrate core layers may be added in a manner similar to that discussed above with respect to substrate core 210 , with conductive lines, vias, and reinforcing structures in a manner consistent with that discussed above. may be disposed therein, and a topmost substrate core may have recesses 250 formed therein.

도 19에서, 솔더 레지스트 층들(124)은 기판 코어(110) 및 기판 코어(210)의 대향 측면들 위에, 도전성 라인들(106) 및 도전성 라인들(212) 상에 형성된다. 솔더 레지스트 층들(124)은 기판 코어(110) 및 기판 코어(210)의 영역들을 외부 손상으로부터 보호한다. 솔더 레지스트 층들(124)은 도 6과 관련하여 앞서 논의된 것들과 유사한 공정들 및 재료들을 사용하여 형성될 수 있으며, 이는 반복되지 않는다. 개구부들은 앞서 논의된 것과 유사한 방식으로 솔더 레지스트 층들(124) 내에 만들어질 수 있다. 각각의 솔더 레지스트 층의 두께 T4는, 약 25 μm와 같은, 약 5 μm 내지 약 50 μm일 수 있지만, 다른 두께들이 고려된다. 인터포저 기판(200)의 전체 두께 T5는, 약 200 μm와 같은, 약 30 μm 내지 약 1500 μm일 수 있지만, 다른 두께들이 고려된다.In FIG. 19 , solder resist layers 124 are formed on the conductive lines 106 and the conductive lines 212 , over opposite sides of the substrate core 110 and the substrate core 210 . The solder resist layers 124 protect the substrate core 110 and regions of the substrate core 210 from external damage. Solder resist layers 124 may be formed using processes and materials similar to those discussed above with respect to FIG. 6 , which are not repeated. Openings may be made in the solder resist layers 124 in a manner similar to that previously discussed. The thickness T 4 of each solder resist layer can be from about 5 μm to about 50 μm, such as about 25 μm, although other thicknesses are contemplated. The overall thickness T 5 of the interposer substrate 200 may be from about 30 μm to about 1500 μm, such as about 200 μm, although other thicknesses are contemplated.

도 20에서, 임의적 금속 라이너(260)가 형성될 수 있으며, 여기서 금속 라이너(260)는 언더범프 금속화부를 제공하기 위해 제2 기판 코어(210)의 리세스들(250)을 라이닝한다(lines). 일부 실시예들에서, 금속 라이너(260)는 캐리어 기판(102)이 여전히 부착되는 동안 그리고 솔더 레지스트 층들(124)의 형성 이전에, 예를 들어, 도 17에서의 리세스들(250)의 형성 이후에, 형성될 수 있다. 다른 실시예들에서, 금속 라이너(260)는 솔더 레지스트 층들(124)의 형성 이후에 형성될 수 있다. 금속 라이너(260)는 구리, 티타늄, 니켈, 알루미늄, 이들의 조성물들, 또는 이와 유사한 것의 하나 이상의 층일 수 있고, 포일, 화학적 기상 퇴적(CVD), 물리적 기상 퇴적(PVD) 등에 의해서와 같이, 임의의 적절한 공정을 사용하여 형성될 수 있다. 금속 라이너(260)가 인터포저 기판(200)을 포함하는 아래에서 논의되는 도면들에 묘사되어 있더라도, 금속 라이너(260)가 임의적임이 이해되어야 한다.20 , an optional metal liner 260 may be formed, wherein the metal liner 260 lines the recesses 250 of the second substrate core 210 to provide underbump metallization. ). In some embodiments, the metal liner 260 is applied while the carrier substrate 102 is still attached and prior to the formation of the solder resist layers 124 , eg, in the formation of the recesses 250 in FIG. 17 . Thereafter, it can be formed. In other embodiments, the metal liner 260 may be formed after formation of the solder resist layers 124 . The metal liner 260 may be one or more layers of copper, titanium, nickel, aluminum, compositions thereof, or the like, and may be any, such as by foil, chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. It can be formed using an appropriate process of Although metal liner 260 is depicted in the figures discussed below including interposer substrate 200 , it should be understood that metal liner 260 is optional.

일부 실시예들에서, 금속 라이너(260)를 형성하기 위해, 시드 층(도시되지 않음)이 기판 코어(210) 위에 먼저 형성될 수 있다. 다음에, 포토레지스트(도시하지 않음)가 시드 층 위에 형성되고 패터닝되어 리세스들(250)을 노출시킨다. 이어서, 금속 라이너(260)가 리세스들(250) 내에 형성될 수 있다. 금속 라이너(260)의 형성 이후에, 포토레지스트는, 애싱에 의해서와 같이, 제거될 수 있고, 시드 층의 현재 노광된 부분들은, 습식 또는 건식 에칭에 의해서와 같이, 제거될 수 있다.In some embodiments, a seed layer (not shown) may first be formed over the substrate core 210 to form the metal liner 260 . A photoresist (not shown) is then formed over the seed layer and patterned to expose recesses 250 . A metal liner 260 may then be formed in the recesses 250 . After formation of the metal liner 260 , the photoresist may be removed, such as by ashing, and the currently exposed portions of the seed layer may be removed, such as by wet or dry etching.

다른 실시예들에서, 금속 라이너(260)를 형성하기 위해, 금속 층이 기판 코어(210) 위에 형성될 수 있고, 포토레지스트(도시되지 않음)가 금속 층 위에 퇴적될 수 있다. 포토레지스트는 유지되어서는 안 되는 금속 층의 부분들을 노광시키도록 패터닝될 수 있고, 그 부분들은, 습식 또는 건식 에칭에 의해서와 같이, 제거될 수 있다. 포토레지스트는, 애싱에 의해서와 같이, 제거될 수 있고, 금속 층의 남아 있는 부분들은 금속 라이너(260)가 될 수 있다.In other embodiments, a metal layer may be formed over the substrate core 210 and a photoresist (not shown) may be deposited over the metal layer to form the metal liner 260 . The photoresist may be patterned to expose portions of the metal layer that should not be retained, and those portions may be removed, such as by wet or dry etching. The photoresist may be removed, such as by ashing, and the remaining portions of the metal layer may become a metal liner 260 .

도 21에서, 도전성 커넥터들(126)은 솔더 레지스트 층들(124) 내의 개구부들 내에 형성된다. 도전성 커넥터들(126)은 도 7의 도전성 커넥터들(126)과 관련하여 앞서 논의된 것들 중 임의의 것과 유사한 공정들 및 재료들을 사용하여 형성될 수 있다.In FIG. 21 , conductive connectors 126 are formed in openings in the solder resist layers 124 . The conductive connectors 126 may be formed using processes and materials similar to any of those discussed above with respect to the conductive connectors 126 of FIG. 7 .

도 22 내지 도 25는 일부 실시예들에 따른, 캐비티(230)(도 22 내지 도 24) 또는 스루홀(240)(도 25)이 그 내에 배치되어 있는 인터포저 기판(200)을 예시하고 있다. 캐비티(230) 또는 스루홀(240)은 캐비티(130) 및 스루홀(140)과 관련하여 앞서 논의된 공정들 중 임의의 것을 사용하여 형성될 수 있고, 이는 반복되지 않는다. 캐비티(230)는 약 20 μm 내지 약 1470 μm의 높이 H2를 가질 수 있지만, 다른 높이들이 고려되고 사용될 수 있다. 도 22는 제거된 부분의 높이 H2가 기판 코어(110)의 두께에 대응하도록 캐비티(230)가 형성되는 실시예를 예시하고 있다. 도 23은 제거된 부분의 높이 H2가 기판 코어(110)의 두께보다 더 작도록 캐비티(230)가 형성되는 실시예를 예시하고 있다. 도 24는 제거된 부분의 높이 H2가 기판 코어(110)의 두께보다 더 크도록 캐비티(230)가 형성되고 제2 기판 코어(210) 내로 연장되지만 제2 기판 코어(210)를 완전히 관통하지는 않게 연장되는 실시예를 예시하고 있다. 도 25는 스루홀(240)이 기판 코어(110) 및 제2 기판 코어(210)를 완전히 관통하여 연장되는 실시예를 예시하고 있다.22-25 illustrate an interposer substrate 200 having a cavity 230 ( FIGS. 22-24 ) or a through hole 240 ( FIG. 25 ) disposed therein, in accordance with some embodiments . Cavity 230 or through hole 240 may be formed using any of the processes previously discussed with respect to cavity 130 and through hole 140 , which are not repeated. Cavity 230 may have a height H 2 from about 20 μm to about 1470 μm, although other heights are contemplated and may be used. 22 illustrates an embodiment in which the cavity 230 is formed such that the height H 2 of the removed portion corresponds to the thickness of the substrate core 110 . 23 illustrates an embodiment in which the cavity 230 is formed such that the height H 2 of the removed portion is smaller than the thickness of the substrate core 110 . 24 shows that the cavity 230 is formed such that the height H 2 of the removed portion is greater than the thickness of the substrate core 110 and extends into the second substrate core 210 but does not completely penetrate the second substrate core 210 . An example that is not extended is illustrated. 25 illustrates an embodiment in which the through hole 240 extends completely through the substrate core 110 and the second substrate core 210 .

도 26은, 예를 들어, 도 16에 예시된 중간 공정으로부터 뒤따를 수 있는, 보강 구조체들(120) 및 보강 구조체들(220)이 그 내에 배치되어 있는 인터포저 기판(200)을 예시하고 있다. 보강 구조체들(220)이, 앞서 논의된 바와 같이, 임의적임이 이해되어야 한다.26 illustrates an interposer substrate 200 having reinforcing structures 120 and reinforcing structures 220 disposed therein, eg, which may follow from the intermediate process illustrated in FIG. 16 . . It should be understood that the reinforcing structures 220 are optional, as discussed above.

도 27 내지 도 30은 일부 실시예들에 따른, 캐비티(230)(도 27 내지 도 29) 또는 스루홀(240)(도 30)이 그 내에 배치되어 있는 인터포저 기판(200)을 예시하고 있다. 캐비티(230) 또는 스루홀(240)은 캐비티(130) 및 스루홀(140)과 관련하여 앞서 논의된 공정들 중 임의의 것을 사용하여 형성될 수 있고, 이는 반복되지 않는다. 도 27 내지 도 30에 예시된 실시예들은 보강 구조체들(120)(및/또는 보강 구조체들(122)) 및/또는 보강 구조체들(220)이 그 각자의 기판 코어들 내에 배치되어 있다.27-30 illustrate an interposer substrate 200 having a cavity 230 ( FIGS. 27-29 ) or a through hole 240 ( FIG. 30 ) disposed therein, in accordance with some embodiments . Cavity 230 or through hole 240 may be formed using any of the processes previously discussed with respect to cavity 130 and through hole 140 , which are not repeated. 27-30 have reinforcing structures 120 (and/or reinforcing structures 122 ) and/or reinforcing structures 220 disposed within their respective substrate cores.

도 27은 제거된 부분의 높이 H2가 기판 코어(110)의 두께에 대응하도록 캐비티(230)가 형성되는 실시예를 예시하고 있다. 보강 구조체들(120)은 기판 코어(110)의 주변 부분 내에 배치될 수 있고, 보강 구조체들(220)은 캐비티(230)와 정렬되는 제2 기판 코어(210)의 일 부분 내에 배치되고 그리고/또는 캐비티(230) 주위의 제2 기판 코어의 주변 부분 내에 배치될 수 있다.27 illustrates an embodiment in which the cavity 230 is formed such that the height H 2 of the removed portion corresponds to the thickness of the substrate core 110 . Reinforcement structures 120 may be disposed within a peripheral portion of substrate core 110 , reinforcement structures 220 may be disposed within a portion of second substrate core 210 aligned with cavity 230 and/or Alternatively, it may be disposed within a peripheral portion of the second substrate core around the cavity 230 .

도 28은 제거된 부분의 높이 H2가 기판 코어(110)의 두께보다 더 작도록 캐비티(230)가 형성되는 실시예를 예시하고 있다. 보강 구조체들(120)은 기판 코어(110)의 주변 부분 내에 그리고/또는 캐비티(230)와 정렬되는 기판 코어(110)의 일 부분 내에 배치될 수 있고 캐비티(230)를 형성하는 공정에 의해 시닝될 수 있다. 보강 구조체들(220)은 캐비티(230)와 정렬되는 제2 기판 코어(210)의 일 부분 내에 배치되고 그리고/또는 캐비티(230) 주위의 제2 기판 코어의 주변 부분 내에 배치될 수 있다.28 illustrates an embodiment in which the cavity 230 is formed such that the height H 2 of the removed portion is smaller than the thickness of the substrate core 110 . The reinforcement structures 120 may be disposed within a peripheral portion of the substrate core 110 and/or within a portion of the substrate core 110 aligned with the cavity 230 and thinned by a process forming the cavity 230 . can be The reinforcement structures 220 may be disposed within a portion of the second substrate core 210 that is aligned with the cavity 230 and/or may be disposed within a peripheral portion of the second substrate core around the cavity 230 .

도 29는 제거된 부분의 높이 H2가 기판 코어(110)의 두께보다 더 크도록 캐비티(230)가 형성되고 제2 기판 코어(210) 내로 연장되지만 제2 기판 코어(210)를 완전히 관통하지는 않게 연장되는 실시예를 예시하고 있다. 보강 구조체들(120)은 기판 코어(110)의 주변 부분 내에 배치될 수 있다. 보강 구조체들(220)은 캐비티(230)를 둘러싸는 제2 기판 코어(210)의 주변 부분 내에 배치될 수 있고 그리고/또는 캐비티(230)와 정렬되는 제2 기판 코어의 일 부분 내에 배치될 수 있으며 캐비티(230)를 형성하는 공정에 의해 시닝될 수 있다.29 shows that the cavity 230 is formed such that the height H 2 of the removed portion is greater than the thickness of the substrate core 110 and extends into the second substrate core 210 but does not completely penetrate the second substrate core 210 . An example that is not extended is illustrated. The reinforcing structures 120 may be disposed within a peripheral portion of the substrate core 110 . The reinforcement structures 220 may be disposed within a peripheral portion of the second substrate core 210 surrounding the cavity 230 and/or may be disposed within a portion of the second substrate core aligned with the cavity 230 . and may be thinned by a process of forming the cavity 230 .

도 30은 스루홀(240)이 기판 코어(110) 및 제2 기판 코어(210)를 완전히 관통하여 연장되는 실시예를 예시하고 있다. 보강 구조체들(120)은 기판 코어(110)의 주변 부분 내에 배치될 수 있고, 보강 구조체들(220)은 제2 기판 코어(210)의 주변 부분 내에 배치될 수 있다.30 illustrates an embodiment in which the through hole 240 extends completely through the substrate core 110 and the second substrate core 210 . The reinforcement structures 120 may be disposed in a peripheral portion of the substrate core 110 , and the reinforcement structures 220 may be disposed in a peripheral portion of the second substrate core 210 .

도 31 내지 도 79는 일부 실시예들에 따른, 다양한 패키지 컴포넌트들을 형성하기 위해 인터포저 기판(100) 또는 인터포저 기판(200)을 다른 디바이스들과 함께 패키징하기 위한 공정의 중간 단계들의 단면도들을 예시하고 있다. 패키지 컴포넌트들은 다수의 영역들을 포함할 수 있고, 하나의 인터포저 기판(100) 또는 인터포저 기판(200)은 각각의 영역 내에 패키징된다. 패키지 컴포넌트들의 하나의 영역이 예시되어 있다.31-79 illustrate cross-sectional views of intermediate steps in a process for packaging interposer substrate 100 or interposer substrate 200 with other devices to form various package components, in accordance with some embodiments. are doing The package components may include multiple regions, and one interposer substrate 100 or interposer substrate 200 is packaged within each region. One area of package components is illustrated.

도 31 내지 도 42는, 일부 실시예들에 따른, 하부 팬-아웃 패키지(300)를 형성하기 위한 공정의 중간 단계들의 단면도들을 예시하고 있다. 하부 팬-아웃 패키지(300)의 형성은 아래에서 논의되는 실시예들 중 임의의 것에서 사용될 수 있다. 도 31에서, 캐리어 기판(302)이 제공되고, 이형 층(304)은 캐리어 기판(302) 상에 형성된다. 캐리어 기판(302)은 캐리어 기판(102)에 대한 후보들 중 임의의 것과 유사할 수 있고, 이형 층(304)은, 각각이 도 1과 관련하여 앞서 논의된, 이형 층(104)에 대한 후보들 중 임의의 것과 유사할 수 있다. 이형 층(304)의 상부 표면은 레벨링될 수 있고 높은 정도의 코플래너리티(coplanarity)를 가질 수 있다.31-42 illustrate cross-sectional views of intermediate steps of a process for forming the bottom fan-out package 300 , in accordance with some embodiments. The formation of the lower fan-out package 300 may be used in any of the embodiments discussed below. 31 , a carrier substrate 302 is provided, and a release layer 304 is formed on the carrier substrate 302 . The carrier substrate 302 can be similar to any of the candidates for the carrier substrate 102 , and the release layer 304 is one of the candidates for the release layer 104 , each discussed above with respect to FIG. 1 . It may be similar to anything. The upper surface of the release layer 304 may be leveled and may have a high degree of coplanarity.

도 32에서, 제1 재배선 구조체(306)는 이형 층(304) 상에 형성된다. 제1 재배선 구조체(306)는 유전체 층들(308, 312, 316, 및 320); 및 금속화 패턴들(310, 314, 및 318)을 포함한다. 금속화 패턴들은 재배선 층들(redistribution layers) 또는 재배선 라인들(redistribution lines)이라고 또한 지칭될 수 있다. 제1 재배선 구조체(306)가 예로서 도시되어 있다. 보다 많은 또는 보다 적은 유전체 층들 및 금속화 패턴들이 제1 재배선 구조체(306) 내에 형성될 수 있다. 보다 적은 유전체 층들 및 금속화 패턴들이 형성되어야 하는 경우, 아래에서 논의되는 단계들 및 공정이 생략될 수 있다. 보다 많은 유전체 층들 및 금속화 패턴들이 형성되어야 하는 경우, 아래에서 논의되는 단계들 및 공정들이 반복될 수 있다.In FIG. 32 , a first redistribution structure 306 is formed on the release layer 304 . The first redistribution structure 306 includes dielectric layers 308 , 312 , 316 , and 320 ; and metallization patterns 310 , 314 , and 318 . The metallization patterns may also be referred to as redistribution layers or redistribution lines. A first redistribution structure 306 is shown as an example. More or fewer dielectric layers and metallization patterns may be formed in the first redistribution structure 306 . If fewer dielectric layers and metallization patterns are to be formed, the steps and process discussed below may be omitted. If more dielectric layers and metallization patterns are to be formed, the steps and processes discussed below may be repeated.

제1 재배선 구조체(306)를 형성하기 위한 예로서, 유전체 층(308)은 이형 층(304) 상에 퇴적된다. 일부 실시예들에서, 유전체 층(308)은 리소그래피 마스크를 사용하여 패터닝될 수 있는, 폴리벤즈옥사졸(polybenzoxazole)(PBO), 폴리이미드(polyimide), 벤조시클로부텐(benzocyclobutene)(BCB), 또는 이와 유사한 것과 같은 광감성 재료로 형성된다. 유전체 층(308)은 스핀 코팅, 라미네이션, CVD, 이와 유사한 것, 또는 이들의 조합에 의해 형성될 수 있다. 유전체 층(308)은 이어서 패터닝된다. 패터닝은 이형 층(304)의 부분들을 노출시키는 개구부들을 형성한다. 패터닝은, 유전체 층(308)이 감광성 재료일 때 유전체 층(308)을 광에 노광시키는 것, 또는, 예를 들어, 이방성 에칭을 사용하여 에칭하는 것과 같은, 허용가능한 공정에 의해 이루어질 수 있다. 유전체 층(308)이 감광성 재료이면, 유전체 층(308)은 노광 이후에 현상될 수 있다.As an example for forming the first redistribution structure 306 , a dielectric layer 308 is deposited on the release layer 304 . In some embodiments, dielectric layer 308 may be patterned using a lithographic mask, polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), or It is formed of a photosensitive material such as the like. The dielectric layer 308 may be formed by spin coating, lamination, CVD, the like, or a combination thereof. Dielectric layer 308 is then patterned. The patterning forms openings exposing portions of the release layer 304 . The patterning may be accomplished by exposing the dielectric layer 308 to light when the dielectric layer 308 is a photosensitive material, or by an acceptable process, such as etching using, for example, anisotropic etching. If the dielectric layer 308 is a photosensitive material, the dielectric layer 308 may be developed after exposure.

금속화 패턴(310)이 이어서 형성된다. 금속화 패턴(310)은 유전체 층(308)의 주 표면(major surface) 상에 있고 그를 따라 연장되는 도전성 라인들을 포함한다. 금속화 패턴(310)은 유전체 층(308)을 관통하여 연장되는 도전성 비아들을 더 포함한다. 금속화 패턴(310)을 형성하기 위해, 시드 층이 유전체 층(308) 위에 그리고 유전체 층(308)을 관통하여 연장되는 개구부들 내에 형성된다. 일부 실시예들에서, 시드 층은, 단일 층 또는 상이한 재료들로 형성된 복수의 서브층들(sub-layers)을 포함하는 복합 층(composite layer)일 수 있는, 금속 층이다. 일부 실시예들에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은, 예를 들어, PVD, 또는 이와 유사한 것을 사용하여 형성될 수 있다. 포토레지스트가 이어서 시드 층 상에 형성되고 패터닝된다. 포토레지스트는 스핀 코팅 또는 이와 유사한 것에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 금속화 패턴(310)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 관통하여 개구부들을 형성한다. 도전성 재료가 이어서 포토레지스트의 개구부들 내에 그리고 시드 층의 노출된 부분들 상에 형성된다. 도전성 재료는, 전기 도금 또는 무전해 도금, 또는 이와 유사한 것과 같은, 도금에 의해 형성될 수 있다. 도전성 재료는, 구리, 티타늄, 텅스텐, 알루미늄, 또는 이와 유사한 것과 같은, 금속을 포함할 수 있다. 시드 층의 아래에 놓이는 부분들과 도전성 재료의 조합은 금속화 패턴(310)을 형성한다. 도전성 재료가 형성되지 않은 시드 층의 부분들 및 포토레지스트는 제거된다. 포토레지스트는, 산소 플라스마 또는 이와 유사한 것을 사용하는 것과 같이, 허용가능한 애싱 또는 스트리핑 공정에 의해 제거될 수 있다. 포토레지스트가 일단 제거되면, 습식 또는 건식 에칭에 의해서와 같이, 허용가능한 에칭 공정을 사용하는 것에 의해서와 같이, 시드 층의 노광된 부분들이 제거된다.A metallization pattern 310 is then formed. The metallization pattern 310 includes conductive lines on and extending along a major surface of the dielectric layer 308 . The metallization pattern 310 further includes conductive vias extending through the dielectric layer 308 . To form the metallization pattern 310 , a seed layer is formed over the dielectric layer 308 and in openings extending through the dielectric layer 308 . In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD, or the like. A photoresist is then formed and patterned on the seed layer. The photoresist may be formed by spin coating or the like and exposed to light for patterning. The photoresist pattern corresponds to the metallization pattern 310 . The patterning forms openings through the photoresist to expose the seed layer. A conductive material is then formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating, such as electroplating or electroless plating, or the like. The conductive material may include a metal, such as copper, titanium, tungsten, aluminum, or the like. The combination of the conductive material and the underlying portions of the seed layer forms the metallization pattern 310 . Portions of the seed layer where no conductive material has been formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed, such as by using an acceptable etching process, such as by wet or dry etching.

유전체 층(312)은 금속화 패턴(310) 및 유전체 층(308) 상에 퇴적된다. 유전체 층(312)은 유전체 층(308)과 유사한 방식으로 형성될 수 있고, 유전체 층(308)과 동일한 재료로 형성될 수 있다.A dielectric layer 312 is deposited over the metallization pattern 310 and the dielectric layer 308 . Dielectric layer 312 may be formed in a similar manner to dielectric layer 308 and may be formed of the same material as dielectric layer 308 .

금속화 패턴(314)이 이어서 형성된다. 금속화 패턴(314)은 유전체 층(312)의 주 표면 상에 있고 그를 따라 연장되는 도전성 라인들을 포함한다. 금속화 패턴(314)은 금속화 패턴(310)에 물리적으로 그리고 전기적으로 접속되도록 유전체 층(312)을 관통하여 연장되는 도전성 비아들을 더 포함한다. 금속화 패턴(314)은 금속화 패턴(310)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(310)과 동일한 재료로 형성될 수 있다. 금속화 패턴(314)의 도전성 비아들은 금속화 패턴(310)의 도전성 비아들보다 더 작은 폭을 갖는다. 이에 따라, 금속화 패턴(314)을 위한 유전체 층(312)을 패터닝할 때, 유전체 층(312) 내의 개구부들의 폭은 유전체 층(308) 내의 개구부들의 폭보다 더 작다.A metallization pattern 314 is then formed. The metallization pattern 314 includes conductive lines on and extending along a major surface of the dielectric layer 312 . The metallization pattern 314 further includes conductive vias extending through the dielectric layer 312 to physically and electrically connect to the metallization pattern 310 . The metallization pattern 314 may be formed in a similar manner to the metallization pattern 310 , and may be formed of the same material as the metallization pattern 310 . The conductive vias of the metallization pattern 314 have a smaller width than the conductive vias of the metallization pattern 310 . Accordingly, when patterning the dielectric layer 312 for the metallization pattern 314 , the width of the openings in the dielectric layer 312 is smaller than the width of the openings in the dielectric layer 308 .

유전체 층(316)은 금속화 패턴(314) 및 유전체 층(312) 상에 퇴적된다. 유전체 층(316)은 유전체 층(308)과 유사한 방식으로 형성될 수 있고, 유전체 층(308)과 동일한 재료로 형성될 수 있다.A dielectric layer 316 is deposited over the metallization pattern 314 and the dielectric layer 312 . Dielectric layer 316 may be formed in a similar manner to dielectric layer 308 and may be formed of the same material as dielectric layer 308 .

금속화 패턴(318)이 이어서 형성된다. 금속화 패턴(318)은 유전체 층(316)의 주 표면 상에 있고 그를 따라 연장되는 도전성 라인들을 포함한다. 금속화 패턴(318)은 금속화 패턴(314)에 물리적으로 그리고 전기적으로 접속되도록 유전체 층(316)을 관통하여 연장되는 도전성 비아들을 더 포함한다. 금속화 패턴(318)은 금속화 패턴(310)과 유사한 방식으로 형성될 수 있고, 금속화 패턴(310)과 동일한 재료로 형성될 수 있다. 금속화 패턴(318)의 도전성 비아들은 금속화 패턴(310)의 도전성 비아들보다 더 작은 폭을 갖는다. 이에 따라, 금속화 패턴(314)을 위한 유전체 층(316)을 패터닝할 때, 유전체 층(316) 내의 개구부들의 폭은 유전체 층(308) 내의 개구부들의 폭보다 더 작다.A metallization pattern 318 is then formed. The metallization pattern 318 includes conductive lines on and extending along a major surface of the dielectric layer 316 . The metallization pattern 318 further includes conductive vias extending through the dielectric layer 316 to physically and electrically connect to the metallization pattern 314 . The metallization pattern 318 may be formed in a similar manner to the metallization pattern 310 , and may be formed of the same material as the metallization pattern 310 . The conductive vias of the metallization pattern 318 have a smaller width than the conductive vias of the metallization pattern 310 . Accordingly, when patterning the dielectric layer 316 for the metallization pattern 314 , the width of the openings in the dielectric layer 316 is smaller than the width of the openings in the dielectric layer 308 .

유전체 층(320)은 금속화 패턴(318) 및 유전체 층(316) 상에 퇴적된다. 유전체 층(320)은 유전체 층(308)과 유사한 방식으로 형성될 수 있고, 유전체 층(308)과 동일한 재료로 형성될 수 있다.A dielectric layer 320 is deposited over the metallization pattern 318 and the dielectric layer 316 . Dielectric layer 320 may be formed in a similar manner to dielectric layer 308 and may be formed of the same material as dielectric layer 308 .

도 33에서, UBM들(322)은 유전체 층(320) 상에 형성되고 유전체 층(320)을 관통하여 연장된다. UBM들(322)을 형성하기 위한 예로서, 유전체 층(320)은 금속화 패턴(318)의 부분들을 노출시키는 개구부들을 형성하도록 패터닝될 수 있다. 패터닝은, 유전체 층(320)이 감광성 재료일 때 유전체 층(320)을 광에 노광시키는 것, 또는, 예를 들어, 이방성 에칭을 사용하여 에칭하는 것과 같은, 허용가능한 공정에 의해 이루어질 수 있다. 유전체 층(320)이 감광성 재료이면, 유전체 층(320)은 노광 이후에 현상될 수 있다. 일부 실시예들에서, UBM들(322)을 위한 개구부들은 금속화 패턴들(310, 314, 및 318)의 도전성 비아 부분들을 위한 개구부들보다 더 넓을 수 있다. 일부 실시예들에서, UBM들(322)을 위한 개구부들은 금속화 패턴들(310, 314, 및 318)의 도전성 비아 부분들을 위한 개구부들보다 더 좁거나 그와 거의 동일한 폭일 수 있다. 시드 층은 유전체 층(320) 위에 그리고 개구부들 내에 형성된다. 일부 실시예들에서, 시드 층은, 단일 층 또는 상이한 재료들로 형성된 복수의 서브층들(sub-layers)을 포함하는 복합 층(composite layer)일 수 있는, 금속 층이다. 일부 실시예들에서, 시드 층은 티타늄 층 및 티타늄 층 위의 구리 층을 포함한다. 시드 층은, 예를 들어, PVD 또는 이와 유사한 것을 사용하여 형성될 수 있다. 포토레지스트가 이어서 시드 층 상에 형성되고 패터닝된다. 포토레지스트는 스핀 코팅 또는 이와 유사한 것에 의해 형성될 수 있고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 UBM들(322)에 대응한다. 패터닝은 시드 층을 노출시키도록 포토레지스트를 관통하여 개구부들을 형성한다. 도전성 재료가 포토레지스트의 개구부들 내에 그리고 시드 층의 노출된 부분들 상에 형성된다. 도전성 재료는, 전기 도금 또는 무전해 도금, 또는 이와 유사한 것과 같은, 도금에 의해 형성될 수 있다. 도전성 재료는, 구리, 니켈, 티타늄, 텅스텐, 알루미늄, 또는 이와 유사한 것과 같은, 금속을 포함할 수 있다. 이어서, 도전성 재료가 형성되지 않은 시드 층의 부분들 및 포토레지스트는 제거된다. 포토레지스트는, 산소 플라스마 또는 이와 유사한 것을 사용하는 것과 같이, 허용가능한 애싱 또는 스트리핑 공정에 의해 제거될 수 있다. 포토레지스트가 일단 제거되면, 습식 또는 건식 에칭에 의해서와 같이, 허용가능한 에칭 공정을 사용하는 것에 의해서와 같이, 시드 층의 노광된 부분들이 제거된다. 시드 층 및 도전성 재료의 남아 있는 부분들은 UBM들(322)을 형성한다. UBM들(322)이 상이하게 형성되는 실시예들에서, 보다 많은 포토레지스트 및 패터닝 단계들이 이용될 수 있다.In FIG. 33 , UBMs 322 are formed on and extend through dielectric layer 320 . As an example for forming the UBMs 322 , the dielectric layer 320 may be patterned to form openings exposing portions of the metallization pattern 318 . The patterning may be accomplished by exposing the dielectric layer 320 to light when the dielectric layer 320 is a photosensitive material, or by an acceptable process, such as etching using, for example, anisotropic etching. If dielectric layer 320 is a photosensitive material, dielectric layer 320 may be developed after exposure. In some embodiments, the openings for the UBMs 322 may be wider than the openings for the conductive via portions of the metallization patterns 310 , 314 , and 318 . In some embodiments, the openings for the UBMs 322 may be narrower or approximately the same width as the openings for the conductive via portions of the metallization patterns 310 , 314 , and 318 . A seed layer is formed over the dielectric layer 320 and in the openings. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising a plurality of sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is then formed and patterned on the seed layer. The photoresist may be formed by spin coating or the like and exposed to light for patterning. The pattern of photoresist corresponds to the UBMs 322 . The patterning forms openings through the photoresist to expose the seed layer. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed by plating, such as electroplating or electroless plating, or the like. The conductive material may include a metal, such as copper, nickel, titanium, tungsten, aluminum, or the like. Then, portions of the seed layer where no conductive material has been formed and the photoresist are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed, such as by using an acceptable etching process, such as by wet or dry etching. The remaining portions of the seed layer and conductive material form UBMs 322 . In embodiments where the UBMs 322 are formed differently, more photoresist and patterning steps may be used.

UBM들(322) 모두가 동일한 폭을 갖는 것은 아닐 수 있다. 일부 실시예들에서, 제1 재배선 구조체(306)의 제1 영역(306A) 내의 UBM들(322)의 제1 서브세트는 제1 폭 W6을 가지며, 제1 재배선 구조체(306)의 제2 영역(306B) 내의 UBM들(322)의 제2 서브세트는 제2 폭 W7을 가진다. 제1 폭 W6은 제2 폭 W7과 상이할 수 있고, 일부 실시예들에서 제1 폭 W6은 제2 폭 W7보다 더 크다. 폭 W6은, 약 170 μm와 같은, 약 100 μm 내지 약 250 μm일 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 폭 W7은, 약 48 μm와 같은, 약 30 μm 내지 약 70 μm일 수 있지만, 다른 값들이 고려되고 사용될 수 있다.Not all UBMs 322 may have the same width. In some embodiments, the first subset of UBMs 322 in the first region 306A of the first redistribution structure 306 has a first width W 6 , A second subset of UBMs 322 in second region 306B has a second width W 7 . The first width W 6 can be different from the second width W 7 , and in some embodiments the first width W 6 is greater than the second width W 7 . The width W 6 can be from about 100 μm to about 250 μm, such as about 170 μm, although other values are contemplated and may be used. The width W 7 may be from about 30 μm to about 70 μm, such as about 48 μm, although other values are contemplated and may be used.

도 34에서, 일부 실시예들에 따르면, 제1 영역(306A)의 UBM들(322)의 일부 또는 전부는 그 대신에 도전성 필라들(322p) 내에 형성될 수 있다. 도전성 필라들(322p)이, 약 60 μm와 같은, 약 10 μm 내지 약 150 μm와 같은, 원하는 높이 H8에 도달할 때까지 포토레지스트를 통해 제1 영역(306A)의 UBM들(322)을 도금함으로써 도전성 필라들(322p)이 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 일부 실시예들에서, 도전성 필라들의 폭 W8은 금속화 패턴(318)의 부분들을 노출시키도록 패터닝된 유전체 층(320) 내의 개구부들에 대응할 수 있다. 일부 실시예들에서, 폭 W8은 유전체 층(320) 내의 개구부들보다 더 넓거나 더 좁을 수 있다. 폭 W8은, 약 150 μm와 같은, 약 80 μm 내지 약 230 μm일 수 있지만, 다른 값들이 고려되고 사용될 수 있다.34 , some or all of the UBMs 322 of the first region 306A may instead be formed in the conductive pillars 322p, according to some embodiments. Conductive pillars 322p push the UBMs 322 of the first region 306A through the photoresist until they reach a desired height H 8 , such as about 60 μm, such as about 10 μm to about 150 μm. Although conductive pillars 322p may be formed by plating, other values are contemplated and may be used. In some embodiments, the width W 8 of the conductive pillars may correspond to openings in the dielectric layer 320 that are patterned to expose portions of the metallization pattern 318 . In some embodiments, width W 8 may be wider or narrower than the openings in dielectric layer 320 . The width W 8 can be from about 80 μm to about 230 μm, such as about 150 μm, although other values are contemplated and may be used.

도 35에서, 일부 실시예들에 따르면, 제1 영역(306A)의 UBM들(322)의 일부 또는 전부는 도전성 필라들(322p)이 그 상에 배치될 수 있다. UBM들(322)을 형성한 후에, 다른 포토레지스트가 스핀 코팅 또는 이와 유사한 것에 의해 형성되고, 패터닝을 위해 광에 노광될 수 있다. 포토레지스트의 패턴은 도전성 필라들(322p)에 대한 패턴에 대응한다. 패터닝은 UBM들(322)을 노출시키도록 포토레지스트 내에 개구부들을 형성한다. 도전성 필라들(322p)이, 약 60 μm와 같은, 약 10 μm 내지 약 150 μm와 같은, 원하는 높이 H9에 도달할 때까지 전기 도금 또는 무전해 도금과 같은 도금, 또는 이와 유사한 것에 의해 도전성 필라들(322p)의 도전성 재료가 형성될 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 도전성 필라들의 폭 W9는 포토레지스트의 패턴의 개구부들의 폭에 대응한다. 폭 W9는, 약 150 μm와 같은, 약 80 μm 내지 약 230 μm일 수 있지만, 다른 값들이 고려되고 사용될 수 있다. 도전성 재료는, 구리, 티타늄, 텅스텐, 알루미늄, 또는 이와 유사한 것과 같은, 금속을 포함할 수 있다. 이어서, 포토레지스트가 제거된다. 포토레지스트는, 산소 플라스마 또는 이와 유사한 것을 사용하는 것과 같이, 허용가능한 애싱 또는 스트리핑 공정에 의해 제거될 수 있다. 결과적인 구조체는 도전성 필라들(322p)의 베이스(base)를 둘러싸는 UBM들(322)의 숄더(shoulder)(322s)를 가질 수 있다.35 , some or all of the UBMs 322 of the first region 306A may have conductive pillars 322p disposed thereon, according to some embodiments. After forming the UBMs 322 , another photoresist may be formed by spin coating or the like and exposed to light for patterning. The pattern of photoresist corresponds to the pattern for the conductive pillars 322p. The patterning forms openings in the photoresist to expose the UBMs 322 . Plating, such as electroplating or electroless plating, or the like, until the conductive pillars 322p reach a desired height H 9 , such as about 60 μm, such as about 10 μm to about 150 μm, or the like. Although the conductive material of fields 322p may be formed, other values are contemplated and may be used. The width W 9 of the conductive pillars corresponds to the width of the openings in the pattern of photoresist. The width W 9 may be from about 80 μm to about 230 μm, such as about 150 μm, although other values are contemplated and may be used. The conductive material may include a metal, such as copper, titanium, tungsten, aluminum, or the like. The photoresist is then removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using oxygen plasma or the like. The resulting structure may have a shoulder 322s of UBMs 322 surrounding the base of the conductive pillars 322p.

비록 나머지 도면들이 도 35와 관련하여 설명된 바와 같이 구성되는 도전성 필라들(322p)을 예시하고 있지만, 달리 언급되지 않는 한 적절한 경우, 도 34와 관련하여 설명된 바와 같이 구성되는(즉, UBM(322)을 갖지 않는) 도전성 필라들(322p)로 대체될(substituted) 수 있음이 이해되어야 한다.Although the remaining figures illustrate conductive pillars 322p configured as described with respect to FIG. 35 , unless otherwise noted, where appropriate, configured as described with respect to FIG. 34 (i.e., UBM ( It should be understood that the conductive pillars 322p (without 322) may be substituted.

도 36 내지 도 45는, 일부 실시예들에 따른, 팬-아웃 하부 패키지 및 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다. 도 36에서, 집적 회로 다이(324)는 제1 재배선 구조체(306) 위에 배치된다. 집적 회로 다이(324)는 로직 다이(예컨대, 중앙 프로세싱 유닛, 마이크로제어기 등), 메모리 다이(예컨대, DRAM(dynamic random access memory) 다이, SRAM(static random access memory) 다이 등), 전력 관리 다이(예컨대, PMIC(power management integrated circuit) 다이), RF(radio frequency) 다이, 센서 다이, MEMS(micro-electro-mechanical-system) 다이, 신호 프로세싱 다이(예컨대, DSP(digital signal processing) 다이), 프런트 엔드(front-end) 다이(예컨대, AFE(analog front-end) 다이), 이와 유사한 것, 또는 이들의 조합(예컨대, SoC(system-on-chip))일 수 있다.36-45 illustrate various intermediate steps in a process of forming a package structure including a fan-out bottom package and an interposer, in accordance with some embodiments. In FIG. 36 , the integrated circuit die 324 is disposed over the first redistribution structure 306 . The integrated circuit die 324 may include a logic die (eg, a central processing unit, a microcontroller, etc.), a memory die (eg, a dynamic random access memory (DRAM) die, a static random access memory (SRAM) die, etc.), a power management die (eg, For example, a power management integrated circuit (PMIC) die, a radio frequency (RF) die, a sensor die, a micro-electro-mechanical-system (MEMS) die, a signal processing die (eg, a digital signal processing (DSP) die), a front It may be a front-end die (eg, an analog front-end (AFE) die), the like, or a combination thereof (eg, a system-on-chip (SoC)).

집적 회로 다이(324)는 반도체 기판을 포함하며, 트랜지스터들, 다이오드들, 커패시터들, 저항기들 등과 같은 디바이스들이 반도체 기판 내에 그리고/또는 그 상에 형성된다. 디바이스들은, 예를 들어, 집적 회로를 형성하기 위해 반도체 기판 상의 하나 이상의 유전체 층 내의 금속화 패턴들에 의해 형성된 인터커넥트 구조체들에 의해 상호접속될 수 있다. 집적 회로 다이(324)는 외부 접속들이 이루어지는, 알루미늄 패드들과 같은, 패드들(326)을 더 포함한다. 패드들(326)은 집적 회로 다이(324)의 각자의 활성면들(active sides)이라고 지칭될 수 있는 것 상에 있고, 인터커넥트 구조체들의 최상부 층들(uppermost layers) 내에 있을 수 있다. 집적 회로 다이(324)의 활성면이 제1 재배선 구조체(306) 쪽으로 향해 있기 때문에, 제1 재배선 구조체(306)는 전면 재배선 구조체(front-side redistribution structure)라고 또한 지칭될 수 있다. 그리고 집적 회로 다이(324)의 활성면이 아래로 제1 재배선 구조체(306) 쪽으로 향해 있기 때문에, 결과적인 패키지는 하부 팬-아웃 패키지라고 지칭될 수 있다. 도전성 커넥터들(328)은 패드들(326) 상에 형성될 수 있다. 도전성 커넥터들(328)은 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석, 이와 유사한 것, 또는 이들의 조합과 같은 도전성 재료로 형성될 수 있다. 일부 실시예들에서, 도전성 커넥터들(328)은 솔더 커넥터들이다.The integrated circuit die 324 includes a semiconductor substrate in which devices such as transistors, diodes, capacitors, resistors, etc. are formed in and/or on the semiconductor substrate. Devices may be interconnected, for example, by interconnect structures formed by metallization patterns in one or more dielectric layers on a semiconductor substrate to form an integrated circuit. The integrated circuit die 324 further includes pads 326, such as aluminum pads, to which external connections are made. Pads 326 are on what may be referred to as respective active sides of integrated circuit die 324 and may be in uppermost layers of interconnect structures. Because the active side of the integrated circuit die 324 faces towards the first redistribution structure 306 , the first redistribution structure 306 may also be referred to as a front-side redistribution structure. And because the active side of the integrated circuit die 324 faces down towards the first redistribution structure 306 , the resulting package may be referred to as a bottom fan-out package. Conductive connectors 328 may be formed on the pads 326 . The conductive connectors 328 may be formed of a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. In some embodiments, the conductive connectors 328 are solder connectors.

집적 회로 다이(324)는, 예컨대, 픽-앤-플레이스(pick-and-place) 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(328)이 제2 영역(306B) 내의 UBM들(322)과 정렬되도록 집적 회로 다이(324)가 재배선 구조체(306) 상에 배치된다. 집적 회로 다이(324)가 배치된 후에, 도전성 커넥터들(328)이 리플로되어 대응하는 UBM들(322)과 패드들(326) 사이에 접합부들(joints)을 형성하여, 집적 회로 다이(324)를 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다.The integrated circuit die 324 may be aligned and placed using, for example, a pick-and-place tool. An integrated circuit die 324 is disposed on the redistribution structure 306 such that the conductive connectors 328 are aligned with the UBMs 322 in the second region 306B. After the integrated circuit die 324 is placed, the conductive connectors 328 are reflowed to form joints between the corresponding UBMs 322 and the pads 326, so that the integrated circuit die 324 ) physically and electrically connected to the first redistribution structure 306 .

언더필(underfill)(330)이 집적 회로 다이(324)와 제1 재배선 구조체(306) 사이에 형성되어, 도전성 커넥터들(328)을 둘러쌀 수 있다. 이와 같이, 도전성 커넥터들(328)이 기계적 힘들로부터 보호될 수 있다. 언더필(330)은 집적 회로 다이(324)가 부착된 후에 모세관 유동 공정(capillary flow process)에 의해 형성될 수 있거나, 집적 회로 다이(324)가 부착되기 전에 적당한 퇴적 방법에 의해 형성될 수 있다.An underfill 330 may be formed between the integrated circuit die 324 and the first redistribution structure 306 to surround the conductive connectors 328 . As such, the conductive connectors 328 may be protected from mechanical forces. The underfill 330 may be formed by a capillary flow process after the integrated circuit die 324 is attached, or may be formed by a suitable deposition method before the integrated circuit die 324 is attached.

도 37에서, 일부 실시예들에 따르면, 인터포저 기판(100)(예컨대, 도 7 참조)은 도전성 커넥터들(126)을 도전성 필라들(322p)의 각자의 도전성 필라들에 커플링시키도록 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 UBM들(322) 및/또는 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.In FIG. 37 , the interposer substrate 100 (eg, see FIG. 7 ) is conductive to couple the conductive connectors 126 to respective conductive pillars of the conductive pillars 322p, according to some embodiments. aligned to pillars 322p. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the UBMs 322 and/or the conductive pillars 322p in the first region 306A.

도 38에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 봉지재(encapsulant)(334)가 다양한 컴포넌트들 상에 형성된다. 봉지재(334)는 몰딩 컴파운드, 에폭시, 또는 이와 유사한 것일 수 있고, 압축 몰딩(compression molding), 트랜스퍼 몰딩(transfer molding), 또는 이와 유사한 것에 의해 도포될(applied) 수 있다. 집적 회로 다이(324)가 매립되거나 커버되고 인터포저 기판(100)과 재배선 구조체(306) 사이의 공간이 충전되도록 봉지재(334)가 제1 재배선 구조체(306) 위에 형성될 수 있다. 봉지재(334)가 이어서 경화된다. 일부 실시예들에서, 봉지재(334)는, 예를 들어, 언더필(330)이 생략된 실시예들에서, 제1 재배선 구조체(306)와 집적 회로 다이(324) 사이에 또한 형성된다.In FIG. 38 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 , so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . An encapsulant 334 is formed on the various components. The encapsulant 334 may be a molding compound, epoxy, or the like, and may be applied by compression molding, transfer molding, or the like. An encapsulant 334 may be formed over the first redistribution structure 306 so that the integrated circuit die 324 is buried or covered and a space between the interposer substrate 100 and the redistribution structure 306 is filled. The encapsulant 334 is then cured. In some embodiments, an encapsulant 334 is also formed between the first redistribution structure 306 and the integrated circuit die 324 , for example, in embodiments where the underfill 330 is omitted.

도 39에 예시된 것과 같은, 일부 실시예들에서, 도전성 커넥터들(126)이 리플로되어 도전성 필라들(322p) 주위에 형성될 수 있다. 집적 회로 다이(100)가 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 그러한 실시예들에서, 도전성 커넥터들(126)은 재료가 도전성 필라(322p)의 전체 길이를 따라 아래로 연장되어 UBM들(322)의 숄더(322s) 부분과 접촉하며, 그로써 도전성 필라(322p)를 도전성 커넥터들의 재료 내에 매립하도록 하는 양의 재료로 형성될 수 있다. UBM들(322)의 숄더(322s) 부분은 "스텝(step)"이라고 또한 지칭될 수 있다. 파선들로 그려진 박스가 도 40에 확대되어 있다.In some embodiments, such as illustrated in FIG. 39 , conductive connectors 126 may be reflowed to form around conductive pillars 322p . After the integrated circuit die 100 is placed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 to form the interposer substrate 100 . physically and electrically connected to the first redistribution structure 306 . In such embodiments, the conductive connectors 126 have a material extending down the entire length of the conductive pillar 322p to contact the shoulder 322s portion of the UBMs 322, thereby providing the conductive pillar 322p. may be formed of an amount of material to be embedded in the material of the conductive connectors. The shoulder 322s portion of the UBMs 322 may also be referred to as a “step”. The box drawn with dashed lines is enlarged in FIG. 40 .

도 40에서, 일부 실시예들에 따른, 도 39의 접속부들(connections)의 확대도가 제공된다. 도 39에 도시된 바와 같이, 리플로 이후에, 도전성 커넥터(126)의 재료는 도전성 필라(322p)를 따라 아래로 연장되어, 도전성 필라(322p)의 상부 및 측벽들을 커버한다. 도전성 커넥터(126)의 재료는 도전성 필라(322p)를 둘러싸는 UBM(322)의 숄더(322s)까지 연장된다. 도전성 커넥터(126)의 재료는 UBM(322)의 측방 범위(lateral extents) 내에 형성된다. 도전성 커넥터(126)의 재료가 리플로될 때, 도전성 필라(322p)는 재료가 주위로 유동(flow around)하여, 도전성 필라(322p)의 측벽들 상에 재료의 실질적으로 균일한 층을 형성하도록 템플릿(template)으로서 기능한다. UBM들(322)의 숄더(322s) 또는 스텝은 리플로된 도전성 커넥터(126)의 외측 폭(outer width)의 한계를 정의하는 템플릿으로서 기능한다. 도전성 필라(322p)는 약 80 μm 내지 약 230 μm일 수 있는 폭 D1, 및 약 10 μm 내지 약 150 μm일 수 있는 높이 D2를 갖는다. 도전성 커넥터(126)는 약 100 μm 내지 약 250 μm의 도전성 필라(322p)를 둘러싸는 폭 D3을 가질 수 있으며, 여기서 D3은 D1보다 더 크다. 일부 실시예들에서, 도전성 필라(322p) 위쪽에서의 폭 D4가 도전성 필라(322p)를 둘러싸는 폭 D3과 동일하여, 비 D4/D3 = 1을 생성할 수 있다. 일부 실시예들에서, D4는 D3보다 더 작거나 더 클 수 있으며 여기서 D4/D3의 비는 약 0.8 내지 약 1.4이다. 리플로 이후의 도전성 커넥터(126)의 높이 D5는 인터포저 기판(100)의 기판 코어(110)와 재배선 구조체(306) 사이의 공간에 대응하고, 약 80 μm 내지 약 180 μm일 수 있다. 이러한 치수들이 예들이고 다른 치수들이 적절한 경우 사용될 수 있음이 이해되어야 한다.In FIG. 40 , an enlarged view of the connections of FIG. 39 is provided, in accordance with some embodiments. 39 , after reflow, the material of the conductive connector 126 extends down along the conductive pillar 322p, covering the top and sidewalls of the conductive pillar 322p. The material of the conductive connector 126 extends to the shoulder 322s of the UBM 322 surrounding the conductive pillar 322p. The material of the conductive connector 126 is formed within lateral extents of the UBM 322 . As the material of the conductive connector 126 reflows, the conductive pillar 322p causes the material to flow around, forming a substantially uniform layer of material on the sidewalls of the conductive pillar 322p. It functions as a template. The shoulder 322s or step of the UBMs 322 serves as a template that defines the limits of the outer width of the reflowed conductive connector 126 . Conductive pillar 322p has a width D 1 , which can be from about 80 μm to about 230 μm, and a height D 2 , which can be from about 10 μm to about 150 μm. The conductive connector 126 may have a width D 3 surrounding the conductive pillar 322p of about 100 μm to about 250 μm, where D 3 is greater than D 1 . In some embodiments, the width D 4 above the conductive pillar 322p may be equal to the width D 3 surrounding the conductive pillar 322p , resulting in a ratio D 4 /D 3 =1. In some embodiments, D 4 may be smaller or greater than D 3 , wherein the ratio of D 4 /D 3 is from about 0.8 to about 1.4. The height D 5 of the conductive connector 126 after reflow corresponds to the space between the substrate core 110 of the interposer substrate 100 and the redistribution structure 306 , and may be about 80 μm to about 180 μm. . It should be understood that these dimensions are examples and other dimensions may be used where appropriate.

도전성 필라(322p)가 도전성 커넥터(126)의 재료에 의해 캡슐화되기(encapsulated) 때문에, 인터포저 기판(100) 및 재배선 구조체(306)와 같은, 상이하게 형성된 구조체들 사이의 CTE 미스매치(mismatch)에 의해 유도되는 뒤틀림 응력들을 보다 잘 견딜 수 있는 강한 접합부가 형성된다. 뒤틀림 응력들을 견디는 것은 접합 실패(joint failure)를 감소시키고 뒤틀림을 감소시킨다. 도전성 필라(322p)와 도전성 커넥터(126) 사이에 접합부를 형성하는 공정은 도전성 필라(322p) 및 숄더(322s)가 리플로를 제어하기 위한 템플릿들로서 기능하기 때문에 다른 커넥터들에 대한 브릿징(bridging)의 감소된 위험을 제공하는 장점을 또한 갖는다. 이 공정은 미세 피치 접합들(fine-pitch joints)을 또한 가능하게 함에도 불구하고 양호한 자기 정렬(self-alignment)을 가능하게 해준다. 견고한 접합은 높은 접합률(joint rate) 및 접합 신뢰성을 제공한다. 또한, 이 공정은 도전성 필라들(322p)을 사용하여 제어된 접합 스탠드오프를 제공한다.Because the conductive pillar 322p is encapsulated by the material of the conductive connector 126 , there is a CTE mismatch between the differently formed structures, such as the interposer substrate 100 and the redistribution structure 306 . ), a strong joint is formed that can better withstand the torsional stresses induced by Withstanding torsion stresses reduces joint failure and reduces warpage. The process of forming the junction between the conductive pillar 322p and the conductive connector 126 is bridging to other connectors because the conductive pillar 322p and the shoulder 322s serve as templates for controlling reflow. ) also has the advantage of providing a reduced risk of This process allows good self-alignment despite also enabling fine-pitch joints. A solid joint provides a high joint rate and joint reliability. This process also provides a controlled bonding standoff using conductive pillars 322p.

도 41에서, 캐리어 기판(302)이 제거된다. 캐리어 기판(302)은 재배선 구조체(306)로부터 분리될(또는 "디본딩될") 수 있다. 일부 실시예들에서, 디본딩은, 이형 층(304)이 광의 열로 인해 분해되고 캐리어 기판(302)이 제거될 수 있도록, 레이저 광 또는 UV 광과 같은 광을 이형 층(304) 상에 투사하는 것을 포함한다. 이 구조체는 이어서 뒤집혀(flipped over) 테이프 상에 배치된다. 디본딩은 재배선 구조체(306)의 금속화 패턴들(310)을 노출시킨다.In Figure 41, the carrier substrate 302 is removed. The carrier substrate 302 may be separated (or “debonded”) from the redistribution structure 306 . In some embodiments, debonding involves projecting light, such as laser light or UV light, onto the release layer 304 such that the release layer 304 can decompose due to the heat of the light and the carrier substrate 302 can be removed. include that This structure is then flipped over and placed on the tape. The debonding exposes the metallization patterns 310 of the redistribution structure 306 .

도 42에서, 도전성 커넥터들(352)은 재배선 구조체(306) 위에 형성된다. 도전성 커넥터들(352)은 금속화 패턴들(310)의 노출된 부분들과 접촉한다. 일부 실시예들에서, 패시베이션 층은 금속화 패턴들(310) 위에 사용되고, 도전성 커넥터들(352)을 형성하기 전에 금속화 패턴들(310)의 일 부분들을 노출시키도록 패터닝될 수 있다. 일부 실시예들에서, UBM들은 금속화 패턴들(310)의 노출된 부분들 위에 형성될 수 있다. 그러한 실시예들에서, UBM들은 UBM들(322)과 유사한 공정들 및 재료들을 사용하여 형성될 수 있다. 도전성 커넥터들(352)은 볼 그리드 어레이(BGA) 커넥터들, 솔더 볼들, 금속 필라들, C4(controlled collapse chip connection) 범프들, 마이크로 범프들, ENEPIG(electroless nickel-electroless palladium-immersion gold technique) 형성 범프들, 또는 이와 유사한 것일 수 있다. 도전성 커넥터들(352)은 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석, 이와 유사한 것, 또는 이들의 조합과 같은 도전성 재료를 포함할 수 있다. 일부 실시예들에서, 도전성 커넥터들(352)은 증발, 전기 도금, 인쇄, 솔더 전사, 볼 배치, 또는 이와 유사한 것과 같은 그러한 통상적으로 사용되는 방법들을 통해 솔더의 층을 초기에 형성하는 것에 의해 형성되는 솔더 커넥터들이다. 솔더의 층이 이 구조체 상에 일단 형성되었으면, 재료를 원하는 범프 형상들로 셰이핑하기 위해 리플로가 수행될 수 있다. 다른 실시예에서, 도전성 커넥터들(352)은 인쇄, 전기 도금, 무전해 도금, 화학적 기상 퇴적(CVD), 물리적 기상 퇴적(PVD) 등에 의해 형성된 (구리 필라와 같은) 금속 필라들을 포함한다. 금속 필라들은 솔더 프리(solder free)이고 실질적으로 수직인 측벽들을 가질 수 있다. 도전성 커넥터들(352)을 형성한 후에, 이 구조체는 뒤집혀 테이프 상에 배치되거나 도전성 커넥터들(352)에 의해 고정될 수 있다. 일부 실시예들에서, 패키지(300)는 도전성 커넥터들(352)(도시되지 않음)을 형성한 후에 테이프 상의 다이들로 곧바로 싱귤레이팅될 수 있다.In FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . The conductive connectors 352 contact exposed portions of the metallization patterns 310 . In some embodiments, a passivation layer is used over the metallization patterns 310 and may be patterned to expose portions of the metallization patterns 310 prior to forming the conductive connectors 352 . In some embodiments, UBMs may be formed over exposed portions of the metallization patterns 310 . In such embodiments, the UBMs may be formed using processes and materials similar to the UBMs 322 . Conductive connectors 352 are formed by ball grid array (BGA) connectors, solder balls, metal pillars, controlled collapse chip connection (C4) bumps, micro bumps, electroless nickel-electroless palladium-immersion gold technique (ENEPIG). bumps, or the like. The conductive connectors 352 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. In some embodiments, the conductive connectors 352 are formed by initially forming a layer of solder via commonly used methods such as evaporation, electroplating, printing, solder transfer, ball placement, or the like. Solder connectors that become Once a layer of solder has been formed on this structure, reflow may be performed to shape the material into desired bump shapes. In another embodiment, the conductive connectors 352 include metal pillars (such as copper pillars) formed by printing, electroplating, electroless plating, chemical vapor deposition (CVD), physical vapor deposition (PVD), or the like. The metal pillars are solder free and may have substantially vertical sidewalls. After forming the conductive connectors 352 , the structure can be turned over and placed on a tape or secured by the conductive connectors 352 . In some embodiments, package 300 may be singulated directly with dies on tape after forming conductive connectors 352 (not shown).

도 43에서, 디바이스(500)는 인터포저 기판(100)에 실장되어 3D 패키지(600)를 형성할 수 있다. 디바이스(500)는 집적 회로 다이들 또는 다른 인터포저를 포함할 수 있다. 디바이스(500)는 임의적 재배선 구조체(506) 및 디바이스 기판(510)을 포함할 수 있다. 재배선 구조체(506)는 재배선 구조체(306)와 관련하여 앞서 논의된 것들과 유사한 공정들 및 재료들을 사용하여 형성될 수 있다. 디바이스 기판(510)은 안테나들, 메모리 다이들, RF 다이들, 수동 디바이스들, 또는 이들의 조합들, 및 이와 유사한 것을 포함한 집적 회로 다이들을 포함할 수 있다. 집적 회로 다이들은 반도체 기판을 포함하며, 트랜지스터들, 다이오드들, 커패시터들, 저항기들 등과 같은 디바이스들이 반도체 기판 내에 그리고/또는 그 상에 형성된다. 디바이스들은, 예를 들어, 집적 회로를 형성하기 위해 반도체 기판 상의 하나 이상의 유전체 층 내의 금속화 패턴들에 의해 형성된 인터커넥트 구조체들에 의해 상호접속될 수 있다. 디바이스(500)는 재배선 구조체(506) 상에 형성된 도전성 커넥터들(536)을 포함할 수 있다. 도전성 커넥터들(536)은 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석, 이와 유사한 것, 또는 이들의 조합과 같은 도전성 재료로 형성될 수 있다. 디바이스(500)는 도전성 커넥터들(536)을 솔더 레지스트 층(124)을 관통하여 도전성 라인들(113)의 노출된 부분들에 커플링시킴으로써 인터포저 기판(100)에 실장될 수 있다. 일부 실시예들에서, 도전성 커넥터들(536)은 리플로되어 디바이스(500)를 도전성 라인들(113)에 부착시킨다.43 , the device 500 may be mounted on the interposer substrate 100 to form a 3D package 600 . Device 500 may include integrated circuit dies or other interposer. The device 500 may include an optional redistribution structure 506 and a device substrate 510 . The redistribution structure 506 may be formed using processes and materials similar to those discussed above with respect to the redistribution structure 306 . The device substrate 510 may include integrated circuit dies including antennas, memory dies, RF dies, passive devices, or combinations thereof, and the like. Integrated circuit dies include a semiconductor substrate, in which devices such as transistors, diodes, capacitors, resistors, etc. are formed in and/or on the semiconductor substrate. Devices may be interconnected, for example, by interconnect structures formed by metallization patterns in one or more dielectric layers on a semiconductor substrate to form an integrated circuit. Device 500 may include conductive connectors 536 formed on redistribution structure 506 . The conductive connectors 536 may be formed of a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. Device 500 may be mounted to interposer substrate 100 by coupling conductive connectors 536 through solder resist layer 124 to exposed portions of conductive lines 113 . In some embodiments, the conductive connectors 536 are reflowed to attach the device 500 to the conductive lines 113 .

도 44에서, 패키지(600)(예컨대, 도 43 참조)는 도전성 커넥터들(352)을 사용하여 패키지 기판(650)에 실장되어 3D 패키지(700)를 형성할 수 있다. 패키지 기판(650)은 실리콘, 게르마늄, 다이아몬드, 또는 이와 유사한 것과 같은 반도체 재료로 이루어질 수 있다. 대안적으로, 실리콘 게르마늄, 실리콘 탄화물, 갈륨 비소, 인듐 비화물, 인듐 인화물, 실리콘 게르마늄 탄화물, 갈륨 비소 인화물, 갈륨 인듐 인화물, 이들의 조합들, 및 이와 유사한 것과 같은 화합물 재료들(compound materials)이 또한 사용될 수 있다. 부가적으로, 패키지 기판(650)은 SOI(silicon-on-insulator) 기판일 수 있다. 일반적으로, SOI 기판은 에피택셜 실리콘, 게르마늄, 실리콘 게르마늄, SOI, SGOI(silicon-genanium-on-insulator), 또는 이들의 조합들과 같은 반도체 재료의 층을 포함한다. 패키지 기판(650)은, 하나의 대체 실시예에서, 유리섬유 강화 수지 코어(fiberglass reinforced resin core)와 같은 절연 코어(insulating core)에 기초한다. 하나의 예시적인 코어 재료는 FR4와 같은 유리섬유 수지이다. 코어 재료에 대한 대안들은 BT(bismaleimide-triazine) 수지, 또는 대안적으로, 다른 PCB 재료들 또는 필름들을 포함한다. ABF(Ajinomoto Build-up film), MLC(multi-layer core) 기판, 또는 다른 라미네이트들과 같은 빌드업 필름들이 패키지 기판(650)에 사용될 수 있다.In FIG. 44 , a package 600 (eg, see FIG. 43 ) may be mounted on a package substrate 650 using conductive connectors 352 to form a 3D package 700 . The package substrate 650 may be made of a semiconductor material such as silicon, germanium, diamond, or the like. Alternatively, compound materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenide phosphide, gallium indium phosphide, combinations thereof, and the like can also be used. Additionally, the package substrate 650 may be a silicon-on-insulator (SOI) substrate. In general, an SOI substrate includes a layer of semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, silicon-genanium-on-insulator (SGOI), or combinations thereof. The package substrate 650, in one alternative embodiment, is based on an insulating core, such as a fiberglass reinforced resin core. One exemplary core material is a fiberglass resin such as FR4. Alternatives to the core material include bismaleimide-triazine (BT) resin, or alternatively, other PCB materials or films. Build-up films such as Ajinomoto Build-up film (ABF), multi-layer core (MLC) substrate, or other laminates may be used for package substrate 650 .

패키지 기판(650)은 능동 및 수동 디바이스들(도시되지 않음)을 포함할 수 있다. 본 기술분야의 통상의 기술자가 인식할 것인 바와 같이, 트랜지스터들, 커패시터들, 저항기들, 이들의 조합들, 및 이와 유사한 것과 같은 매우 다양한 디바이스들이 패키지 기판(650)에 대한 설계의 구조적 및 기능적 요구사항들을 생성하는 데 사용될 수 있다. 디바이스들은 임의의 적당한 방법들을 사용하여 형성될 수 있다.The package substrate 650 may include active and passive devices (not shown). As one of ordinary skill in the art will recognize, a wide variety of devices, such as transistors, capacitors, resistors, combinations thereof, and the like, are structural and functional in design for package substrate 650 . It can be used to create requirements. The devices may be formed using any suitable methods.

패키지 기판(650)은 금속화 층들 및 비아들(도시되지 않음)과 금속화 층들 및 비아들 위의 본드 패드들(664)을 또한 포함할 수 있다. 금속화 층들은 능동 및 수동 디바이스들 위에 형성될 수 있고, 다양한 디바이스들을 접속시켜 기능 회로부를 형성하도록 설계된다. 금속화 층들은 도전성 재료의 층들을 상호접속시키는 비아들을 갖는 유전체 재료(예컨대, 로우-k 유전체 재료) 및 도전성 재료(예컨대, 구리)의 교호 층들로 형성될 수 있고, (퇴적, 다마신(damascene), 듀얼 다마신(dual damascene), 또는 이와 유사한 것과 같은) 임의의 적당한 공정을 통해 형성될 수 있다. 일부 실시예들에서, 패키지 기판(650)은 능동 및 수동 디바이스들이 실질적으로 없다.The package substrate 650 may also include metallization layers and vias (not shown) and bond pads 664 over the metallization layers and vias. Metallization layers can be formed over active and passive devices and are designed to connect various devices to form functional circuitry. The metallization layers may be formed of alternating layers of a dielectric material (eg, low-k dielectric material) and a conductive material (eg, copper) having vias interconnecting the layers of conductive material (eg, deposition, damascene) ), dual damascene, or the like) may be formed through any suitable process. In some embodiments, the package substrate 650 is substantially free of active and passive devices.

일부 실시예들에서, 도전성 커넥터들(352)은 리플로되어 패키지(600)(도 43)를 패키지 기판(650)의 본드 패드들(664)에 부착시킨다. 도전성 커넥터들(352)은, 패키지 기판(650) 내의 금속화 층들을 포함한, 패키지 기판(650)을 패키지(300)의 재배선 구조체(306)에 전기적으로 그리고/또는 물리적으로 커플링시킨다. 일부 실시예들에서, 수동 디바이스들(예컨대, 예시되지 않은, SMD들(surface mount devices))은, 패키지 기판(650) 상에 실장하기 전에, 패키지(300)에 부착될(예컨대, 재배선 구조체(306)의 표면에 본딩될) 수 있다. 그러한 실시예들에서, 수동 디바이스들은 도전성 커넥터들(352)과 동일한 패키지(300)의 표면에 본딩될 수 있다.In some embodiments, the conductive connectors 352 are reflowed to attach the package 600 ( FIG. 43 ) to the bond pads 664 of the package substrate 650 . The conductive connectors 352 electrically and/or physically couple the package substrate 650 , including metallization layers in the package substrate 650 , to the redistribution structure 306 of the package 300 . In some embodiments, passive devices (eg, not illustrated, surface mount devices (SMDs)) are to be attached to the package 300 (eg, a redistribution structure) prior to mounting on the package substrate 650 . to the surface of 306). In such embodiments, passive devices may be bonded to the same surface of package 300 as conductive connectors 352 .

일부 실시예들에서, 언더필(도시되지 않음)은 패키지(300)와 패키지 기판(650) 사이에 그리고 도전성 커넥터들(352)을 둘러싸게 형성될 수 있다. 언더필은 패키지(600)(도 43)가 부착된 후에 모세관 유동 공정에 의해 형성될 수 있거나, 패키지(600)가 부착되기 전에 적당한 퇴적 방법에 의해 형성될 수 있다.In some embodiments, an underfill (not shown) may be formed between the package 300 and the package substrate 650 and surrounding the conductive connectors 352 . The underfill may be formed by a capillary flow process after package 600 (FIG. 43) is attached, or may be formed by a suitable deposition method before package 600 is attached.

다른 피처들 및 공정들이 또한 포함될 수 있다. 예를 들어, 3D 패키징 또는 3DIC 디바이스들의 검증 테스트를 돕기 위해 테스트 구조체들(testing structures)이 포함될 수 있다. 테스트 구조체들은, 예를 들어, 3D 패키징 또는 3DIC의 테스트, 프로브들 및/또는 프로브 카드들의 사용, 및 이와 유사한 것을 가능하게 해주는, 재배선 층 내에 또는 기판 상에 형성된 테스트 패드들(test pads)을 포함할 수 있다. 검증 테스트는 중간 구조체들은 물론 최종 구조체에 대해 수행될 수 있다. 부가적으로, 본 명세서에 개시된 구조체들 및 방법들은 수율을 증가시키고 비용을 감소시키기 위해 노운 굿 다이들(known good dies)의 중간 검증을 포함하는 테스트 방법론들과 관련하여 사용될 수 있다.Other features and processes may also be included. For example, testing structures may be included to aid in 3D packaging or verification testing of 3DIC devices. The test structures may include test pads formed in a redistribution layer or on a substrate, enabling, for example, 3D packaging or testing of 3DIC, the use of probes and/or probe cards, and the like. may include Verification tests can be performed on the final structures as well as the intermediate structures. Additionally, the structures and methods disclosed herein can be used in connection with test methodologies that include interim verification of known good dies to increase yield and reduce cost.

도 45는, 패키지(300)가 도 39와 관련하여 앞서 논의된 바와 같이 형성되는 것, 즉 도전성 필라(322p)를 따라 아래로 연장되어 숄더(322s)와 접촉하는 도전성 커넥터들(126)를 갖는 것을 제외하고는, 도 44의 패키지(700)와 유사한 패키지(700)를 예시하고 있다.45 shows that package 300 is formed as previously discussed with respect to FIG. 39 , i.e., with conductive connectors 126 extending down along conductive pillar 322p and contacting shoulder 322s. Except that it illustrates a package 700 that is similar to the package 700 of FIG. 44 .

도 46 및 도 47은 일부 실시예들에 따른, 인터포저를 갖지 않지만, 금속 필라를 둘러싸는 커넥터들을 사용하여 서로 부착되는 팬-아웃 하부 패키지와 제2 디바이스를 포함하는 패키지의 뷰들을 예시하고 있다. 도 46은, 인터포저 기판(100)이 포함되지 않는다는 점을 제외하고는, 도 45의 패키지(700)와 유사한 패키지(700')를 예시하고 있다. 앞서 논의된 바와 같이, 인터포저 기판(100)의 목적들 중 하나는 뒤틀림을 감소시키고 패키지들 사이의 접합부들을 고장나게 할 가능성을 감소시키기 위해 지지력을 제공하는 것일 수 있다. 도 39 및 도 40과 관련하여 앞서 논의된 것과 같은, 도전성 커넥터들(126)은, 일부 실시예들에서, 인터포저 기판(100)이 생략될 수 있도록 강한 접속을 제공한다. 그러한 실시예들에서, 디바이스(500)는 도 39 및 도 40과 관련하여 앞서 논의된, 인터포저 기판(100)을 도전성 필라들(322p)에 실장하는 것과 유사한 방식으로 도전성 필라들(322p)에 실장될 수 있다.46 and 47 illustrate views of a package that does not have an interposer, but includes a fan-out bottom package and a second device attached to each other using connectors surrounding a metal pillar, in accordance with some embodiments. . Figure 46 illustrates a package 700' similar to the package 700 of Figure 45, except that the interposer substrate 100 is not included. As discussed above, one of the purposes of the interposer substrate 100 may be to provide support to reduce warpage and reduce the likelihood of failing joints between packages. Conductive connectors 126 , such as discussed above with respect to FIGS. 39 and 40 , provide a strong connection so that the interposer substrate 100 can be omitted in some embodiments. In such embodiments, device 500 attaches to conductive pillars 322p in a manner similar to mounting interposer substrate 100 to conductive pillars 322p, discussed above with respect to FIGS. 39 and 40 . can be mounted.

도 47은, 디바이스(500)와 집적 회로 다이(324) 사이에 접착제 층(332)이 사용될 수 있다는 점을 제외하고는, 도 46의 패키지(700')와 유사한 패키지(700')를 예시하고 있다. 접착제 층(332)은 임의의 적당한 접착제, 에폭시, 언더필, DAF(die attach film), 열 계면 재료(thermal interface material), 또는 이와 유사한 것일 수 있다. 접착제 층(332)은 집적 회로 다이들(324)의 배면에 도포될 수 있거나, 각각의 집적 회로 다이(324)에 대해, 디바이스(500)의 다이 부착 영역(die attach area)에 도포될 수 있다. 예를 들어, 접착제 층(332)은, 집적 회로 다이들(324)을 분리시키기 위한 싱귤레이팅(singulating) 이전에 집적 회로 다이들(324)의 배면에 도포될 수 있거나, 디바이스(500)를 분리시키기 위한 싱귤레이팅 이전에 디바이스(500)의 전면에 도포될 수 있다. 일부 실시예들에서, 접착제 층(332)은 디바이스(500)를 도전성 필라들(322p)에 본딩하기 직전에 집적 회로 다이들(324) 또는 디바이스(500) 중 어느 하나에 별개의 공정에서 추가될 수 있다.47 illustrates a package 700 ′ similar to package 700 ′ of FIG. 46 , except that an adhesive layer 332 may be used between the device 500 and the integrated circuit die 324 , and there is. Adhesive layer 332 may be any suitable adhesive, epoxy, underfill, die attach film (DAF), thermal interface material, or the like. An adhesive layer 332 may be applied to the backside of the integrated circuit dies 324 , or, for each integrated circuit die 324 , may be applied to a die attach area of the device 500 . . For example, an adhesive layer 332 may be applied to the backside of the integrated circuit dies 324 prior to singulating to separate the integrated circuit dies 324 , or to separate the device 500 . It may be applied to the front surface of the device 500 prior to singulating for the purpose. In some embodiments, the adhesive layer 332 may be added in a separate process to either the integrated circuit dies 324 or the device 500 immediately prior to bonding the device 500 to the conductive pillars 322p. can

도 48 내지 도 79는 상이한 및/또는 부가의 피처들을 포함하는, 이전에 논의된 실시예들에 대한 변형들인 실시예들을 예시하고 있다. 도 48 내지 도 50은, 일부 실시예들에 따른, 접착제가 그 사이에 형성되는 팬-아웃 하부 패키지와 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다. 도 48은 도 37과 관련하여 앞서 논의된 바와 같은 실시예를 예시하고 있다. 도 48에서, 인터포저 기판(100)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 인터포저 기판(100) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 임의의 적당한 접착제, 에폭시, 언더필, DAF(die attach film), 열 계면 재료, 또는 이와 유사한 것일 수 있다. 접착제 층(332)은 집적 회로 다이들(324)의 배면에 도포될 수 있거나, 각각의 집적 회로 다이(324)에 대해, 인터포저 기판(100)의 다이 부착 영역에 도포될 수 있다. 예를 들어, 접착제 층(332)은, 집적 회로 다이들(324)을 분리시키기 위한 싱귤레이팅 이전에 집적 회로 다이들(324)의 배면에 도포될 수 있거나, 인터포저 기판(100)을 분리시키기 위한 싱귤레이팅 이전에 인터포저 기판(100)의 전면에 도포될 수 있다.48-79 illustrate embodiments that are variations on previously discussed embodiments, including different and/or additional features. 48-50 illustrate various intermediate steps in a process of forming a package structure including an interposer and a fan-out bottom package with an adhesive formed therebetween, in accordance with some embodiments. FIG. 48 illustrates an embodiment as discussed above with respect to FIG. 37 . 48 , an adhesive layer 332 may be disposed on the interposer substrate 100 and/or integrated circuit dies 324 prior to bonding the interposer substrate 100 to the conductive pillars 322p. . Adhesive layer 332 may be any suitable adhesive, epoxy, underfill, die attach film (DAF), thermal interface material, or the like. An adhesive layer 332 may be applied to the backside of the integrated circuit dies 324 , or, for each integrated circuit die 324 , may be applied to the die attach region of the interposer substrate 100 . For example, an adhesive layer 332 may be applied to the backside of the integrated circuit dies 324 prior to singulating to separate the integrated circuit dies 324 , or to separate the interposer substrate 100 . It may be applied to the entire surface of the interposer substrate 100 before singulating for the purpose.

도 48에서, 일부 실시예들에 따르면, 인터포저 기판(100)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 UBM들(322) 및/또는 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.48 , interposer substrate 100 is aligned with conductive pillars 322p, in accordance with some embodiments. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the UBMs 322 and/or the conductive pillars 322p in the first region 306A.

도 49에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다.49 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 , so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed.

도 50에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.In FIG. 50 , as discussed above with respect to FIG. 41 , the carrier substrate 302 is removed. As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 51은 도 36과 관련하여 앞서 논의된 바와 같은 실시예를 예시하고 있다. 집적 회로 다이들(324)을 실장한 후에, 봉지재(334)가 재배선 구조체(306) 위에 형성되어 집적 회로 다이들(324) 및 도전성 필라들(322p)을 측방으로 둘러쌀 수 있다. 일부 실시예들에서, 봉지재(334)는 집적 회로 다이들(324) 및/또는 도전성 필라들(322p)의 상부 표면들(top surfaces) 위에 또한 연장될 수 있다. 봉지재(334)의 상부 부분(upper portion)은 이어서 제거 공정에 의해 제거되어 도전성 필라들(322p)의 상부 표면들을 서로에 대해 레벨링할 수 있다. 일부 실시예들에서, 도전성 필라들(322p)의 상부 표면들이 또한 제거 공정에 의해 집적 회로 다이들(324)의 상부 표면과 동일한 높이로 레벨링될 수 있다. 제거 공정은, 예를 들어, CMP 및/또는 에치백(etch-back) 공정일 수 있다. 봉지재(334)는 도 38과 관련하여 앞서 논의된 것들과 유사한 공정들 및 재료들을 사용하여 형성될 수 있다.FIG. 51 illustrates an embodiment as discussed above with respect to FIG. 36 . After mounting the integrated circuit dies 324 , an encapsulant 334 may be formed over the redistribution structure 306 to laterally surround the integrated circuit dies 324 and the conductive pillars 322p . In some embodiments, encapsulant 334 may also extend over top surfaces of integrated circuit dies 324 and/or conductive pillars 322p. An upper portion of the encapsulant 334 may then be removed by a removal process to level the upper surfaces of the conductive pillars 322p relative to each other. In some embodiments, the top surfaces of the conductive pillars 322p may also be leveled flush with the top surface of the integrated circuit dies 324 by a removal process. The removal process may be, for example, a CMP and/or etch-back process. Encapsulant 334 may be formed using processes and materials similar to those discussed above with respect to FIG. 38 .

도 52에서, 인터포저 기판(100)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 인터포저 기판(100) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 48의 접착제 층(332)과 유사할 수 있다. 인터포저 기판(100)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 봉지재(334) 상에 배치된다.52 , prior to bonding interposer substrate 100 to conductive pillars 322p , an adhesive layer 332 may be disposed on interposer substrate 100 and/or integrated circuit dies 324 . . The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 48 . The interposer substrate 100 is aligned with the conductive pillars 322p. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the encapsulant 334 such that the conductive connectors 126 are aligned with the conductive pillars 322p in the first region 306A.

도 53에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 접착제 층(332)은, 인터포저 기판(100) 및 집적 회로 다이들(324) 둘 다와 접촉하도록, 인터포저 기판(100)과 집적 회로 다이들(324) 사이에 개재될 수 있다.53 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 , so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . An adhesive layer 332 may be interposed between the interposer substrate 100 and the integrated circuit dies 324 to contact both the interposer substrate 100 and the integrated circuit dies 324 .

도 54에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.In FIG. 54 , as previously discussed in connection with FIG. 41 , the carrier substrate 302 is removed. As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 55 내지 도 70은, 일부 실시예들에 따른, 팬-아웃 하부 패키지와, 캐비티 또는 스루홀이 그 내에 형성되는, 인터포저를 포함하는 패키지 구조체를 형성하는 공정에서의 다양한 중간 단계들을 예시하고 있다. 도 55에서, 솔더 레지스트 층(124) 내에 형성된 캐비티(124c)를 가지는 인터포저 기판(100)이 제공된다. 캐비티(124c)는 도 11과 관련하여 앞서 논의된 캐비티(130)의 형성과 유사한 방식으로 형성될 수 있다. 인터포저 기판(100)이 도전성 필라들(322p) 및/또는 UBM들(322)에 일단 실장되면 보강 구조체들(120) 및/또는 보강 구조체들(122)이 집적 회로 다이들(324)에 보다 가깝도록 캐비티(124c)가 집적 회로 다이들(324)과 정렬되도록 캐비티(124c)가 형성될 수 있다. 일부 실시예들에서, 캐비티(124c)는 집적 회로 다이들(324)이 실장 시에 캐비티(124c) 내로 리세싱할 수 있게 해주도록 크기 설정되고(sized) 위치 결정될(positioned) 수 있다. 이것은 완성된 패키지의 전체 높이를 감소시키는 데는 물론 집적 회로 다이들(324)로부터 보강 구조체들(120) 및/또는 보강 구조체들(122)로의 보다 나은 열 소산을 제공하는 데 도움을 줄 수 있다.55-70 illustrate various intermediate steps in a process of forming a package structure including a fan-out bottom package and an interposer having a cavity or through hole formed therein, in accordance with some embodiments; there is. In FIG. 55 , an interposer substrate 100 having a cavity 124c formed in a solder resist layer 124 is provided. Cavity 124c may be formed in a manner similar to the formation of cavity 130 discussed above with respect to FIG. 11 . Once the interposer substrate 100 is mounted to the conductive pillars 322p and/or the UBMs 322 , the reinforcement structures 120 and/or the reinforcement structures 122 are attached to the integrated circuit dies 324 . Cavity 124c may be formed such that cavity 124c is aligned with integrated circuit dies 324 to be proximate. In some embodiments, cavity 124c may be sized and positioned to allow integrated circuit dies 324 to recess into cavity 124c upon mounting. This may help to reduce the overall height of the finished package as well as provide better heat dissipation from the integrated circuit dies 324 to the reinforcement structures 120 and/or the reinforcement structures 122 .

일부 실시예들에 따르면, 인터포저 기판(100)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 UBM들(322) 및/또는 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.According to some embodiments, the interposer substrate 100 is aligned with the conductive pillars 322p. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the UBMs 322 and/or the conductive pillars 322p in the first region 306A.

도 56에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다. 일부 실시예들에서, 봉지재(334)가 집적 회로 다이들(324)의 상부 표면과 인터포저 기판(100)의 기판 코어(110)의 하부 사이에 배치되도록 봉지재(334)는 집적 회로 다이들(324)과 인터포저 기판(100) 사이의 공간으로 유동할 수 있다.In Fig. 56, after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106, so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed. In some embodiments, the encapsulant 334 is an integrated circuit die such that the encapsulant 334 is disposed between the top surface of the integrated circuit dies 324 and the bottom of the substrate core 110 of the interposer substrate 100 . It may flow into the space between the 324 and the interposer substrate 100 .

도 57에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.In FIG. 57 , as previously discussed in connection with FIG. 41 , the carrier substrate 302 is removed. As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 58에서, 도 55와 관련하여 앞서 논의된 바와 같이, 솔더 레지스트 층(124) 내에 형성된 개구부(124o)를 갖는 인터포저 기판(100)이 제공된다. 인터포저 기판(100)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 인터포저 기판(100) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 48의 접착제 층(332)과 유사할 수 있다. 인터포저 기판(100)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.In FIG. 58 , an interposer substrate 100 is provided having an opening 124o formed in the solder resist layer 124 , as previously discussed in connection with FIG. 55 . Prior to bonding the interposer substrate 100 to the conductive pillars 322p , an adhesive layer 332 may be disposed on the interposer substrate 100 and/or the integrated circuit dies 324 . The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 48 . The interposer substrate 100 is aligned with the conductive pillars 322p. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p in the first region 306A.

도 59에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 접착제 층(332)은, 인터포저 기판(100) 및 집적 회로 다이들(324) 둘 다와 접촉하도록, 인터포저 기판(100)과 집적 회로 다이들(324) 사이에 개재될 수 있다.In FIG. 59 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 , so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . An adhesive layer 332 may be interposed between the interposer substrate 100 and the integrated circuit dies 324 to contact both the interposer substrate 100 and the integrated circuit dies 324 .

도 60에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.In FIG. 60 , the carrier substrate 302 is removed, as previously discussed in connection with FIG. 41 . As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 61에서, 기판 코어(110) 내에 형성된 캐비티(130)(도 11 참조)을 갖는 인터포저 기판(100)이 제공된다. 인터포저 기판(100)이 도전성 필라들(322p) 및/또는 UBM들(322)에 일단 실장되면 집적 회로 다이들(324)이 캐비티(130) 내에 적어도 부분적으로 배치되도록 캐비티(130)가 집적 회로 다이들(324)과 정렬되도록 캐비티(130)가 형성될 수 있다. 이것은 완성된 패키지의 전체 높이를 감소시키는 데 도움을 줄 수 있다. 보강 구조체들(120) 및/또는 보강 구조체들(122)은 집적 회로 다이들(324)의 지지 및 열 소산을 또한 제공할 수 있다.In FIG. 61 , an interposer substrate 100 is provided having a cavity 130 (see FIG. 11 ) formed in a substrate core 110 . Once the interposer substrate 100 is mounted to the conductive pillars 322p and/or the UBMs 322 , the cavity 130 is positioned such that the integrated circuit dies 324 are at least partially disposed within the cavity 130 . Cavity 130 may be formed to align with dies 324 . This can help reduce the overall height of the finished package. The reinforcement structures 120 and/or the reinforcement structures 122 may also provide support and heat dissipation of the integrated circuit dies 324 .

일부 실시예들에 따르면, 인터포저 기판(100)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.According to some embodiments, the interposer substrate 100 is aligned with the conductive pillars 322p. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p in the first region 306A.

도 62에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다. 일부 실시예들에서, 봉지재(334)가 집적 회로 다이들(324)의 상부 표면과 캐비티(130) 내의 인터포저 기판(100)의 기판 코어(110)의 하부 사이에 배치되도록 봉지재(334)는 집적 회로 다이들(324)과 인터포저 기판(100) 사이의 공간으로 유동할 수 있다.In FIG. 62 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 , so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed. In some embodiments, the encapsulant 334 is disposed between the top surface of the integrated circuit dies 324 and the bottom of the substrate core 110 of the interposer substrate 100 in the cavity 130 . ) may flow into the space between the integrated circuit dies 324 and the interposer substrate 100 .

일부 실시예들에서, 인터포저 기판(100)을 도전성 필라들(322p)에 접합시킨 후에, 집적 회로 다이들(324)은 캐비티(130) 내에 적어도 부분적으로 배치될 수 있다(도 61 참조).In some embodiments, after bonding the interposer substrate 100 to the conductive pillars 322p , the integrated circuit dies 324 may be disposed at least partially within the cavity 130 (see FIG. 61 ).

도 63에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.In FIG. 63 , the carrier substrate 302 is removed, as previously discussed with respect to FIG. 41 . As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 64에서, 도 61과 관련하여 앞서 논의된 같이, 캐비티(130)가 그 내에 형성되어 있는 인터포저 기판(100)이 제공된다. 인터포저 기판(100)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 인터포저 기판(100) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 48의 접착제 층(332)과 유사할 수 있다. 인터포저 기판(100)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.In FIG. 64 , an interposer substrate 100 is provided having a cavity 130 formed therein, as previously discussed with respect to FIG. 61 . Prior to bonding the interposer substrate 100 to the conductive pillars 322p , an adhesive layer 332 may be disposed on the interposer substrate 100 and/or the integrated circuit dies 324 . The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 48 . The interposer substrate 100 is aligned with the conductive pillars 322p. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p in the first region 306A.

도 65에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 접착제 층(332)은, 인터포저 기판(100) 및 집적 회로 다이들(324) 둘 다와 접촉하도록, 인터포저 기판(100)과 집적 회로 다이들(324) 사이에 개재될 수 있다.65 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 , so that the interposer substrate Physically and electrically connecting 100 to the first redistribution structure 306 . An adhesive layer 332 may be interposed between the interposer substrate 100 and the integrated circuit dies 324 to contact both the interposer substrate 100 and the integrated circuit dies 324 .

일부 실시예들에서, 인터포저 기판(100)을 도전성 필라들(322p)에 접합시킨 후에, 집적 회로 다이들(324)은 캐비티(130) 내에 적어도 부분적으로 배치될 수 있다(도 64 참조).In some embodiments, after bonding the interposer substrate 100 to the conductive pillars 322p , the integrated circuit dies 324 may be disposed at least partially within the cavity 130 (see FIG. 64 ).

도 66에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 패키지(600)는, 도 44과 관련하여 앞서 논의된 바와 같이, 패키지 기판(650)에 실장되어 패키지(700)를 형성할 수 있다.In FIG. 66 , the carrier substrate 302 is removed, as previously discussed in connection with FIG. 41 . As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . Package 600 may be mounted on package substrate 650 to form package 700 , as discussed above with respect to FIG. 44 .

도 67에서, 기판 코어(110) 내에 형성된 스루홀(140)(도 12 참조)을 갖는 인터포저 기판(100)이 제공된다. 인터포저 기판(100)이 도전성 필라들(322p) 및/또는 UBM들(322)에 일단 실장되면 집적 회로 다이들(324)이 스루홀(140) 내에 적어도 부분적으로 배치되도록 스루홀(140)이 집적 회로 다이들(324)과 정렬되도록 스루홀(140)이 형성될 수 있다. 일부 실시예들에서, 집적 회로 다이들(324)의 상부 표면이 인터포저 기판(100)의 상부 표면의 레벨과 동일한 높이이거나 그보다 낮도록 집적 회로 다이들(324)이 스루홀(140) 내에 실장될 수 있다. 이것은 완성된 패키지의 전체 높이를 감소시킬 수 있다. 보강 구조체들(120) 및/또는 보강 구조체들(122)은 인터포저 기판(100)의 주변 부분 내에 배치될 수 있다.In FIG. 67 , an interposer substrate 100 having a through hole 140 (see FIG. 12 ) formed in the substrate core 110 is provided. Once the interposer substrate 100 is mounted to the conductive pillars 322p and/or the UBMs 322 , the through hole 140 is formed such that the integrated circuit dies 324 are at least partially disposed within the through hole 140 . A through hole 140 may be formed to align with the integrated circuit dies 324 . In some embodiments, the integrated circuit dies 324 are mounted within the through hole 140 such that the top surface of the integrated circuit dies 324 is flush with or lower than the level of the top surface of the interposer substrate 100 . can be This can reduce the overall height of the finished package. The reinforcing structures 120 and/or the reinforcing structures 122 may be disposed within a peripheral portion of the interposer substrate 100 .

일부 실시예들에 따르면, 인터포저 기판(100)은 도전성 필라들(322p) 또는 UBM들(322)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p) 또는 UBM들(322)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.According to some embodiments, the interposer substrate 100 is aligned with the conductive pillars 322p or UBMs 322 . The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p or UBMs 322 in the first region 306A.

도 68에서, 인터포저 기판(100)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p) 또는 UBM들(322)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(100)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다. 일부 실시예들에서, 봉지재(334)가 집적 회로 다이들(324)의 측면들과 인터포저 기판(100)의 스루홀(140)의 측벽들 사이에 개재되도록 봉지재(334)는 집적 회로 다이들(324) 및 인터포저 기판(100) 주위로 유동할 수 있다. 봉지재(334)는 인터포저의 상부 표면 위로 또한 유동할 수 있다. 봉지재(334)는, CMP 및/또는 에치백 공정과 같은, 제거 공정을 사용하여 인터포저 기판(100) 및/또는 집적 회로 다이들(324)의 상부 표면과 동일한 높이인 상부 표면을 갖도록 레벨링될 수 있다.In FIG. 68 , after the interposer substrate 100 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p or UBMs 322 and the conductive lines 106 . formed to physically and electrically connect the interposer substrate 100 to the first redistribution structure 306 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed. In some embodiments, the encapsulant 334 is an integrated circuit such that the encapsulant 334 is interposed between the sides of the integrated circuit dies 324 and sidewalls of the through hole 140 of the interposer substrate 100 . It can flow around the dies 324 and the interposer substrate 100 . The encapsulant 334 may also flow over the top surface of the interposer. The encapsulant 334 is leveled to have a top surface flush with the top surface of the interposer substrate 100 and/or the integrated circuit dies 324 using a removal process, such as a CMP and/or etch-back process. can be

일부 실시예들에서, 인터포저 기판(100)을 도전성 필라들(322p) 또는 UBM들(322)에 접합시킨 후에, 집적 회로 다이들(324)은 스루홀(140) 내에 적어도 부분적으로 배치될 수 있다(도 67 참조).In some embodiments, after bonding the interposer substrate 100 to the conductive pillars 322p or UBMs 322 , the integrated circuit dies 324 may be at least partially disposed within the through hole 140 . There is (see FIG. 67).

도 69에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(100)에 실장되어 패키지(600)를 형성할 수 있다. 패키지(600)는, 도 44과 관련하여 앞서 논의된 바와 같이, 패키지 기판(650)에 실장되어 패키지(700)를 형성할 수 있다.69 , the carrier substrate 302 is removed, as previously discussed with respect to FIG. 41 . As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 100 to form package 600 , as discussed above with respect to FIG. 43 . Package 600 may be mounted on package substrate 650 to form package 700 , as discussed above with respect to FIG. 44 .

도 70에서, 인터포저 기판(100)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 디바이스(500) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 47의 접착제 층(332)과 유사할 수 있다. 접착제 층(332)은 보다 나은 안정성을 제공하고 CTE 미스매치로 인한 뒤틀림을 감소시키는 데 도움을 줄 수 있다. 접착제 층(332)은 또한 집적 회로 다이들(324)로부터 열을 소산시키는 데 도움을 주기 위한 열 컴파운드(thermal compound)일 수 있다. 인터포저 기판(100)은 제1 영역(306A) 내의 도전성 필라들(322p) 또는 UBM들(322)에 정렬된다. 인터포저 기판(100)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p) 또는 UBM들(322)과 정렬되도록 인터포저 기판(100)이 재배선 구조체(306) 상에 배치된다.70 , an adhesive layer 332 may be disposed on device 500 and/or integrated circuit dies 324 prior to bonding interposer substrate 100 to conductive pillars 322p . The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 47 . The adhesive layer 332 may provide better stability and help reduce warpage due to CTE mismatch. The adhesive layer 332 may also be a thermal compound to help dissipate heat from the integrated circuit dies 324 . The interposer substrate 100 is aligned with the conductive pillars 322p or UBMs 322 in the first region 306A. The interposer substrate 100 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 100 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p or UBMs 322 in the first region 306A.

도 71 내지 도 79는, 인터포저 기판(200)이 사용된다는 점을 제외하고는, 도 44 내지 도 70과 관련하여 앞서 논의된 것들과 유사한 다양한 실시예들을 예시하고 있다. 앞서 논의된 바와 같이, 인터포저 기판(200)은 리세싱된 본드 패드들이 그 내에 형성되어 있는 적어도 2개의 코어 기판 층, 예컨대, 도 71에 예시된 바와 같은 기판 코어(110) 및 기판 코어(210)를 갖는다. 도 71은 인터포저 기판(200)이 상부 기판 코어(210)를 관통하여 형성된 리세스들(250)을 가지며, 리세스들(250)이 아래에 놓이는 리세싱된 본드 패드(113p)를 노출시킨다는 것을 또한 예시하고 있다. 인터포저 기판(200)은, 도 21과 관련하여 앞서 논의된 바와 같이, 리세스들(250)을 라이닝하는 금속 라이너(260)를 갖는 것으로 또한 예시되어 있다. 금속 라이너(260)가 아래에서 논의되는 도면들에 묘사되어 있더라도, 금속 라이너(260)가 임의적임이 이해되어야 한다. 보강 구조체들(120) 및 보강 구조체들(220)은, 예를 들어, 도 71에서 인터포저 기판(200)에 형성되는 것으로 예시되어 있다. 도 17 내지 도 25와 관련하여 앞서 논의된 바와 같이, 보강 구조체들(120, 122, 및/또는 220) 중 임의의 것이 임의로 생략될 수 있다. 비록 보강 구조체들(120 및 220)이 맥락을 위해 예시되어 있지만, 보강 구조체들(120, 122, 및/또는 220)을 포함하지 않는 실시예들이 포함된다는 점이 이해되어야 한다.71-79 illustrate various embodiments similar to those discussed above with respect to FIGS. 44-70, except that an interposer substrate 200 is used. As previously discussed, interposer substrate 200 includes at least two core substrate layers having recessed bond pads formed therein, eg, substrate core 110 and substrate core 210 as illustrated in FIG. 71 . ) has 71 shows that the interposer substrate 200 has recesses 250 formed through the upper substrate core 210, and the recesses 250 expose an underlying recessed bond pad 113p. also exemplifies The interposer substrate 200 is also illustrated with a metal liner 260 lining the recesses 250 , as discussed above with respect to FIG. 21 . Although metal liner 260 is depicted in the figures discussed below, it should be understood that metal liner 260 is optional. The reinforcing structures 120 and the reinforcing structures 220 are illustrated, for example, as being formed in the interposer substrate 200 in FIG. 71 . As discussed above with respect to FIGS. 17-25 , any of the reinforcing structures 120 , 122 , and/or 220 may be optionally omitted. Although reinforcing structures 120 and 220 are illustrated for context, it should be understood that embodiments that do not include reinforcing structures 120 , 122 , and/or 220 are included.

부가 디바이스 또는 패키지가 리세싱된 본드 패드들(113p)에 본딩될 때 인터포저 기판(200) 내의 리세스들(250)은 전체 패키지 높이를 감소시킨다. 또한 딥 리세스들은 부가의 디바이스 또는 패키지를 본딩시키기 위한 양호한 정렬을 또한 제공한다. 인터포저 기판(200)은, 임의적 보강 구조체들(120, 122, 또는 220)을 갖지 않더라도, 여전히 얼마간의 구조적 지지를 제공하고 뒤틀림을 감소시키는 데 도움을 준다.The recesses 250 in the interposer substrate 200 reduce the overall package height when an additional device or package is bonded to the recessed bond pads 113p. Deep recesses also provide good alignment for bonding additional devices or packages. Interposer substrate 200 , even without optional reinforcement structures 120 , 122 , or 220 , still provides some structural support and helps to reduce warpage.

도 71에서, 일부 실시예들에 따르면, 인터포저 기판(200)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(200)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p)과 정렬되도록 인터포저 기판(200)이 재배선 구조체(306) 상에 배치된다.71 , interposer substrate 200 is aligned with conductive pillars 322p, in accordance with some embodiments. The interposer substrate 200 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 200 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p in the first region 306A.

인터포저 기판(200)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p) 및/또는 UBM들(322)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(200)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 일부 실시예들에서, 도전성 커넥터들(126)은, 도 71에서 도전성 커넥터(126a)로 예시된 바와 같이, 인터포저 기판(200)으로부터 UBM(322)까지 연장될 수 있다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다.After the interposer substrate 200 is disposed, the conductive connectors 126 are reflowed to form junctions between the conductive lines 106 and the corresponding conductive pillars 322p and/or UBMs 322 . , physically and electrically connecting the interposer substrate 200 to the first redistribution structure 306 . In some embodiments, the conductive connectors 126 may extend from the interposer substrate 200 to the UBM 322 , as illustrated by the conductive connector 126a in FIG. 71 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed.

도 72에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(200)에 실장되어 패키지(600)를 형성할 수 있다. 패키지(600)는, 도 44과 관련하여 앞서 논의된 바와 같이, 패키지 기판(650)에 실장되어 패키지(800)를 형성할 수 있다.In FIG. 72 , the carrier substrate 302 is removed, as previously discussed in connection with FIG. 41 . As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 200 to form package 600 , as discussed above with respect to FIG. 43 . Package 600 may be mounted on package substrate 650 to form package 800 , as discussed above with respect to FIG. 44 .

도 73에서, 인터포저 기판(200)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 디바이스(500) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 47의 접착제 층(332)과 유사할 수 있다.73 , an adhesive layer 332 may be disposed on device 500 and/or integrated circuit dies 324 prior to bonding interposer substrate 200 to conductive pillars 322p . The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 47 .

도 74에서, 캐비티(230)가 그 내에 형성되어 있는 인터포저 기판(200)이 제공된다(도 27 내지 도 29 참조). 인터포저 기판(200)이 도전성 필라들(322p)에 일단 실장되면 집적 회로 다이들(324)이 캐비티(230) 내에 적어도 부분적으로 배치되도록 캐비티(230)가 집적 회로 다이들(324)과 정렬되도록 캐비티(230)가 형성될 수 있다. 이것은 완성된 패키지의 전체 높이를 감소시키는 데 도움을 줄 수 있다. 캐비티(230)의 높이는 도 22 내지 도 24 및 도 27 내지 도 29와 관련하여 앞서 논의된 바와 같이 변할 수 있다. 보강 구조체들(120) 및/또는 보강 구조체들(122) 및/또는 보강 구조체들(220)은 집적 회로 다이들(324)의 지지 및 열 소산을 또한 제공할 수 있다.In Fig. 74, an interposer substrate 200 having a cavity 230 formed therein is provided (see Figs. 27-29). The cavity 230 is aligned with the integrated circuit dies 324 such that the integrated circuit dies 324 are at least partially disposed within the cavity 230 once the interposer substrate 200 is mounted to the conductive pillars 322p. A cavity 230 may be formed. This can help reduce the overall height of the finished package. The height of the cavity 230 may vary as discussed above with respect to FIGS. 22-24 and 27-29 . Reinforcing structures 120 and/or reinforcing structures 122 and/or reinforcing structures 220 may also provide support and heat dissipation of integrated circuit dies 324 .

일부 실시예들에 따르면, 인터포저 기판(200)은 도전성 필라들(322p)에 정렬된다. 인터포저 기판(200)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p)과 정렬되도록 인터포저 기판(200)이 재배선 구조체(306) 상에 배치된다.According to some embodiments, the interposer substrate 200 is aligned with the conductive pillars 322p. The interposer substrate 200 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 200 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p in the first region 306A.

인터포저 기판(200)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(200)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다. 일부 실시예들에서, 봉지재(334)가 집적 회로 다이들(324)의 상부 표면들과 캐비티(230) 내의 인터포저 기판(200)의 기판 코어(110)의 하부 사이에 배치되도록 봉지재(334)는 집적 회로 다이들(324)과 인터포저 기판(200) 사이의 공간으로 유동할 수 있다.After the interposer substrate 200 is disposed, the conductive connectors 126 are reflowed to form junctions between the corresponding conductive pillars 322p and the conductive lines 106 to form the interposer substrate 200 . physically and electrically connected to the first redistribution structure 306 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed. In some embodiments, the encapsulant 334 is disposed between the top surfaces of the integrated circuit dies 324 and the bottom of the substrate core 110 of the interposer substrate 200 in the cavity 230 . 334 may flow into the space between the integrated circuit dies 324 and the interposer substrate 200 .

일부 실시예들에서, 인터포저 기판(200)을 도전성 필라들(322p)에 접합시킨 후에, 집적 회로 다이들(324)은 캐비티(230) 내에 적어도 부분적으로 배치될 수 있다.In some embodiments, after bonding the interposer substrate 200 to the conductive pillars 322p , the integrated circuit dies 324 may be disposed at least partially within the cavity 230 .

도 75에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(200)에 실장되어 패키지(600)를 형성할 수 있다. 인터포저 기판(200)이 리세싱된 본드 패드들(113p)을 갖기 때문에, 디바이스(500)가 본드 패드들이 리세싱되지 않은 경우보다 더 큰 도전성 커넥터들(536)을 사용하여 견고하게 부착된다. 리세싱된 본드 패드들(113p)은 전체 패키지 높이를 감소시키는 데 또한 도움을 줄 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.In FIG. 75 , as discussed above with respect to FIG. 41 , the carrier substrate 302 is removed. As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 200 to form package 600 , as discussed above with respect to FIG. 43 . Because interposer substrate 200 has recessed bond pads 113p, device 500 is securely attached using larger conductive connectors 536 than if the bond pads were not recessed. Recessed bond pads 113p may also help to reduce the overall package height. As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 76에서, 인터포저 기판(200)을 도전성 필라들(322p)에 접합시키기 전에, 접착제 층(332)이 디바이스(500) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 47의 접착제 층(332)과 유사할 수 있다.76 , an adhesive layer 332 may be disposed on device 500 and/or integrated circuit dies 324 prior to bonding interposer substrate 200 to conductive pillars 322p . The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 47 .

도 77에서, 스루홀(240)이 그 내에 형성되어 있는 인터포저 기판(200)이 제공된다(예컨대, 도 25 또는 도 30 참조). 인터포저 기판(200)이 도전성 필라들(322p) 및/또는 UBM들(322)에 일단 실장되면 집적 회로 다이들(324)이 스루홀(240) 내에 적어도 부분적으로 배치되도록 스루홀(240)이 집적 회로 다이들(324)과 정렬되도록 스루홀(140)이 형성될 수 있다. 이것은 완성된 패키지의 전체 높이를 감소시키는 데 도움을 줄 수 있다. 일부 실시예들에서, 집적 회로 다이들(324)의 상부 표면이 인터포저 기판(200)의 상부 표면의 레벨과 동일한 높이이거나 그보다 낮도록 집적 회로 다이들(324)이 스루홀(240) 내에 실장될 수 있다. 보강 구조체들(120) 및/또는 보강 구조체들(122) 및/또는 보강 구조체들(220)은 인터포저 기판(200)의 주변 부분 내에 배치될 수 있고 집적 회로 다이들(324)의 지지 및 열 소산을 제공할 수 있다.In FIG. 77 , an interposer substrate 200 having a through hole 240 formed therein is provided (see, eg, FIG. 25 or 30 ). Once the interposer substrate 200 is mounted to the conductive pillars 322p and/or the UBMs 322 , the through hole 240 is formed such that the integrated circuit dies 324 are at least partially disposed within the through hole 240 . A through hole 140 may be formed to align with the integrated circuit dies 324 . This can help reduce the overall height of the finished package. In some embodiments, the integrated circuit dies 324 are mounted within the through hole 240 such that the top surface of the integrated circuit dies 324 is flush with or lower than the level of the top surface of the interposer substrate 200 . can be Reinforcing structures 120 and/or reinforcing structures 122 and/or reinforcing structures 220 may be disposed within a peripheral portion of interposer substrate 200 and support and heat the integrated circuit dies 324 . Dissipation can be provided.

일부 실시예들에 따르면, 인터포저 기판(200)은 도전성 필라들(322p) 및/또는 UBM들(322)에 정렬된다. 인터포저 기판(200)은, 예컨대, 픽-앤-플레이스 툴을 사용하여 정렬되고 배치될 수 있다. 도전성 커넥터들(126)이 제1 영역(306A) 내의 도전성 필라들(322p) 및/또는 UBM들(322)과 정렬되도록 인터포저 기판(200)이 재배선 구조체(306) 상에 배치된다.According to some embodiments, the interposer substrate 200 is aligned with the conductive pillars 322p and/or the UBMs 322 . The interposer substrate 200 may be aligned and positioned using, for example, a pick-and-place tool. The interposer substrate 200 is disposed on the redistribution structure 306 such that the conductive connectors 126 are aligned with the conductive pillars 322p and/or UBMs 322 in the first region 306A.

인터포저 기판(200)이 배치된 후에, 도전성 커넥터들(126)이 리플로되어 대응하는 도전성 필라들(322p) 및/또는 UBM들(322)과 도전성 라인들(106) 사이에 접합부들을 형성하여, 인터포저 기판(200)을 제1 재배선 구조체(306)에 물리적으로 그리고 전기적으로 접속시킨다. 도 38과 관련하여 앞서 논의된 바와 같이, 봉지재(334)가 형성될 수 있다. 일부 실시예들에서, 봉지재(334)는 도 68과 관련하여 앞서 설명된 바와 같이 집적 회로 다이들(324) 주위로 그리고 그 위로 유동할 수 있다.After the interposer substrate 200 is disposed, the conductive connectors 126 are reflowed to form junctions between the conductive lines 106 and the corresponding conductive pillars 322p and/or UBMs 322 . , physically and electrically connecting the interposer substrate 200 to the first redistribution structure 306 . As discussed above with respect to FIG. 38 , an encapsulant 334 may be formed. In some embodiments, the encapsulant 334 may flow around and over the integrated circuit dies 324 as described above with respect to FIG. 68 .

일부 실시예들에서, 인터포저 기판(200)을 도전성 필라들(322p) 및/또는 UBM들(322)에 접합시킨 후에, 집적 회로 다이들(324)은 스루홀(240) 내에 적어도 부분적으로 배치될 수 있다.In some embodiments, after bonding the interposer substrate 200 to the conductive pillars 322p and/or UBMs 322 , the integrated circuit dies 324 are disposed at least partially within the through hole 240 . can be

도 78에서, 도 41과 관련하여 앞서 논의된 바와 같이, 캐리어 기판(302)이 제거된다. 도 42와 관련하여 앞서 논의된 바와 같이, 도전성 커넥터들(352)이 재배선 구조체(306) 위에 형성된다. 디바이스(500)는, 도 43과 관련하여 앞서 논의된 바와 같이, 인터포저 기판(200)에 실장되어 패키지(600)를 형성할 수 있다. 인터포저 기판(200)이 리세싱된 본드 패드들(113p)을 갖기 때문에, 디바이스(500)가 본드 패드들이 리세싱되지 않은 경우보다 더 큰 도전성 커넥터들(536)을 사용하여 견고하게 부착된다. 리세싱된 본드 패드들은 전체 패키지 높이를 감소시키는 데 또한 도움을 줄 수 있다. 도 44와 관련하여 앞서 논의된 바와 같이, 패키지(600)가 패키지 기판(650)에 실장될 수 있다.78 , the carrier substrate 302 is removed, as previously discussed with respect to FIG. 41 . As discussed above with respect to FIG. 42 , conductive connectors 352 are formed over the redistribution structure 306 . Device 500 may be mounted on interposer substrate 200 to form package 600 , as discussed above with respect to FIG. 43 . Because interposer substrate 200 has recessed bond pads 113p, device 500 is securely attached using larger conductive connectors 536 than if the bond pads were not recessed. Recessed bond pads can also help reduce overall package height. As discussed above with respect to FIG. 44 , package 600 may be mounted to package substrate 650 .

도 79에서, 인터포저 기판(200)을 도전성 필라들(322p) 및/또는 UBM들(322)에 접합시키기 전에, 접착제 층(332)이 디바이스(500) 및/또는 집적 회로 다이들(324) 상에 배치될 수 있다. 접착제 층(332)은 도 47의 접착제 층(332)과 유사할 수 있다.79 , prior to bonding interposer substrate 200 to conductive pillars 322p and/or UBMs 322 , an adhesive layer 332 is applied to device 500 and/or integrated circuit dies 324 . may be placed on the The adhesive layer 332 may be similar to the adhesive layer 332 of FIG. 47 .

실시예들은 패키지 디바이스에 본딩된 인터포저를 제공하며, 여기서 인터포저는 보강 구조체들(120), 불규칙적인 보강 구조체들(122), 보강 구조체들(220), 또는 이들의 조합들을 포함한다. 보강 구조체들은 강성, 열 소산을 제공하고, 패키지의 응력, 및 뒤틀림을 감소시키는 데 도움을 준다. 접착 및/또는 열 소산을 개선시키기 위해 인터포저와 집적 회로 다이 사이에 접착제 층이 사용될 수 있다. 일부 실시예들에서, 몰딩 컴파운드는 인터포저를 패키지 디바이스에 본딩하기 전에 형성될 수 있는 반면, 다른 실시예들에서, 몰딩 컴파운드는 인터포저를 패키지 디바이스에 본딩한 후에 형성될 수 있다.Embodiments provide an interposer bonded to a packaged device, wherein the interposer includes reinforcement structures 120 , irregular reinforcement structures 122 , reinforcement structures 220 , or combinations thereof. Reinforcing structures provide stiffness, heat dissipation, and help reduce stress, and warpage of the package. An adhesive layer may be used between the interposer and the integrated circuit die to improve adhesion and/or heat dissipation. In some embodiments, the molding compound may be formed prior to bonding the interposer to the package device, while in other embodiments, the molding compound may be formed after bonding the interposer to the package device.

일부 실시예들에서, 집적 회로 다이가 캐비티 또는 스루홀 내에 적어도 부분적으로 배치되도록 캐비티 또는 스루홀이 패키징된 디바이스의 집적 회로 다이에 정렬되게 함으로써 패키지의 전체 높이를 감소시키는 데 도움을 주기 위해 캐비티 또는 스루홀이 인터포저 내에 형성될 수 있다. 캐비티가 사용되는 경우, 인터포저와 집적 회로 다이 사이에 접착제 층이 사용될 수 있다. 스루홀이 사용되는 경우, 인터포저의 상부(top)에 본딩되는 위에 놓이는 디바이스와 집적 회로 다이 사이에 접착제 층이 사용될 수 있다.In some embodiments, the cavity or through hole is aligned with the integrated circuit die of the packaged device such that the integrated circuit die is at least partially disposed within the cavity or through hole to help reduce the overall height of the package. A through hole may be formed in the interposer. If a cavity is used, an adhesive layer may be used between the interposer and the integrated circuit die. If a through hole is used, an adhesive layer may be used between the integrated circuit die and the overlying device that is bonded to the top of the interposer.

일부 실시예들에서, 2개의 코어 기판 층 사이에 리세스 본드 패드가 형성될 수 있도록 인터포저는 적어도 제2 코어 기판 층을 가질 수 있다. 리세싱된 본드 패드는 인터포저 위에 디바이스를 실장하기 위한 강한 계면 지점을 제공한다. 리세싱된 본드 패드는 완성된 패키지의 전체 높이를 감소시키는 데 또한 도움을 준다. 인터포저와 인터포저 위에 실장된 디바이스 사이에 임의적 접착제 층이 사용될 수 있다. 일부 실시예들에서, 리세싱된 본드 패드는 리세스 본드 패드에 대해 인터포저 내의 개구부를 라이닝하는 금속 라이너를 또한 포함할 수 있다. 적어도 제2 코어 층을 갖는 실시예들에서, 보강 구조체들이 인터포저로부터 생략될 수 있다.In some embodiments, the interposer may have at least a second core substrate layer such that a recess bond pad may be formed between the two core substrate layers. The recessed bond pads provide a strong interface point for mounting the device over the interposer. Recessed bond pads also help to reduce the overall height of the finished package. An optional layer of adhesive may be used between the interposer and the device mounted over the interposer. In some embodiments, the recessed bond pad may also include a metal liner lining the opening in the interposer to the recessed bond pad. In embodiments having at least a second core layer, reinforcing structures may be omitted from the interposer.

이러한 실시예들 각각은 인터포저로부터의 솔더 재료 내에 금속 필라를 매립하는 계단형 본드 패드를 사용하는 패키지 디바이스에 인터포저를 커플링시키기 위한 커플링 기술을 포함할 수 있다. 일부 실시예들에서, 계단형 본드 패드를 사용하는 커플링 기술은, 인터포저를 사용하지 않고, 디바이스를 패키지 디바이스에 직접 실장하는 데 사용될 수 있다.Each of these embodiments may include a coupling technique for coupling the interposer to a packaged device using stepped bond pads that embed metal pillars in solder material from the interposer. In some embodiments, a coupling technique using stepped bond pads may be used to directly mount the device to a packaged device without the use of an interposer.

실시예들은, 예를 들어, 보강 구조체들, 리세스 본드 패드들, 및 계단형 본드 패드들을 포함한, 하부 팬-아웃 패키지 및 인터포저를 이용하여 완성된 패키지의 강성 및 강도를 증가시키기 위한 다양한 방식들을 제공한다. 일부 실시예들은 유리하게도 공간을 절감하고 보다 얇은 컴포넌트들을 통해 보다 효율적인 열 소산을 제공하는 데 도움을 주기 위해 패키지의 전체 높이를 감소시키기 위한 기술들을 또한 사용한다.Embodiments provide various ways to increase the stiffness and strength of a finished package using an interposer and a lower fan-out package, including, for example, reinforcement structures, recessed bond pads, and stepped bond pads. provide them Some embodiments advantageously also use techniques to reduce the overall height of the package to help save space and provide more efficient heat dissipation through thinner components.

실시예들에 대한 변형들을 설명하기 위한 노력이 이루어졌지만, 본 명세서에서 논의된 실시예들에서 설명된 기술들이 하나의 실시예로부터의 양태들을 하나 이상의 다른 실시예들로부터의 양태들과 조합하는 이러한 실시예들에 대한 변형들을 생성하기 위해 조합될 수 있음이 이해되어야 한다. 그러한 조합들은 지나치게 부담스러운 것으로 간주되어서도 안 되고 과도한 실험을 요구하지도 않아야 하며, 본 개시내용의 범위 내에 있는 것으로 간주되어야 한다.Efforts have been made to describe variations to embodiments, however, such that the techniques described in the embodiments discussed herein combine aspects from one embodiment with aspects from one or more other embodiments. It should be understood that they may be combined to create variations on the embodiments. Such combinations should not be considered undue burdensome, not require undue experimentation, and should be considered to be within the scope of the present disclosure.

일 실시예는 인터포저의 코어 층 내에 개구부를 형성하는 단계를 포함하는 방법이다. 보강 구조체는 개구부 내에 형성되고, 보강 구조체는 인터포저의 제1 표면으로부터 인터포저의 제2 표면까지 연장되며, 여기서 보강 구조체는 인터포저의 도전성 피처들로부터 전기적으로 격리된다. 제1 커넥터들은 인터포저의 제1 표면에서 인터포저 상에 형성된다. 인터포저의 제1 커넥터들은 제1 패키지 디바이스의 제2 커넥터들에 본딩된다. 몰딩 컴파운드는 인터포저와 제1 패키지 디바이스 사이에 형성된다.One embodiment is a method comprising forming an opening in a core layer of an interposer. A reinforcing structure is formed within the opening, the reinforcing structure extending from a first surface of the interposer to a second surface of the interposer, wherein the reinforcing structure is electrically isolated from the conductive features of the interposer. First connectors are formed on the interposer at a first surface of the interposer. The first connectors of the interposer are bonded to second connectors of the first packaged device. A molding compound is formed between the interposer and the first package device.

다른 실시예는 제1 패키지 요소의 제1 커넥터들을 제2 패키지 요소의 제2 커넥터들에 정렬시키는 단계를 포함하는 방법이며, 제1 커넥터들은 솔더 재료들을 포함하고, 제2 커넥터들 각각은 금속 스텝(metal step)으로부터 돌출하는 금속 필라를 포함한다. 제1 커넥터들은 제2 커넥터들에 접촉되고 솔더 재료들은 리플로되며, 여기서 솔더 재료들은 금속 필라들 각각을 둘러싸도록 유동하고 금속 스텝들 각각과 접촉한다. 금속 필라들을 둘러싸는 솔더 재료들의 부분은 금속 스텝의 측방 범위 내에 있다.Another embodiment is a method comprising aligning first connectors of a first package element to second connectors of a second package element, the first connectors comprising solder materials, each of the second connectors comprising a metal step It includes a metal pillar protruding from the (metal step). The first connectors contact the second connectors and the solder materials reflow, where the solder materials flow to surround each of the metal pillars and contact each of the metal steps. The portion of solder materials surrounding the metal pillars is within the lateral extent of the metal step.

다른 실시예는 제1 디바이스 패키지를 포함하는 구조체이고, 제1 디바이스 패키지는 활성면을 갖는 집적 회로 다이를 포함하며, 활성면은 아래쪽으로 향해 있다. 제1 디바이스 패키지는 집적 회로 다이의 하나 이상의 콘택트에 커플링된 재배선 구조체 및 재배선 구조체의 상부 표면에 배치된 제1 콘택트들을 또한 포함한다. 이 구조체는 인터포저를 또한 포함하고, 인터포저는 기판 코어 층 내에 배치된 하나 이상의 금속 비아 및 기판 코어 층 내에 배치된 하나 이상의 보강 구조체를 갖는 기판 코어 층을 포함한다. 하나 이상의 보강 구조체는 전기적으로 디커플링되어 있다. 제2 콘택트들은 인터포저의 하부 표면에 배치되고, 제1 콘택트들은 제2 콘택트들의 각자의 제2 콘택트들에 커플링된다.Another embodiment is a structure including a first device package, the first device package including an integrated circuit die having an active side facing downward. The first device package also includes a redistribution structure coupled to one or more contacts of the integrated circuit die and first contacts disposed on a top surface of the redistribution structure. The structure also includes an interposer, the interposer including a substrate core layer having one or more metal vias disposed in the substrate core layer and one or more reinforcing structures disposed in the substrate core layer. The one or more reinforcing structures are electrically decoupled. Second contacts are disposed on the lower surface of the interposer, and the first contacts are coupled to respective second contacts of the second contacts.

전술된 내용은 본 기술분야의 통상의 기술자가 본 개시내용의 양태들을 보다 잘 이해할 수 있도록 몇몇 실시예들의 특징들을 개략적으로 설명한다. 본 기술분야의 통상의 기술자는 본 명세서에서 소개된 실시예들의 동일한 목적들을 수행하고 그리고/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조체들을 설계하거나 수정하기 위한 기초로서 본 개시내용을 용이하게 사용할 수 있음을 인식해야 한다. 본 기술분야의 통상의 기술자는 그러한 동등한 구성들이 본 개시내용의 사상 및 범위를 벗어나지 않는다는 것과, 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 명세서에 다양한 변경들, 치환들, 및 수정들을 행할 수 있음을 또한 알아야 한다.The foregoing outlines features of some embodiments so that those skilled in the art may better understand aspects of the present disclosure. A person skilled in the art will readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. You have to recognize that you can. Those skilled in the art can make various changes, substitutions, and modifications herein without departing from the spirit and scope of the present disclosure, and that such equivalent constructions do not depart from the spirit and scope of the present disclosure. It should also be known that

<부기><bookkeeping>

1. 방법에 있어서,1. A method comprising:

인터포저의 코어 층 내에 개구부를 형성하는 단계;forming an opening in the core layer of the interposer;

상기 개구부 내에 보강 구조체를 형성하는 단계 - 상기 보강 구조체는 상기 인터포저의 제1 표면으로부터 상기 인터포저의 제2 표면까지 연장되며, 상기 보강 구조체는 상기 인터포저의 도전성 피처들로부터 전기적으로 격리됨 -;forming a reinforcing structure within the opening, the reinforcing structure extending from a first surface of the interposer to a second surface of the interposer, the reinforcing structure being electrically isolated from conductive features of the interposer; ;

상기 인터포저의 상기 제1 표면에서 상기 인터포저 상에 제1 커넥터들을 형성하는 단계;forming first connectors on the interposer at the first surface of the interposer;

상기 인터포저의 상기 제1 커넥터들을 제1 패키지 디바이스의 제2 커넥터들에 본딩하는 단계; 및bonding the first connectors of the interposer to second connectors of a first packaged device; and

상기 인터포저와 상기 제1 패키지 디바이스 사이에 몰딩 컴파운드(molding compound)를 형성하는 단계forming a molding compound between the interposer and the first package device;

를 포함하는, 방법.A method comprising

2. 제1항에 있어서,2. according to clause 1,

상기 제1 패키지 디바이스의 집적 회로 다이와 상기 인터포저 사이에 접착제 층을 형성하는 단계를 더 포함하고, 상기 접착제 층은 상기 집적 회로 다이 및 상기 인터포저 둘 다와 접촉하는, 방법.and forming an adhesive layer between the interposer and the integrated circuit die of the first packaged device, the adhesive layer in contact with both the integrated circuit die and the interposer.

3. 제1항에 있어서,3. according to clause 1,

상기 인터포저의 상기 코어 층 내에 캐비티를 형성하는 단계를 더 포함하고, 상기 제1 커넥터들을 상기 제2 커넥터들에 본딩한 후에, 상기 집적 회로 다이는 상기 캐비티 내에 적어도 부분적으로 배치되는, 방법.and forming a cavity in the core layer of the interposer, wherein after bonding the first connectors to the second connectors, the integrated circuit die is at least partially disposed within the cavity.

4. 제3항에 있어서, 상기 캐비티는 스루홀을 형성하도록 상기 인터포저를 완전히 관통하여 연장되는, 방법.4. The method of clause 3, wherein the cavity extends completely through the interposer to form a through hole.

5. 제1항에 있어서, 상기 인터포저의 상기 코어 층은 제1 코어 층이고, 상기 방법은,5. The method of clause 1, wherein the core layer of the interposer is a first core layer, the method comprising:

상기 인터포저의 제2 코어 층을 형성하는 단계; 및forming a second core layer of the interposer; and

상기 인터포저의 상기 제2 코어 층 내에 제2 개구부를 형성하는 단계를 더 포함하고, 상기 제2 개구부는 상기 제1 코어 층과 상기 제2 코어 층 사이에 배치된 리세스 본드 패드를 노출시키는, 방법.forming a second opening in the second core layer of the interposer, the second opening exposing a recess bond pad disposed between the first core layer and the second core layer; Way.

6. 제5항에 있어서,6. according to claim 5,

상기 제2 개구부 내에 금속 막을 형성하는 단계를 더 포함하고, 상기 금속 막은 상기 제2 개구부의 측벽들 및 바닥(bottom)을 라이닝하는, 방법.and forming a metal film within the second opening, wherein the metal film lines sidewalls and a bottom of the second opening.

7. 제1항에 있어서, 상기 인터포저의 상기 제1 커넥터들을 제1 패키지 디바이스의 제2 커넥터들에 본딩하는 단계는,7. The method of claim 1, wherein bonding the first connectors of the interposer to second connectors of a first package device comprises:

상기 제1 커넥터들을 상기 제2 커넥터들에 정렬시키는 단계; 및aligning the first connectors to the second connectors; and

공융 재료(eutectic material)를 리플로시켜 상기 제1 커넥터들을 상기 제2 커넥터들에 커플링시키는 단계를 포함하는, 방법.reflowing eutectic material to couple the first connectors to the second connectors.

8. 제7항에 있어서, 상기 공융 재료는 상기 제2 커넥터들의 제1 수직 부분을 측방으로 캡슐화하고(laterally encapsulates) 상기 제2 커넥터들의 제2 수평 부분과 접촉하며, 상기 제1 수직 부분은 금속 필라(metal pillar)를 포함하고, 상기 제2 수평 부분은 상기 금속 필라가 돌출해 나오는 스텝(step)을 포함하는, 방법.8. The eutectic material of clause 7, wherein the eutectic material laterally encapsulates a first vertical portion of the second connectors and contacts a second horizontal portion of the second connectors, the first vertical portion being a metal and a metal pillar, and wherein the second horizontal portion includes a step through which the metal pillar protrudes.

9. 제8항에 있어서, 상기 공융 재료는 상기 제2 수평 부분의 측방 범위(lateral extents) 내에 있는, 방법.9. The method of claim 8, wherein the eutectic material is within lateral extents of the second horizontal portion.

10. 방법에 있어서,10. A method comprising:

제1 패키지 요소의 제1 커넥터들을 제2 패키지 요소의 제2 커넥터들에 정렬시키는 단계 - 상기 제1 커넥터들은 솔더 재료들을 포함하고, 상기 제2 커넥터들 각각은 금속 스텝(metal step)으로부터 돌출하는 금속 필라를 포함함 -;aligning the first connectors of the first package element to second connectors of the second package element, the first connectors comprising solder materials, each of the second connectors protruding from a metal step including metal pillars;

상기 제1 커넥터들을 상기 제2 커넥터들에 접촉시키는 단계; 및contacting the first connectors to the second connectors; and

상기 솔더 재료들을 리플로시키는 단계reflowing the solder materials.

를 포함하고, 상기 솔더 재료들은 상기 금속 필라들 각각을 둘러싸도록 유동하고 상기 금속 스텝들 각각과 접촉하며, 상기 금속 필라들을 둘러싸는 상기 솔더 재료들의 일 부분은 상기 금속 스텝의 측방 범위 내에 있는, 방법.wherein the solder materials flow to surround each of the metal pillars and are in contact with each of the metal steps, wherein a portion of the solder materials surrounding the metal pillars is within a lateral extent of the metal step. .

11. 제10항에 있어서, 상기 제1 패키지 요소는 인터포저 또는 집적 회로 다이를 포함하고, 상기 제2 패키지는 하부 팬 아웃 패키지에 대응하는, 방법.11. The method of claim 10, wherein the first package element comprises an interposer or integrated circuit die and the second package corresponds to a bottom fan out package.

12. 제10항에 있어서,12. Paragraph 10,

상기 솔더 재료들을 리플로시킨 후에, 상기 제1 패키지 요소와 상기 제2 패키지 요소 사이에 몰딩 컴파운드를 퇴적시키는 단계를 더 포함하고, 상기 몰딩 컴파운드는 상기 솔더 재료들을 둘러싸는, 방법.after reflowing the solder materials, depositing a molding compound between the first package element and the second package element, the molding compound surrounding the solder materials.

13. 제12항에 있어서, 상기 제2 패키지 요소는 상기 제1 패키지 요소의 제1 표면에서 상기 제1 패키지 요소에 커플링되고, 상기 방법은,13. The method of clause 12, wherein the second package element is coupled to the first package element at a first surface of the first package element, the method comprising:

제3 패키지 요소를 상기 제1 패키지 요소의 제2 표면에 커플링시키는 단계를 더 포함하고, 상기 제2 표면은 상기 제1 표면에 대향하는, 방법.and coupling a third package element to a second surface of the first package element, wherein the second surface is opposite the first surface.

14. 제10항에 있어서,14. Paragraph 10,

상기 제1 패키지 요소와 상기 제2 패키지 요소 사이에 열 접착제 층을 형성하는 단계를 더 포함하고, 상기 열 접착제 층은 상기 제1 패키지 요소 및 상기 제2 패키지 요소의 집적 회로 다이와 접촉하는, 방법.and forming a thermal adhesive layer between the first package element and the second package element, wherein the thermal adhesive layer is in contact with an integrated circuit die of the first package element and the second package element.

15. 제10항에 있어서, 상기 제1 패키지 요소는 보강 구조체들이 내부에 배치되어 있는 하나 이상의 코어 기판 층을 포함하며, 상기 보강 구조체들 각각은 전기적으로 플로팅(floating)되어 있는, 방법.15. The method of clause 10, wherein the first package element comprises one or more core substrate layers having reinforcing structures disposed therein, each of the reinforcing structures being electrically floating.

16. 구조체에 있어서,16. A structure comprising:

제1 디바이스 패키지; 및a first device package; and

인터포저interposer

를 포함하고, including,

상기 제1 디바이스 패키지는,The first device package,

활성면(active side)을 갖는 집적 회로 다이 - 상기 활성면은 아래쪽으로 향해 있음 -,an integrated circuit die having an active side, the active side facing downward;

상기 집적 회로 다이의 하나 이상의 콘택트에 커플링된 재배선 구조체(redistribution structure), 및a redistribution structure coupled to one or more contacts of the integrated circuit die, and

상기 재배선 구조체의 상부 표면에 배치된 제1 콘택트들을 포함하고, first contacts disposed on the upper surface of the redistribution structure;

상기 인터포저는,The interposer is

기판 코어 층,substrate core layer,

상기 기판 코어 층 내에 배치된 하나 이상의 금속 비아,one or more metal vias disposed within the substrate core layer;

상기 기판 코어 층 내에 배치된 하나 이상의 보강 구조체 - 상기 하나 이상의 보강 구조체는 전기적으로 디커플링되어 있음 -, 및one or more reinforcing structures disposed within the substrate core layer, wherein the one or more reinforcing structures are electrically decoupled; and

상기 인터포저의 하부 표면에 배치된 제2 콘택트들을 포함하고, 상기 제1 콘택트들은 상기 제2 콘택트들의 각자의 제2 콘택트들에 커플링되는, 구조체.second contacts disposed on a lower surface of the interposer, wherein the first contacts are coupled to respective second contacts of the second contacts.

17. 제16항에 있어서, 상기 인터포저는,17. The method of 16, wherein the interposer comprises:

상기 기판 코어 층 상에 형성된 금속화부 - 상기 금속화부는 본드 패드들을 포함함 -;a metallization formed on the substrate core layer, the metallization including bond pads;

상기 금속화부 위에 형성된 제2 기판 코어 층; 및a second substrate core layer formed over the metallization; and

상기 제2 기판 코어 층을 관통하여 형성되고 상기 본드 패드들에 커플링되는 제3 콘택트들을 더 포함하는, 구조체.and third contacts formed through the second substrate core layer and coupled to the bond pads.

18. 제17항에 있어서, 상기 인터포저는 상기 제3 콘택트들 각각의 측면 및 바닥을 둘러싸는 금속 라이너 층을 더 포함하고, 상기 금속 라이너는 상기 제3 콘택트들과 상기 본드 패드들 사이에 개재되는, 구조체.18. The method of clause 17, wherein the interposer further comprises a metal liner layer surrounding a side and a bottom of each of the third contacts, the metal liner interposed between the third contacts and the bond pads. being a struct.

19. 제16항에 있어서, 평면도에서, 상기 하나 이상의 보강 구조체의 총 면적은 상기 기판 코어 층의 전체 면적의 5% 내지 80%인, 구조체.19. The structure of clause 16, wherein, in plan view, the total area of the one or more reinforcing structures is between 5% and 80% of the total area of the substrate core layer.

20. 제16항에 있어서, 상기 제2 콘택트들 각각은 금속 숄더(metal shoulder)의 상단 상에 배치된 금속 필라를 포함하며, 상기 제1 콘택트들 각각은 상기 하나 이상의 금속 비아의 각자의 금속 비아에 전기적으로 커플링된 솔더 재료를 포함하고, 상기 솔더 재료는 상기 금속 필라를 캡슐화하며, 상기 솔더 재료의 측방 범위(lateral extent)는 상기 금속 숄더의 측방 범위 내에 있는, 구조체.20. The system of clause 16, wherein each of the second contacts comprises a metal pillar disposed on a top of a metal shoulder, each of the first contacts a respective metal via of the one or more metal vias. and solder material electrically coupled to the solder material, wherein the solder material encapsulates the metal pillars, and wherein a lateral extent of the solder material is within a lateral extent of the metal shoulder.

Claims (10)

패키지 형성 방법에 있어서,
인터포저의 제1 코어 층 내에 개구부를 형성하는 단계;
상기 개구부 내에 제1 보강 구조체를 형성하는 단계 - 상기 제1 보강 구조체는 상기 제1 코어 층의 제1 표면으로부터 상기 제1 코어 층의 제2 표면까지 연장되며, 상기 제1 보강 구조체는 상기 인터포저의 도전성 피처들로부터 전기적으로 격리됨 -;
상기 제1 코어 층의 상기 제1 표면에서 상기 인터포저 상에 제1 커넥터들을 형성하는 단계;
상기 인터포저의 상기 제1 커넥터들을 제1 패키지 디바이스의 제2 커넥터들에 본딩하는 단계;
상기 인터포저와 상기 제1 패키지 디바이스 사이에 몰딩 컴파운드(molding compound)를 형성하는 단계;
상기 제1 코어 층의 상기 제2 표면 위에 상기 인터포저의 제2 코어 층을 형성하는 단계; 및
상기 인터포저의 상기 제2 코어 층 내에 제2 보강 구조체를 형성하는 단계를 포함하고,
상기 제1 보강 구조체의 적어도 일부는, 평면도에서 상기 제1 패키지 디바이스의 집적 회로 다이 외부에 위치하며,
상기 제2 보강 구조체의 적어도 일부는, 상기 제1 보강 구조체와 정렬되어 있지 않은 것인, 패키지 형성 방법.
In the package forming method,
forming an opening in the first core layer of the interposer;
forming a first reinforcing structure within the opening, the first reinforcing structure extending from a first surface of the first core layer to a second surface of the first core layer, the first reinforcing structure comprising the interposer electrically isolated from the conductive features of -;
forming first connectors on the interposer at the first surface of the first core layer;
bonding the first connectors of the interposer to second connectors of a first packaged device;
forming a molding compound between the interposer and the first package device;
forming a second core layer of the interposer over the second surface of the first core layer; and
forming a second reinforcing structure within the second core layer of the interposer;
at least a portion of the first reinforcing structure is located outside the integrated circuit die of the first packaged device in plan view;
and at least a portion of the second reinforcing structure is not aligned with the first reinforcing structure.
제1항에 있어서,
상기 집적 회로 다이와 상기 인터포저 사이에 접착제 층을 형성하는 단계를 더 포함하고, 상기 접착제 층은 상기 집적 회로 다이 및 상기 인터포저 둘 다와 접촉하는, 패키지 형성 방법.
According to claim 1,
and forming an adhesive layer between the integrated circuit die and the interposer, the adhesive layer in contact with both the integrated circuit die and the interposer.
제2항에 있어서,
상기 인터포저의 상기 제1 코어 층 내에 캐비티를 형성하는 단계를 더 포함하고, 상기 제1 커넥터들을 상기 제2 커넥터들에 본딩한 후에, 상기 집적 회로 다이는 상기 캐비티 내에 적어도 부분적으로 배치되는, 패키지 형성 방법.
3. The method of claim 2,
and forming a cavity in the first core layer of the interposer, wherein after bonding the first connectors to the second connectors, the integrated circuit die is at least partially disposed within the cavity. Formation method.
제1항에 있어서,
상기 인터포저의 상기 제2 코어 층 내에 제2 개구부를 형성하는 단계를 더 포함하고, 상기 제2 개구부는 상기 제1 코어 층과 상기 제2 코어 층 사이에 배치된 리세스 본드 패드를 노출시키는 것인, 패키지 형성 방법.
According to claim 1,
forming a second opening in the second core layer of the interposer, the second opening exposing a recess bond pad disposed between the first core layer and the second core layer Phosphorus, a method of forming a package.
제1항에 있어서, 상기 인터포저의 상기 제1 커넥터들을 제1 패키지 디바이스의 제2 커넥터들에 본딩하는 단계는,
상기 제1 커넥터들을 상기 제2 커넥터들에 정렬시키는 단계; 및
공융 재료(eutectic material)를 리플로시켜 상기 제1 커넥터들을 상기 제2 커넥터들에 커플링시키는 단계를 포함하는, 패키지 형성 방법.
The method of claim 1 , wherein bonding the first connectors of the interposer to second connectors of a first package device comprises:
aligning the first connectors to the second connectors; and
and reflowing a eutectic material to couple the first connectors to the second connectors.
제5항에 있어서, 상기 공융 재료는 상기 제2 커넥터들의 제1 수직 부분을 측방으로 캡슐화하고(laterally encapsulates) 상기 제2 커넥터들의 제2 수평 부분과 접촉하며, 상기 제1 수직 부분은 금속 필라(metal pillar)를 포함하고, 상기 제2 수평 부분은 상기 금속 필라가 돌출해 나오는 스텝(step)을 포함하는, 패키지 형성 방법.6. The metal pillar of claim 5, wherein the eutectic material laterally encapsulates a first vertical portion of the second connectors and contacts a second horizontal portion of the second connectors, the first vertical portion being a metal pillar. and a metal pillar, wherein the second horizontal portion includes a step through which the metal pillar protrudes. 패키지 구조체에 있어서,
제1 디바이스 패키지; 및
인터포저
를 포함하고,
상기 제1 디바이스 패키지는,
활성면(active side)을 갖는 집적 회로 다이 - 상기 활성면은 아래쪽으로 향해 있음 -,
상기 집적 회로 다이의 하나 이상의 콘택트에 커플링된 재배선 구조체(redistribution structure), 및
상기 재배선 구조체의 상부 표면에 배치된 제1 콘택트들을 포함하고,
상기 인터포저는,
제1 기판 코어 층,
상기 제1 기판 코어 층 내에 배치된 하나 이상의 금속 비아,
상기 제1 기판 코어 층 내에 배치된 하나 이상의 제1 보강 구조체 - 상기 하나 이상의 제1 보강 구조체는 전기적으로 디커플링되어 있고, 상기 하나 이상의 제1 보강 구조체의 적어도 일부는 평면도에서 상기 집적 회로 다이 외부에 위치함 -,
상기 제1 기판 코어 층 위에 형성된 제2 기판 코어 층,
상기 제2 기판 코어 층 내에 배치된 하나 이상의 제2 보강 구조체 - 상기 하나 이상의 제2 보강 구조체의 적어도 일부는, 상기 제1 보강 구조체와 정렬되어 있지 않음 -,
상기 인터포저의 하부 표면에 배치된 제2 콘택트들을 포함하고, 상기 제1 콘택트들은 상기 제2 콘택트들의 각자의 제2 콘택트들에 커플링되는, 패키지 구조체.
In the package structure,
a first device package; and
interposer
including,
The first device package,
an integrated circuit die having an active side, the active side facing downward;
a redistribution structure coupled to one or more contacts of the integrated circuit die, and
first contacts disposed on the upper surface of the redistribution structure;
The interposer is
a first substrate core layer;
one or more metal vias disposed within the first substrate core layer;
one or more first reinforcement structures disposed within the first substrate core layer, the one or more first reinforcement structures being electrically decoupled, and at least a portion of the one or more first reinforcement structures located outside the integrated circuit die in plan view Ham -,
a second substrate core layer formed over the first substrate core layer;
one or more second reinforcement structures disposed within the second substrate core layer, wherein at least a portion of the one or more second reinforcement structures are not aligned with the first reinforcement structures;
second contacts disposed on a lower surface of the interposer, wherein the first contacts are coupled to respective second contacts of the second contacts.
제7항에 있어서, 상기 인터포저는,
상기 제1 기판 코어 층 및 상기 제2 기판 코어 층 사이에 형성된 금속화부 - 상기 금속화부는 본드 패드들을 포함함 -; 및
상기 제2 기판 코어 층을 관통하여 형성되고 상기 본드 패드들에 커플링되는 제3 콘택트들을 더 포함하는, 패키지 구조체.
The method of claim 7, wherein the interposer,
a metallization formed between the first substrate core layer and the second substrate core layer, the metallization including bond pads; and
and third contacts formed through the second substrate core layer and coupled to the bond pads.
제8항에 있어서, 상기 인터포저는 상기 제3 콘택트들 각각의 측면 및 바닥을 둘러싸는 금속 라이너 층을 더 포함하고, 상기 금속 라이너는 상기 제3 콘택트들과 상기 본드 패드들 사이에 개재되는, 패키지 구조체.9. The method of claim 8, wherein the interposer further comprises a metal liner layer surrounding a side and a bottom of each of the third contacts, the metal liner interposed between the third contacts and the bond pads. package structure. 제7항에 있어서, 상기 제2 콘택트들 각각은 금속 숄더(metal shoulder)의 상단 상에 배치된 금속 필라를 포함하며, 상기 제1 콘택트들 각각은 상기 하나 이상의 금속 비아의 각자의 금속 비아에 전기적으로 커플링된 솔더 재료를 포함하고, 상기 솔더 재료는 상기 금속 필라를 캡슐화하며, 상기 솔더 재료의 측방 범위는 상기 금속 숄더의 측방 범위 내에 있는, 패키지 구조체.8. The method of claim 7, wherein each of the second contacts includes a metal pillar disposed on top of a metal shoulder, each of the first contacts electrically connected to a respective metal via of the one or more metal vias. and a solder material coupled to the solder material, wherein the solder material encapsulates the metal pillars, wherein a lateral extent of the solder material is within a lateral extent of the metal shoulder.
KR1020190098192A 2018-09-28 2019-08-12 Fan-out packages and methods of forming the same KR102383912B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220041020A KR102494297B1 (en) 2018-09-28 2022-04-01 Fan-out packages and methods of forming the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738918P 2018-09-28 2018-09-28
US62/738,918 2018-09-28
US16/371,917 2019-04-01
US16/371,917 US11164754B2 (en) 2018-09-28 2019-04-01 Fan-out packages and methods of forming the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220041020A Division KR102494297B1 (en) 2018-09-28 2022-04-01 Fan-out packages and methods of forming the same

Publications (2)

Publication Number Publication Date
KR20200037066A KR20200037066A (en) 2020-04-08
KR102383912B1 true KR102383912B1 (en) 2022-04-08

Family

ID=69781285

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020190098192A KR102383912B1 (en) 2018-09-28 2019-08-12 Fan-out packages and methods of forming the same
KR1020220041020A KR102494297B1 (en) 2018-09-28 2022-04-01 Fan-out packages and methods of forming the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220041020A KR102494297B1 (en) 2018-09-28 2022-04-01 Fan-out packages and methods of forming the same

Country Status (4)

Country Link
KR (2) KR102383912B1 (en)
CN (1) CN110970312B (en)
DE (1) DE102019117199A1 (en)
TW (1) TWI754839B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11552029B2 (en) 2020-09-04 2023-01-10 Micron Technology, Inc. Semiconductor devices with reinforced substrates
TWI789682B (en) * 2021-01-15 2023-01-11 友達光電股份有限公司 Package structure and method for manufacturing the same
CN113130420A (en) * 2021-03-19 2021-07-16 南通越亚半导体有限公司 Embedded packaging structure and manufacturing method thereof
US11804445B2 (en) 2021-04-29 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming chip package structure
US11817324B2 (en) * 2021-05-13 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Info packages including thermal dissipation blocks
US20230260942A1 (en) * 2022-02-16 2023-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Bond routing structure for stacked wafers
CN116417353B (en) * 2023-04-07 2023-11-03 江苏中科智芯集成科技有限公司 Preparation method of semiconductor packaging structure

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009045371A2 (en) * 2007-09-28 2009-04-09 Tessera, Inc. Flip chip interconnection with double post
US8383457B2 (en) * 2010-09-03 2013-02-26 Stats Chippac, Ltd. Semiconductor device and method of forming interposer frame over semiconductor die to provide vertical interconnect
US8193039B2 (en) * 2010-09-24 2012-06-05 Advanced Micro Devices, Inc. Semiconductor chip with reinforcing through-silicon-vias
CN202423279U (en) * 2011-12-29 2012-09-05 日月光半导体制造股份有限公司 Packaging structure for multi-chip wafer-level semiconductor
US9385052B2 (en) * 2012-09-14 2016-07-05 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming build-up interconnect structures over carrier for testing at interim stages
US9443797B2 (en) * 2012-09-14 2016-09-13 STATS ChipPAC Pte. Ltd. Semiconductor device having wire studs as vertical interconnect in FO-WLP
US9287245B2 (en) * 2012-11-07 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contoured package-on-package joint
KR102134133B1 (en) * 2013-09-23 2020-07-16 삼성전자주식회사 A semiconductor package and method of fabricating the same
US20150206812A1 (en) * 2014-01-23 2015-07-23 Qualcomm Incorporated Substrate and method of forming the same
US9527723B2 (en) * 2014-03-13 2016-12-27 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming microelectromechanical systems (MEMS) package
US20150342046A1 (en) * 2014-05-23 2015-11-26 Samsung Electro-Mechanics Co., Ltd. Printed circuit board, method for maufacturing the same and package on package having the same
KR102372300B1 (en) * 2015-11-26 2022-03-08 삼성전자주식회사 Stacked package and method of manufacturing the same
US9899342B2 (en) * 2016-03-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated fan-out package, redistribution circuit structure, and method of fabricating the same
CN106558574A (en) * 2016-11-18 2017-04-05 华为技术有限公司 Chip-packaging structure and method
KR102400534B1 (en) * 2016-12-28 2022-05-20 삼성전기주식회사 Fan-out semiconductor package module

Also Published As

Publication number Publication date
TW202032679A (en) 2020-09-01
TWI754839B (en) 2022-02-11
KR20220045950A (en) 2022-04-13
CN110970312A (en) 2020-04-07
CN110970312B (en) 2022-03-04
KR20200037066A (en) 2020-04-08
DE102019117199A1 (en) 2020-04-02
KR102494297B1 (en) 2023-02-06

Similar Documents

Publication Publication Date Title
US11164754B2 (en) Fan-out packages and methods of forming the same
US20210384120A1 (en) Semiconductor packages and methods of forming same
US11527474B2 (en) Integrated circuit package and method
US11508695B2 (en) Redistribution layers in semiconductor packages and methods of forming same
US11417604B2 (en) Dense redistribution layers in semiconductor packages and methods of forming the same
KR102383912B1 (en) Fan-out packages and methods of forming the same
KR102060742B1 (en) Package structures and methods of forming the same
US10037963B2 (en) Package structure and method of forming the same
US10304801B2 (en) Redistribution layers in semiconductor packages and methods of forming same
KR102397032B1 (en) Semiconductor device and method of manufacture
US10062648B2 (en) Semiconductor package and method of forming the same
US11942403B2 (en) Integrated circuit package and method
US20190148276A1 (en) Semiconductor packages and methods of forming same
US11158619B2 (en) Redistribution layers in semiconductor packages and methods of forming same
TWI790503B (en) Integrated circuit package and method of forming same
KR102424641B1 (en) Semiconductor package and method of forming the same
TW202017140A (en) Integrated circuit package and forming method thereof
US20230105359A1 (en) Integrated Circuit Packages

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant