KR102016190B1 - Distributed multi-zone plasma source systems, methods and apparatus - Google Patents

Distributed multi-zone plasma source systems, methods and apparatus Download PDF

Info

Publication number
KR102016190B1
KR102016190B1 KR1020147013268A KR20147013268A KR102016190B1 KR 102016190 B1 KR102016190 B1 KR 102016190B1 KR 1020147013268 A KR1020147013268 A KR 1020147013268A KR 20147013268 A KR20147013268 A KR 20147013268A KR 102016190 B1 KR102016190 B1 KR 102016190B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
plasma chamber
sources
ring
Prior art date
Application number
KR1020147013268A
Other languages
Korean (ko)
Other versions
KR20140091007A (en
Inventor
아리 샤지
리차드 고트쵸
수엘 벤제로크
앤드류 코이
싯다르트 피. 나가르카티
윌리암 알. 엔트리
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/649,103 external-priority patent/US10283325B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140091007A publication Critical patent/KR20140091007A/en
Application granted granted Critical
Publication of KR102016190B1 publication Critical patent/KR102016190B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

프로세싱 챔버는 프로세스 챔버 상단에서 복수의 플라즈마 소스들을 포함한다. 상기 복수의 플라즈마 소스들 각각은 링 플라즈마 소스이며, 상기 링 플라즈마 소스는 1 차 권선 (primary winding), 및 복수의 페라이트부들 (ferrites) 을 포함한다. 플라즈마 프로세싱 시스템이 또한 기술된다. 플라즈마 프로세싱 방법이 또한 기술된다.The processing chamber includes a plurality of plasma sources at the top of the process chamber. Each of the plurality of plasma sources is a ring plasma source, the ring plasma source comprising a primary winding, and a plurality of ferrites. Plasma processing systems are also described. Plasma processing methods are also described.

Description

분포된 다중존 플라즈마 소스 시스템들, 방법들 및 장치{DISTRIBUTED MULTI-ZONE PLASMA SOURCE SYSTEMS, METHODS AND APPARATUS}DISTRIBUTED MULTI-ZONE PLASMA SOURCE SYSTEMS, METHODS AND APPARATUS

본 발명은 일반적으로 플라즈마 반응 챔버들에 관한 것이며, 보다 구체적으로, 웨이퍼 프로세싱 챔버와는 별도인 플라즈마 반응 챔버들을 위한 방법들, 시스템들 및 장치에 관한 것이다.
The present invention relates generally to plasma reaction chambers and, more particularly, to methods, systems and apparatus for plasma reaction chambers separate from the wafer processing chamber.

도 1a는 통상적인 평행-플레이트 용량성 플라즈마 프로세싱 챔버 (100) 의 측면도이다. 도 1b는 통상적인 평행-플레이트 용량성 플라즈마 프로세싱 챔버 (100) 내에서 프로세싱된 기판 (102) 의 평면도이다. 통상적인 평행-플레이트 용량성 플라즈마 프로세싱 챔버 (100) 는 상단 전극 (104), 프로세싱될 기판을 지지하기 위한 기판 지지부 (106) 를 포함한다. 기판 지지부 (106) 는 또한 하단 전극일 수 있다. 상단 전극 (104) 은 통상적으로 다수의 유입 포트들 (109) 을 갖는 샤워헤드 타입 전극이다. 다수의 유입 포트들 (109) 은 프로세스 가스들 (110) 이 프로세싱 챔버 (100) 의 폭 내에서 이를 가로지르게 한다.1A is a side view of a conventional parallel-plate capacitive plasma processing chamber 100. 1B is a top view of a substrate 102 processed in a conventional parallel-plate capacitive plasma processing chamber 100. A typical parallel-plate capacitive plasma processing chamber 100 includes a top electrode 104, a substrate support 106 for supporting a substrate to be processed. The substrate support 106 can also be a bottom electrode. The top electrode 104 is typically a showerhead type electrode having a plurality of inlet ports 109. Multiple inlet ports 109 allow process gases 110 to traverse within the width of processing chamber 100.

통상적인 평행-플레이트 용량성 플라즈마 프로세싱 챔버 (100) 는 라운드된 (round) 평면형 기판들을 프로세싱하기 위해서 사용된다. 통상적인 프로세스들은 유전체 에칭 프로세스 및 다른 에칭 프로세스이다. 이러한 플라즈마 반응기는 통상적으로 중성 종들의 내재적인 중앙 대 에지 불균일성을 겪는다.A conventional parallel-plate capacitive plasma processing chamber 100 is used to process rounded planar substrates. Typical processes are dielectric etching processes and other etching processes. Such plasma reactors typically suffer from intrinsic center-to-edge heterogeneity of neutral species.

이러한 시스템들이 양호하게 작동하더라도, 몇몇 시스템은 기판 에지에서 존재하는 플로우 속도, 유효 가스 상주 시간, 및 하나 이상의 가스 화학 물질에 대한, 기판 중앙에서 존재하는 플로우 속도, 유효 가스 상주 시간, 및 하나 이상의 가스 화학 물질 중 하나 이상의 차이들로부터 발생하는 중성 종들의 중앙 대 에지 불균일성을 생성한다. 하나 이상의 가스 화학 물질들은 가스상 (gas-phase) 해리 반응, 교환 반응 및 재결합 반응에 의해서 생성될 수 있다.Although these systems work well, some systems have flow rates present at the substrate edge, effective gas residence time, and flow rates present at the center of the substrate, effective gas residence time, and one or more gases for one or more gas chemistries. It creates a center to edge heterogeneity of neutral species resulting from one or more differences in chemicals. One or more gas chemistries may be produced by gas-phase dissociation, exchange and recombination reactions.

예시적으로, 프로세스 가스들이 프로세싱 챔버의 폭에 걸쳐서 도입되면, 플라즈마 (112) 가 상단 전극 (104) 과 하단 전극 (104) 간에 형성되며 플라즈마가 형성된다. 플라즈마 부산물들 (118) 은 플라즈마 (112) 내의 라디칼들 및 중성종들과 기판 (1020 의 표면 간의 반응에 의해서 형성된다. 플라즈마 부산물들 (118) 은 기판의 측면들로부터 분리되어서 펌프들 (108) 내로 유입된다. 플라즈마 부산물들은 하나 이상의 해리 반응들 (예를 들어, CF4 + e- → CF3 + F + e-) 및/또는 하나 이상의 이온화들 (예를 들어, CF4 + e- → CF3+ + F) 및/또는 하나 이상의 여기들 (예를 들어, Ar → Ar+ + e-) 및/또는 하나 이상의 부착들 (예를 들어, CF4 + e- → CF3 + F-) 및/또는 하나 이상의 이원 반응들 (binary reactions) (예를 들어, CF3 + H → CF2 + HF) 을 포함할 수 있다.By way of example, when process gases are introduced across the width of the processing chamber, a plasma 112 is formed between the top electrode 104 and the bottom electrode 104 and a plasma is formed. Plasma by-products 118 are formed by reaction between radicals and neutral species in the plasma 112 and the surface of the substrate 1020. The plasma by-products 118 are separated from the sides of the substrate so that the pumps 108 Plasma by-products may be subjected to one or more dissociation reactions (eg, CF 4 + e CF 3 + F + e ) and / or one or more ionizations (eg, CF 4 + e CF 3 + + F). ) and / or one or more here (e.g., Ar → Ar + + e - ) and / or one or more of the attachment (for example, CF4 + e - → CF3 + F -) and / or one or more two won reaction Binary reactions (eg, CF3 + H → CF2 + HF).

플라즈마 부산물들 (118) 은 또한 에천트들 F, CFx, SiF2, SiF4, Co, CO2 를 포함하는 에칭 부산물들을 포함할 수도 있다. 에칭 부산물들은 또한 플라즈마 (112) 내에서 해리될 수 있다.Plasma byproducts 118 may also include etching byproducts including etchants F, CFx, SiF2, SiF4, Co, CO2. Etch byproducts may also be dissociated in plasma 112.

또한, 재결합이 플라즈마 프로세싱 동안에 발생할 수도 있다. 재결합은 재결합 부산물들 (120) 을 생성한다. 재결합은 통상적으로 플라즈마 (112) 로부터의 라디칼들 및 중성종들이 상단 전극 (104) 의 하단 표면과 같은 표면들에 충돌할 때에 발생한다. 이어서, 재결합 부산물들 (120) 은, 플라즈마 부산물 (118) 과 마찬가지로, 기판 (102) 의 측면으로부터 분리되어서 펌프들 (108) 에 유입된다. 플라즈마 재결합 부산물들 (120) 은 하나 이상의 벽 반응 또는 표면 반응 (예를 들어, F + CF → CF2, 및/또는 H + H → H2, 및/또는 O + O → O2, 및/또는 N + N → N2) 을 포함할 수 있다. 플라즈마 재결합 부산물 (120) 은 또한 CFx가 챔버 (100) 의 벽 또는 다른 내측 표면 상에 폴리머를 형성하는 증착을 포함할 수도 있다.Recombination may also occur during plasma processing. Recombination produces recombination byproducts 120. Recombination typically occurs when radicals and neutral species from plasma 112 impinge upon surfaces such as the bottom surface of top electrode 104. The recombination byproducts 120 are then separated from the side of the substrate 102 and enter the pumps 108, like the plasma byproducts 118. Plasma recombination byproducts 120 may have one or more wall reactions or surface reactions (eg, F + CF → CF 2, and / or H + H → H 2, and / or O + O → O 2, and / or N + N → N2). Plasma recombination byproduct 120 may also include a deposition in which CFx forms a polymer on the wall or other inner surface of chamber 100.

오직 명료성을 위해서, 도 1a에 도시된 바와 같이, 플라즈마 부산물들은 기판 (102) 의 일 측면으로부터 분리되고 재결합 부산물들 (120) 은 기판 (102) 의 반대 측면으로부터 분리됨이 주목되어야 한다. 실제로는, 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 모두가 상호혼합되고 기판 (102) 의 양 측면들로부터 분리되고 펌프들 (108) 또는 다른 수단으로 유입된다는 것을 본 기술 분야의 당업자는 이해한다.For clarity only, it should be noted that as shown in FIG. 1A, the plasma byproducts are separated from one side of the substrate 102 and the recombination byproducts 120 are separated from the opposite side of the substrate 102. In practice, those skilled in the art will recognize that both plasma by-products 118 and recombination by-products 120 are intermixed and separated from both sides of the substrate 102 and introduced into the pumps 108 or other means. I understand.

플라즈마 프로세싱이 발생하면, 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 의 농도들은 기판 (102) 의 중앙에서 에지에 걸쳐서 변한다. 이로써, 플라즈마 (112) 내의 프로세스 가스들, 라디칼들, 및 중성 종들의 농노들이 이에 따라서 변한다. 이로써, 효과적인 플라즈마 프로세싱, 본 실례에서는 에칭도 기판 (102) 의 중앙에서 에지에 걸쳐서 변한다. 그러나, 플라즈마를 저감 또는 제어하도록 구현될 수 있는 다수의 챔버 구성들 및 구조들이 존재한다.Once plasma processing occurs, the concentrations of plasma byproducts 118 and recombination byproducts 120 vary across the edge at the center of the substrate 102. As such, the serfs of process gases, radicals, and neutral species in plasma 112 are changed accordingly. As such, effective plasma processing, in this example, etching, also varies across the edge at the center of the substrate 102. However, there are a number of chamber configurations and structures that can be implemented to reduce or control the plasma.

이러한 제어들에 의해서, 플라즈마 라디칼들 및 중성 종들은 기판 (102) 의 중앙 부분 (102A) 위의 플라즈마 프로세싱 영역들 (114A 및 116A) 내의 기판 (102) 의 중앙에 가장 집중된다. 또한, 라디칼들 및 중성 종들의 농도들은 기판 (102) 의 중간 부분 (102B) 위의 중간 플라즈마 프로세싱 영역들 (114B 및 116B) 에서 다소 덜 집중된다. 또한, 라디칼들 및 중성 종들의 농도들은 기판 (102) 의 에지 부분 (102C) 위의 에지 플라즈마 프로세싱 영역들 (114C 및 116C) 에서 더 희석되고 덜 집중된다.By these controls, the plasma radicals and neutral species are most concentrated in the center of the substrate 102 in the plasma processing regions 114A and 116A above the central portion 102A of the substrate 102. In addition, the concentrations of radicals and neutral species are somewhat less concentrated in the intermediate plasma processing regions 114B and 116B above the middle portion 102B of the substrate 102. In addition, the concentrations of radicals and neutral species are more diluted and less concentrated in the edge plasma processing regions 114C and 116C above the edge portion 102C of the substrate 102.

이로써, 기판 (102) 의 중앙 부분 (102A) 위의 플라즈마 프로세싱 영역들 (114A 및 116A) 에서 발생하는 플라즈마 프로세싱은, 기판 (102) 의 중간 부분 (102B) 위의 중간 플라즈마 프로세싱 영역들 (114B 및 116B) 에서 약간 느리게 발생하는 플라즈마 프로세싱 및 기판 (102) 의 에지 부분 (102C) 위의 에지 플라즈마 프로세싱 영역들 (114C 및 116C) 에서 매우 느리게 발생하는 플라즈마 프로세싱보다 신속하게 발생한다. 이는 기판 (102) 의 중앙 대 에지 불균일성을 낳는다.As such, the plasma processing occurring in the plasma processing regions 114A and 116A above the central portion 102A of the substrate 102 may cause the intermediate plasma processing regions 114B and the intermediate plasma processing regions 114B above the intermediate portion 102B of the substrate 102 to occur. It occurs more rapidly than plasma processing that occurs slightly slow in 116B and plasma processing that occurs very slowly in edge plasma processing regions 114C and 116C above the edge portion 102C of the substrate 102. This results in a center to edge nonuniformity of the substrate 102.

이러한 중앙 대 에지 불균일성은 매우 큰 종횡비를 갖는 소형 체적 생성물 플라즈마 프로세싱 챔버들 내에서 악화된다. 예를 들어서, 매우 큰 종횡비는 기판의 폭 W가 플라즈마 프로세싱 영역의 높이 H의 약 4 배 이상일 때에 규정된다. 플라즈마 프로세싱 영역의 매우 큰 종횡비는 플라즈마 프로세싱 영역들 (114A-116C) 내에 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 을 집중시킨다.This center to edge nonuniformity is exacerbated in small volume product plasma processing chambers with very large aspect ratios. For example, a very large aspect ratio is defined when the width W of the substrate is at least about four times the height H of the plasma processing region. The very large aspect ratio of the plasma processing region concentrates plasma byproducts 118 and recombination byproducts 120 within the plasma processing regions 114A- 116C.

중성 종들의 이러한 중앙 대 에지 불균일성이 중앙 대 에지 프로세스 불균일성의 유일한 원인은 아닐지라도, 많은 유전체 에칭 애플리케이션들에서, 이는 크게 기여하고 있다. 구체적으로, 게이트 또는 비트라인 마스크 오프닝, 로우-k 막들 위의 포토레지스트 스트리핑, 높은 선택성의 컨택트/셀 및 비아 에칭과 같은 중성종-의존 프로세스들은 이러한 효과들에 특히 민감할 수도 있다. 유사한 문제들이 웨이퍼 유전체 에칭에서 사용되는 평행-플레이트 플라즈마 반응기들에서 뿐만 아니라 다른 평렬-플레이트 플라즈마 반응기들에서도 적용될 수도 있다.Although such center-to-edge nonuniformity of neutral species is not the only cause of center-to-edge process nonuniformity, in many dielectric etch applications, this contributes greatly. Specifically, neutral species-dependent processes such as gate or bitline mask opening, photoresist striping on low-k films, high selectivity contact / cell and via etching may be particularly sensitive to these effects. Similar problems may be applied in other parallel-plate plasma reactors as well as in parallel-plate plasma reactors used in wafer dielectric etching.

전술한 바를 감안하면, 플라즈마 에칭 프로세스 시에 중앙 대 에지 균일성을 개선할 필요가 있다.
In view of the foregoing, there is a need to improve center to edge uniformity during the plasma etching process.

광범위하게 말하자면, 본 발명은 분포된 다중존 (multi-zone) 플라즈마 소스를 제공함으로써 상기의 필요들을 충족시킨다. 본 발명은 프로세스, 장치, 시스템, 컴퓨터 판독가능한 매체 또는 디바이스를 포함하여 다양한 방식들로 구현될 수 있다. 본 발명의 몇몇 창의적인 실시예들이 이하에서 기술된다.Broadly speaking, the present invention meets the above needs by providing a distributed multi-zone plasma source. The invention can be implemented in a variety of ways, including as a process, apparatus, system, computer readable medium or device. Some inventive embodiments of the present invention are described below.

일 실시예는 프로세스 챔버 상단에서의 복수의 플라즈마 소스들을 포함하는 프로세싱 챔버를 제공한다. 상기 복수의 플라즈마 소스들 각각은 링 플라즈마 소스이며, 상기 링 플라즈마 소스는 1 차 권선 (primary winding), 및 복수의 페라이트부들 (ferrites) 을 포함한다.One embodiment provides a processing chamber including a plurality of plasma sources on top of the process chamber. Each of the plurality of plasma sources is a ring plasma source, the ring plasma source comprising a primary winding, and a plurality of ferrites.

복수의 플라즈마 챔버 유출구들 (outlets) 이 상기 복수의 플라즈마 소스들 각각의 플라즈마 챔버를 프로세스 챔버로 연통시킬 수 있다. 상기 복수의 플라즈마 소스들은 직사각형 어레이, 선형 어레이, 또는 비동심 원형 어레이 중 적어도 하나로 배열될 수 있다. 상기 프로세싱 챔버는 프로세스 가스 소스를 상기 복수의 플라즈마 소스들 각각에 연통시키는 적어도 하나의 프로세스 가스 유입구 (inlet) 를 더 포함할 수도 있다.A plurality of plasma chamber outlets may communicate the plasma chamber of each of the plurality of plasma sources to the process chamber. The plurality of plasma sources may be arranged in at least one of a rectangular array, a linear array, or a non-concentric circular array. The processing chamber may further include at least one process gas inlet for communicating a process gas source to each of the plurality of plasma sources.

상기 복수의 페라이트부들은 상기 플라즈마 소스들 각각의 원주를 둘러서 실질적으로 균일하게 분포될 수 있다. 상기 복수의 플라즈마 소스들 각각은 실질적으로 라운드 형상, 실질적으로 삼각 형상, 실질적으로 직사각 형상, 또는 실질적으로 다각 형상으로 구성된 형상들의 그룹 중 일 형상일 수 있다.The plurality of ferrite parts may be substantially evenly distributed around the circumference of each of the plasma sources. Each of the plurality of plasma sources may be one of a group of shapes consisting of a substantially round shape, a substantially triangular shape, a substantially rectangular shape, or a substantially polygonal shape.

상기 복수의 플라즈마 소스들 각각은 동일한 형상 또는 실질적으로 동일한 형상을 가질 수 있다. 상기 복수의 플라즈마 소스들 각각은 실질적으로 동일한 크기 또는 동일한 크기를 가질 수 있다. 상기 복수의 플라즈마 소스들 각각은 상기 복수의 플라즈마 소스들 중 나머지 플라즈마 소스들로부터 이격 거리만큼 이격된다. 각각의 이격 거리들은 실질적으로 동일한 이격 거리일 수 있다. 이와 달리, 각각의 이격 거리들은 실질적으로 상이한 이격 거리들일 수 있다. 상기 복수의 플라즈마 소스들 각각은 제어기 및 1 차 전류 소스에 접속될 수 있다.Each of the plurality of plasma sources may have the same shape or substantially the same shape. Each of the plurality of plasma sources may have substantially the same size or the same size. Each of the plurality of plasma sources is spaced apart from the remaining plasma sources of the plurality of plasma sources by a distance. Each of the separation distances may be substantially the same separation distance. Alternatively, each of the separation distances may be substantially different separation distances. Each of the plurality of plasma sources may be connected to a controller and a primary current source.

다른 실시예는 플라즈마를 생성하는 방법을 제공하며, 이 방법은 복수의 플라즈마 소스 중 선택된 플라즈마 소스에 프로세스 가스를 전달하는 단계; 상기 선택된 플라즈마 소스의 외측을 도는 각각의 1 차 권선에 1 차 전류를 인가하는 단계; 상기 1 차권선에서 자계를 생성하는 단계; 복수의 페라이트부들을 사용하여서 상기 선택된 플라즈마 소스에서 상기 자계를 집중시키는 단계; 상기 선택된 플라즈마 소스 내의 플라즈마 챔버 내의 상기 프로세스 가스 내에 2 차 전류를 유도하는 단계; 및 상기 2 차 전류를 사용하여서 상기 선택된 플라즈마 소스 내의 플라즈마 챔버 내의 상기 프로세스 가스 내에 플라즈마를 생성하는 단계를 포함한다. Another embodiment provides a method of generating a plasma, the method comprising delivering a process gas to a selected one of a plurality of plasma sources; Applying a primary current to each primary winding orbiting the selected plasma source; Generating a magnetic field in the primary winding; Concentrating the magnetic field in the selected plasma source using a plurality of ferrite portions; Inducing a secondary current in the process gas in a plasma chamber in the selected plasma source; And generating a plasma in the process gas in a plasma chamber in the selected plasma source using the secondary current.

이 방법은 중성 종들 및 라디칼 종들 중 적어도 하나를 복수의 유출 포트들을 통해서 프로세스 챔버에 전달하는 단계를 더 포함할 수 있다. 상기 복수의 유출 포트들은 상기 플라즈마 챔버를 상기 프로세스 챔버에 연통시킨다. 이 방법은 플라즈마 부산물 및 재결합 부산물 중 적어도 하나를 상기 프로세스 챔버로부터 프로세스 챔버 상단에서의 복수의 유출구들을 통해서 제거하는 단계를 더 포함할 수 있다. 상기 복수의 유출구들 중 적어도 하나는 상기 프로세스 챔버 상단에서 실질적으로 중앙 위치에 위치한다. 상기 페라이트부들은 링 플라즈마 소스의 원주를 둘러서 실질적으로 균일하게 분포될 수 있다. 상기 방법은 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하고 상기 복수의 플라즈마 소스들 중 적어도 하나의 적어도 하나의 세트 포인트 (set point) 를 조절하는 단계를 더 포함할 수 있다. 이 방법은 상기 복수의 플라즈마 소스들 중 적어도 하나를 상기 프로세스 챔버 내의 기판 지지부에 대해서 이동시키는 단계를 더 포함할 수 있다.The method may further include delivering at least one of the neutral species and the radical species to the process chamber through the plurality of outlet ports. The plurality of outlet ports communicate the plasma chamber with the process chamber. The method may further include removing at least one of plasma byproduct and recombination byproduct from the process chamber through a plurality of outlets at the top of the process chamber. At least one of the plurality of outlets is located at a substantially central position above the process chamber. The ferrite portions may be distributed substantially uniformly around the circumference of the ring plasma source. The method may further comprise receiving a process feedback signal from at least one process monitoring sensor and adjusting at least one set point of at least one of the plurality of plasma sources. The method may further comprise moving at least one of the plurality of plasma sources relative to a substrate support in the process chamber.

다른 실시예는 플라즈마 프로세싱 시스템을 제공하며, 이 시스템은 프로세스 챔버 상단에 탑재된 복수의 플라즈마 소스들을 포함한다. 상기 복수의 플라즈마 소스들 각각은, 링 플라즈마 챔버; 상기 링 플라즈마 챔버의 외측을 도는 1 차 권선 (primary winding); 및 복수의 페라이트부들 (ferrites) 을 포함한다. 상기 링 플라즈마 챔버는 상기 복수의 페라이트부들 각각을 통과한다. 복수의 플라즈마 챔버 유출구들 (outlets) 이 상기 링 플라즈마 챔버를 프로세스 챔버로 연통시킨다. 적어도 하나의 프로세스 모니터링 센서; 및 제어기가 포함된다. 상기 제어기는, 상기 링 플라즈마 챔버에 프로세스 가스를 전달하기 위한 로직; 상기 링 플라즈마 챔버의 외측을 도는 상기 1 차 권선에 1 차 전류를 인가하기 위한 로직; 상기 1 차권선에서 자계를 생성하기 위한 로직; 상기 복수의 페라이트부들을 사용하여서 상기 자계를 집중시키기 위한 로직으로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트부들 각각을 통과하는, 상기 자계를 집중시키기 위한 로직; 상기 링 플라즈마 챔버 내의 상기 프로세스 가스 내에 2 차 전류를 유도하기 위한 로직; 상기 2 차 전류를 사용하여서 상기 링 플라즈마 챔버 내의 상기 프로세스 가스 내에 플라즈마를 생성하기 위한 로직; 적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직; 및 상기 복수의 플라즈마 소스들 중 적어도 하나의 적어도 하나의 세트 포인트를 조절하기 위한 로직을 포함한다.Another embodiment provides a plasma processing system, which includes a plurality of plasma sources mounted on top of a process chamber. Each of the plurality of plasma sources comprises: a ring plasma chamber; A primary winding running outside of the ring plasma chamber; And a plurality of ferrites. The ring plasma chamber passes through each of the plurality of ferrite portions. A plurality of plasma chamber outlets communicate the ring plasma chamber to the process chamber. At least one process monitoring sensor; And a controller. The controller includes logic for delivering a process gas to the ring plasma chamber; Logic for applying a primary current to the primary winding orbiting the outer side of the ring plasma chamber; Logic for generating a magnetic field in the primary winding; Logic for concentrating the magnetic field using the plurality of ferrite portions, wherein the ring plasma chamber passes through each of the plurality of ferrite portions; Logic to induce a secondary current in the process gas in the ring plasma chamber; Logic to generate a plasma in the process gas in the ring plasma chamber using the secondary current; Logic for receiving a process feedback signal from at least one process monitoring sensor; And logic to adjust at least one set point of at least one of the plurality of plasma sources.

또 다른 실시예는 기판을 프로세싱하기 위한 플라즈마 시스템을 제공하며, 이 시스템은 프로세스 챔버로서, 베이스, 복수의 측벽들, 상기 베이스에 근접한 기판 지지부, 및 상기 프로세스 챔버를 봉입하도록 상기 측벽들과 연결된 (interfaced) 챔버 상단 (chamber top) 을 갖는, 상기 프로세스 챔버; 및 복수의 플라즈마 소스들이 상기 기판 지지부의 영역들 위에 분포되며 상기 영역들은 적어도 상기 기판 지지부의 외측 부분과 상기 기판 지지부의 중앙 부분 간에서 연장되도록, 상기 챔버 상단 위에 배치된 상기 복수의 플라즈마 소스들을 포함한다. Yet another embodiment provides a plasma system for processing a substrate, which system is a process chamber, the base, a plurality of sidewalls, a substrate support proximate to the base, and connected with the sidewalls to enclose the process chamber ( interfaced) said process chamber having a chamber top; And the plurality of plasma sources disposed above the chamber top such that a plurality of plasma sources are distributed over regions of the substrate support, the regions extending at least between an outer portion of the substrate support and a central portion of the substrate support. do.

본 발명의 다른 양태들 및 이점들이 본 발명의 원리들을 예시적으로 설명하는, 첨부 도면들과 함께 취해지는, 다음의 상세한 설명으로부터 명백해질 것이다.
Other aspects and advantages of the invention will become apparent from the following detailed description, taken in conjunction with the accompanying drawings, illustrating by way of example the principles of the invention.

본 발명은 첨부 도면들과 함께 다음의 상세한 설명에 의해서 용이하게 이해될 것이다.
도 1a는 통상적인 평행-플레이트 용량성 플라즈마 프로세싱 챔버의 측면도이다.
도 1b는 통상적인 평행-플레이트 용량성 플라즈마 프로세싱 챔버에서 프로세싱된 기판의 평면도이다.
도 2a는 본 발명의 실시예에 따른, 플라즈마 소스의 사시도이다.
도 2b는 본 발명의 실시예에 따른, 플라즈마 소스의 평면도이다.
도 2c는 본 발명의 실시예에 따른, 플라즈마 소스의 단면도 2C-2C이다.
도 2d는 본 발명의 실시예에 따른, 플라즈마 소스의 사시 단면도이다.
도 2e는 본 발명의 실시예에 따른, 프로세스 챔버 상에 탑재된 플라즈마 소스의 사시도이다.
도 2f 및 도 2g는 본 발명의 실시예에 따른, 프로세스 챔버 상에 탑재된 플라즈마 소스 (200) 의 추가 사시도들이다.
도 2h는 본 발명의 실시예에 따른, 프로세스 챔버 (230) 상에 탑재된 플라즈마 소스의 다른 사시도이다.
도 2i는 본 발명의 실시예들에 따른, 플라즈마 챔버 유출구들의 다수의 단면도들이다.
도 2j는 본 발명의 실시예들에 따른, 다수의 플라즈마 챔버 유출구들의 프로세스 챔버 뷰 (view) 이다.
도 3a는 본 발명의 실시예에 따른, 다른 플라즈마 소스의 사시도이다.
도 3b는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스의 상단 사시도이다.
도 3c는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스의 하단 사시도이다.
도 3d는 본 발명의 실시예에 따른, 다른 다중존 (multizone) 플라즈마 소스의 상단 사시도이다.
도 3e는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스의 하단 사시도이다.
도 4a 및 도 4b는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스들의 단순화된 개략도들이다.
도 5는 본 발명의 실시예에 따른, 선택적인 (optional) 플라즈마 제한부 (plasma restriction) 의 다양한 크기들에 대한 플로우 및 압력 그래프이다.
도 6a는 본 발명의 실시예에 따른, 예시적인 변압기 (transformer) 의 개략도이다.
도 6b는 본 발명의 실시예에 따른, 플라즈마 소스 내의 플라즈마 챔버 및 페라이트부들 (ferrites) 의 단일 링의 개략도이다.
도 7은 본 발명의 실시예에 따른, 다중존 플라즈마 소스 내의 플라즈마 챔버 및 페라이트부들 (ferrites) 의 단일 링의 전기적 (electrical) 개략도이다.
도 8은 본 발명의 실시예에 따른, 전력 공급부의 전기적 개략도이다.
도 9a 내지 도 9c는 본 발명의 실시예에 따른, 플라즈마 소스로부터의 플로우의 플로우 도면들이다.
도 10은 본 발명의 일 실시예에 따른, 본 명세서에서 기술된 플라즈마 소스들의 동작 시에 수행되는 방법 동작들을 예시하는 흐름도이다.
도 11은 본 발명의 실시예에 따른, 본 명세서에서 기술된 플라즈마 소스들 중 하나 이상을 포함하는 통합형 시스템의 블록도이다.
도 12a는 본 발명의 실시예에 따른, 다중존 플라즈마 소스의 평면도이다.
도 12b는 본 발명의 실시예에 따른, 다중존 플라즈마 소스의 평면도이다.
도 12c는 본 발명의 실시예에 따른, 다중존 플라즈마 소스의 평면도이다.
도 12d는 본 발명의 실시예에 따른, 다중존 플라즈마 소스의 평면도이다.
도 13은 본 발명의 일 실시예에 따른, 플라즈마 소스들의 동작 시에 수행되는 방법 동작들을 예시하는 흐름도이다.
The invention will be readily understood by the following detailed description in conjunction with the accompanying drawings.
1A is a side view of a conventional parallel-plate capacitive plasma processing chamber.
1B is a top view of a substrate processed in a conventional parallel-plate capacitive plasma processing chamber.
2A is a perspective view of a plasma source, in accordance with an embodiment of the present invention.
2B is a top view of a plasma source, in accordance with an embodiment of the present invention.
2C are cross-sectional views 2C-2C of a plasma source, in accordance with an embodiment of the invention.
2D is a perspective cross-sectional view of a plasma source, in accordance with an embodiment of the present invention.
2E is a perspective view of a plasma source mounted on a process chamber, in accordance with an embodiment of the invention.
2F and 2G are additional perspective views of a plasma source 200 mounted on a process chamber, in accordance with an embodiment of the present invention.
2H is another perspective view of a plasma source mounted on process chamber 230, in accordance with an embodiment of the present invention.
2I is a number of cross-sectional views of plasma chamber outlets, in accordance with embodiments of the present invention.
2J is a process chamber view of multiple plasma chamber outlets, in accordance with embodiments of the present invention.
3A is a perspective view of another plasma source, in accordance with an embodiment of the present invention.
3B is a top perspective view of a multizone plasma source, in accordance with an embodiment of the invention.
3C is a bottom perspective view of a multizone plasma source, in accordance with an embodiment of the invention.
3D is a top perspective view of another multizone plasma source, in accordance with an embodiment of the invention.
3E is a bottom perspective view of a multizone plasma source, in accordance with an embodiment of the invention.
4A and 4B are simplified schematic diagrams of multizone plasma sources, in accordance with an embodiment of the present invention.
5 is a flow and pressure graph for various sizes of an optional plasma restriction, in accordance with an embodiment of the invention.
6A is a schematic diagram of an exemplary transformer, in accordance with an embodiment of the present invention.
6B is a schematic diagram of a single ring of ferrites and a plasma chamber in a plasma source, in accordance with an embodiment of the present invention.
7 is an electrical schematic diagram of a single ring of ferrites and a plasma chamber in a multi-zone plasma source, in accordance with an embodiment of the invention.
8 is an electrical schematic of a power supply, according to an embodiment of the invention.
9A-9C are flow diagrams of flow from a plasma source, in accordance with an embodiment of the invention.
10 is a flowchart illustrating method operations performed in the operation of the plasma sources described herein, in accordance with an embodiment of the present invention.
11 is a block diagram of an integrated system including one or more of the plasma sources described herein, in accordance with an embodiment of the invention.
12A is a top view of a multizone plasma source, in accordance with an embodiment of the invention.
12B is a top view of a multizone plasma source, in accordance with an embodiment of the present invention.
12C is a top view of a multizone plasma source, in accordance with an embodiment of the invention.
12D is a top view of a multizone plasma source, in accordance with an embodiment of the invention.
13 is a flowchart illustrating method operations performed in the operation of plasma sources, in accordance with an embodiment of the present invention.

분포된 다중존 플라즈마 소스 시스템, 방법 및 장치에 대한 몇몇 예시적인 실시예들이 이제 기술될 것이다. 본 발명은 본 명세서에서 제공된 특저 세부사항들 전부 또는 일부 없이도 실시될 수 있음이 본 기술 분야의 당업자에게 명백할 것이다.Several exemplary embodiments of a distributed multizone plasma source system, method and apparatus will now be described. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details provided herein.

도 2a는 본 발명의 실시예에 따른, 플라즈마 소스 (200) 의 사시도이다. 플라즈마 소스 (200) 는 프로세스 가스 유입구 (206), 다수의 페라이트부들 (ferrites) (204), 플라즈마 소스 상단 (208) 및 챔버 상단 (202) 을 포함한다. 플라즈마 소스 (200) 의 요소들 (202-208) 의 특정 구성은 도시된 것으로부터 수정될 수 있음이 이해되어야 한다. 예를 들어서, 챔버 상단 (202) 및 플라즈마 소스 상단 (208) 은 프로세스 챔버 (230) 의 단일 커버 내에 결합될 수 있다.2A is a perspective view of a plasma source 200, in accordance with an embodiment of the present invention. The plasma source 200 includes a process gas inlet 206, a plurality of ferrites 204, a plasma source top 208 and a chamber top 202. It should be understood that the specific configuration of the elements 202-208 of the plasma source 200 may be modified from what is shown. For example, the chamber top 202 and the plasma source top 208 can be coupled within a single cover of the process chamber 230.

도 2b는 본 발명의 실시예에 따른, 플라즈마 소스 (200) 의 평면도이다. 도 2c는 본 발명의 실시예에 따른, 플라즈마 소스 (200) 의 단면도 2C-2C이다. 도 2d는 본 발명의 실시예에 따른, 플라즈마 소스 (200) 의 사시 단면도이다. 도 2e는 본 발명의 실시예에 따른, 프로세스 챔버 (230) 상에 탑재된 플라즈마 소스 (200) 의 사시도이다. 프로세스 가스 플레넘 (plenum) (212) 이 프로세스 가스 유입구 (206) 로부터 공급된 프로세스 가스를 위한 분배 플레넘으로서 도시된다.2B is a top view of a plasma source 200, in accordance with an embodiment of the present invention. 2C are cross-sectional views 2C-2C of a plasma source 200, in accordance with an embodiment of the present invention. 2D is a perspective cross-sectional view of the plasma source 200, in accordance with an embodiment of the present invention. 2E is a perspective view of a plasma source 200 mounted on a process chamber 230, in accordance with an embodiment of the present invention. Process gas plenum 212 is shown as a distribution plenum for process gas supplied from process gas inlet 206.

프로세스 가스 (110) 는 유입 포트 (206) 에서 프로세스 가스 플레넘 (212) 로 흐른다. 프로세스 가스 플레넘 (212) 은 프로세스 가스 (110) 를 유입 포트들 (212A) 로 분배한다. 유입 포트들 (212A) 은 프로세스 가스 (110) 를 플라즈마 챔버 (210) 내로 인도한다. 프로세스 가스 유입 포트들 (212A) 은 플라즈마 챔버 유출구들 (220) 과 정렬되거나 오프셋될 수 있다. 프로세스 가스 유입 포트들 (212A) 및/또는 플라즈마 챔버 유출구들 (220) 은 페라이트부들 (204) 간에 위치하거나 페라이트부들과 정렬되거나 이들의 조합으로 위치할 수 있다.Process gas 110 flows from inlet port 206 to process gas plenum 212. Process gas plenum 212 distributes process gas 110 to inlet ports 212A. Inlet ports 212A guide process gas 110 into plasma chamber 210. Process gas inlet ports 212A may be aligned or offset with plasma chamber outlets 220. Process gas inlet ports 212A and / or plasma chamber outlets 220 may be located between the ferrite portions 204, aligned with the ferrite portions, or a combination thereof.

페라이트부들 (204) 은 선택된 간격으로 플라즈마 챔버 (210) 를 감고 있다 (wrap around). 페라이트부들 (204) 은 각 페라이트부의 중앙에 근접한 전계가 플라즈마 챔버 내의 대응하는 지점에서 플라즈마를 지지하도록 충분하게 강하게끔 충분한 자계를 집중시킨다. Ferrite portions 204 wrap around the plasma chamber 210 at selected intervals. The ferrite portions 204 concentrate a sufficient magnetic field so that an electric field close to the center of each ferrite portion is sufficiently strong to support the plasma at the corresponding point in the plasma chamber.

페라이트부들 (204) 은 실질적으로 정방형 (square) 으로 도시되었으나, 이하에서 도시될 바와 같이, 페라이트부들은 다른 형상일 수 있다. 페라이트부들 (204) 은 다수의 부분들 (224A, 224B, 224C, 224D) 로 이루어진 것으로 도시되었으나, 페라이트부들은 하나 이상의 부분들로 될 수 있다. 다수의 페라이트 부분들 (224A, 224B, 224C, 224D) 은 전계를 각 페라이트부 (204) 의 중앙에 근접하여 집중시키는데 요구되도록 서로 실질적으로 가까이 있다. 페라이트부들 (204) 은 챔버 상단 (202) 주변에서 분포하는 것으로 도시된다. 프로세스 챔버 (230) 는 측벽들 (230') 및 베이스 (230") 를 갖는다. 기판 지지부 (106) 는 베이스 (230") 상에 있거나 그 근방에 있거나 근접하여 있다.The ferrite portions 204 are shown in a substantially square, but as shown below, the ferrite portions can be of other shapes. Although the ferrite portions 204 are shown as being made up of a plurality of portions 224A, 224B, 224C, and 224D, the ferrite portions may be one or more portions. Multiple ferrite portions 224A, 224B, 224C, 224D are substantially close to each other so as to be required to concentrate the electric field close to the center of each ferrite portion 204. Ferrite portions 204 are shown to be distributed around chamber top 202. The process chamber 230 has sidewalls 230 ′ and a base 230 ″. The substrate support 106 is on or near or near the base 230 ″.

플라즈마 챔버 유출구들 (220) 는 플라즈마 챔버 (210) 를 챔버 상단 (202) 아래의 프로세스 챔버 (230) 로 연통시키는 것으로 도시된다. 플라즈마 챔버 유출구들 (220) 은 플라즈마 및/또는 라디칼 및/또는 중성 종들을 플라즈마 챔버 (210) 로부터 프로세스 챔버 (230) 내로 전달한다.The plasma chamber outlets 220 are shown to communicate the plasma chamber 210 to the process chamber 230 below the chamber top 202. Plasma chamber outlets 220 deliver plasma and / or radicals and / or neutral species from the plasma chamber 210 into the process chamber 230.

선택적인 플라즈마 제한부 (plasma restriction) (214) 가 또한 도시된다. 이 선택적인 플라즈마 제한부 (214) 는 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 간의 목표 압력 차를 제공하는데 사용될 수 있다. 선택적인 플라즈마 제한부 (214) 는 또한 플라즈마가 플라즈마 챔버 (210) 에서 프로세스 챔버 (230) 로 이동하는 것을 실질적으로 방지하도록 충분하게 작고/작거나 바이어싱될 수 있다. 또한, 플라즈마 제한부는 이온들을 플라즈마 챔버 (210) 로부터 추출하고 이온들을 프로세스 챔버 내 및 이어서 웨이퍼 상으로 이끌도록 바이어싱될 수 있다. 예시적으로, 선택적인 플라즈마 제한부 (214) 는 플라즈마 시스 (sheath) 두께의 2 배보다 작거나 이와 같은 직경을 가질 수 있으며 이로써 플라즈마 시스는 플라즈마가 선택적인 플라즈마 제한부를 통해서 이동하는 것을 방지할 수 있다. 선택적인 플라즈마 제한부 (214) 는 약 0.1 mm 내지 약 2.0 mm에서 선택된 직경 (예를 들어서, 0.1 mm, 0.2 mm, 0.5 mm, 1.0 mm, 2.0 mm) 을 가질 수 있다. 선택적인 플라즈마 제한부 (214) 의 종횡비는 플라즈마 제한의 효과를 조절하는데 사용될 수 있음이 주목되어야 한다. 예를 들어서, 고 종횡비 (즉, 길이/폭) 플라즈마 제한부 (214) 는 중성 종들 또는 라디칼 이송에 대하여 최소한의 영향을 가지면서 플라즈마를 실질적으로 제한할 수 있다. 또한, 보다 큰 직경의 유출 오리피스들 (outlet orifices) 이 또한 사용될 수도 있음이 이해되어야 한다. 예를 들어서, 선택적인 플라즈마 제한부 (214) 가 제거될 수 있으며 효과적인 제한부는 플라즈마 챔버 유출구들 (220) 의 폭이다. 플라즈마 챔버 유출구들 (220) 의 폭은 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 양자 내에서 실질적으로 동일한 압력을 가능하게 하는데 충분하게 실질적으로 넓을 수 있다.An optional plasma restriction 214 is also shown. This optional plasma restriction 214 can be used to provide a target pressure difference between the plasma chamber 210 and the process chamber 230. The optional plasma limiter 214 can also be sufficiently small and / or biased to substantially prevent the plasma from moving from the plasma chamber 210 to the process chamber 230. In addition, the plasma limiter may be biased to extract ions from the plasma chamber 210 and to lead ions into the process chamber and then onto the wafer. By way of example, the optional plasma limiter 214 may have a diameter less than or equal to twice the thickness of the plasma sheath so that the plasma sheath may prevent the plasma from moving through the selective plasma limiter. have. The optional plasma limiting portion 214 can have a diameter selected from about 0.1 mm to about 2.0 mm (eg, 0.1 mm, 0.2 mm, 0.5 mm, 1.0 mm, 2.0 mm). It should be noted that the aspect ratio of the optional plasma confinement 214 can be used to adjust the effect of the plasma confinement. For example, the high aspect ratio (ie, length / width) plasma limiting portion 214 can substantially limit the plasma with minimal impact on neutral species or radical transport. In addition, it should be understood that outlet diameters of larger diameter may also be used. For example, an optional plasma limiter 214 can be removed and an effective limiter is the width of the plasma chamber outlets 220. The width of the plasma chamber outlets 220 may be substantially wide enough to enable substantially the same pressure in both the plasma chamber 210 and the process chamber 230.

도 2i는 본 발명의 실시예들에 따른, 플라즈마 챔버 유출구들 (220) 의 다수의 단면도들이다. 도 2j는 본 발명의 실시예들에 따른, 다수의 플라즈마 챔버 유출구들 (220) 의 프로세스 챔버 뷰 (view) 이다. 플라즈마 챔버 유출구들 (220) 은 목표 폭의 실질적 직사각형 단면 형상을 갖는 실질적 원통형의 직선 관통형일 수 있다. 플라즈마 챔버 유출구들 (220) 은 선택적 코니컬 (conical) 형상 (220A) 을 포함할 수 있다. 선택적 코니컬 형상 (220A) 은 플라즈마 챔버 유출구들 (220) 로부터의 플로우 원활화 (smoothing) 및/또는 플로우 분배를 제공할 수 있다. 플라즈마 챔버 유출구들 (220) 은 또한 다른 선택적 형상들을 포함할 수도 있다. 예를 들어서, 플라즈마 챔버 유출구들 (220) 은 동일한 형상의 보다 큰 폭 (220B) 또는 동일한 형상의 보다 작은 폭 (220F) 을 포함할 수 있다. 플라즈마 챔버 유출구들 (220) 은 선택적 만곡형 유출구 (220C) 또는 선택적 볼 (bowl) 형상 유출구 (220E) 을 포함할 수 있다. 선택적 만곡형 유출구 (220C) 또는 선택적 볼 (bowl) 형상 유출구 (220E) 는 유출구 (220C) 와 같이 가장 넓은 지점에서 개구를 가질 수 있거나 유출구 (220E) 와 같이 가장 넓은 지점보다 좁아진 지점에서 개구를 가질 수 있다. 선택적 코니컬 형상은 절두된 (truncated) 코니컬 형상 (220D) 일 수 있다.2I is a number of cross-sectional views of plasma chamber outlets 220, in accordance with embodiments of the present invention. 2J is a process chamber view of multiple plasma chamber outlets 220, in accordance with embodiments of the present invention. The plasma chamber outlets 220 may be a substantially cylindrical straight through having a substantially rectangular cross-sectional shape of a target width. Plasma chamber outlets 220 may include an optional conical shape 220A. The optional conical shape 220A may provide flow smoothing and / or flow distribution from the plasma chamber outlets 220. Plasma chamber outlets 220 may also include other optional shapes. For example, the plasma chamber outlets 220 may include a larger width 220B of the same shape or a smaller width 220F of the same shape. The plasma chamber outlets 220 may include an optional curved outlet 220C or an optional bowl-shaped outlet 220E. Selective curved outlet 220C or optional bowl-shaped outlet 220E may have an opening at the widest point, such as outlet 220C, or may have an opening at a point narrower than the widest point, such as outlet 220E. Can be. The optional conical shape may be a truncated conical shape 220D.

선택적인 플라즈마 제한부는 선택적인 플라즈마 제한부 (214) 와 같이 유출 포트 (220) 의 길이를 따라서 실질적으로 중앙에 위치할 수 있다. 이와 달리, 선택적인 플라즈마 제한부는 선택적인 플라즈마 제한부 (214') 와 같이 실질적으로 유출 포트 (220) 의 플라즈마 챔버 (210) 단부에 위치할 수 있다. 이와 달리, 선택적인 플라즈마 제한부는 선택적인 플라즈마 제한부 (214") 와 같이 실질적으로 유출 포트 (220) 의 프로세스 챔버 (230) 단부에 위치할 수 있다. 선택적인 플라즈마 제한부 (214) 는 유출 포트 (220) 의 플라즈마 챔버 (210) 단부와 유출 포트 (220) 의 프로세스 챔버 (230) 단부 간에서 유출 포트 (220) 의 길이를 따라서 어디에도 위치할 수 있음이 이해되어야 한다.The optional plasma limiter may be substantially centered along the length of the outlet port 220, such as the optional plasma limiter 214. Alternatively, the optional plasma limiter may be located substantially at the end of the plasma chamber 210 of the outlet port 220, such as the optional plasma limiter 214 ′. Alternatively, the optional plasma limiter may be located substantially at the end of the process chamber 230 of the outlet port 220, such as the optional plasma limiter 214 ". The optional plasma limiter 214 may be located at the outlet port. It should be understood that it may be located anywhere along the length of the outlet port 220 between the plasma chamber 210 end of 220 and the process chamber 230 end of outlet port 220.

또한, 도 2j에 도시된 바와 같이, 플라즈마 챔버 유출구 (220) 는 임의의 적합한 형상일 수 있다. 예시적으로, 플라즈마 챔버 유출구 (220) 는 실질적으로 라운드형 (220), 실질적으로 타원형 (220H), 실질적으로 직사각형 (220I,220J), 또는 다른 기하학적 형상들 (예를 들어서, 삼각형 (220K), 임의의 개수의 변들을 갖는 다각형 (220L)) 일 수 있다. 플라즈마 챔버 유출구 (220) 는 실질적으로 샤프한 (sharp) 에지들 (220I, 220K 또는 220L) 또는 실질적으로 만곡형 에지들 및/또는 변들 (220J, 220M, 220N) 을 포함할 수 있다. 형상들의 조합이 또한 플라즈마 챔버 유출구 (220) 내에 포함될 수도 있다. 예시적으로, 선택적 코니컬 형상 (220A) 은 실질적으로 라운드형 (220A) 보다는 보다 타원의 형상 (220A') 을 가질 수 있다.Also, as shown in FIG. 2J, the plasma chamber outlet 220 may be of any suitable shape. By way of example, the plasma chamber outlet 220 may be substantially rounded 220, substantially elliptical 220H, substantially rectangular 220I, 220J, or other geometric shapes (eg, triangle 220K, Polygon 220L with any number of sides. The plasma chamber outlet 220 may include substantially sharp edges 220I, 220K or 220L or substantially curved edges and / or sides 220J, 220M, 220N. Combinations of shapes may also be included in the plasma chamber outlet 220. By way of example, the optional conical shape 220A may have a substantially elliptical shape 220A 'rather than a rounded shape 220A.

챔버 상단 (202) 은 또한 하나 이상의 유출구들 (234) 을 포함할 수도 있다. 하나 이상의 유출구들 (234) 은 저 압력 소스 (예를 들어서, 진공 펌프) 에 연결된다. 유출구들 (234) 은 저 압력 소스가 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 을 프로세스 챔버 (230) 의 중앙 근처로부터 끌어 당기게 할 수 있다. 이로써, 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 은 프로세스 챔버 내에서 플라즈마 (410) 및 이 플라즈마에 의해서 생성된 중성 종들 (412) 과 간섭하지 않는다. 챔버 상단 (202) 은 다수의 재료 층들 (202A-202C) 로 이루어질 수 있다. 이 층들 중 적어도 하나 (예를 들어서, 재료 층들 (202A-202C) 중 임의의 하나 이상) 는 도전성일 수 있으며 이 도전성 층 (예를 들어서, (202B)) 은 목표 신호로 바어어싱될 수 있다. 도전성 층 (예를 들어서, (202B)) 은 또한 접지 전위에 접속될 수도 있다. 이로써, 도전성 층 (예를 들어서, (202B)) 을 통과하는 유출구들 (234) 의 적어도 일부는 목표 바이어스 신호로 바이어싱되거나 접지 전위에 접속될 수 있다. 목표 바이어싱은 라디칼들을 프로세스 챔버 내로 끌어당기는 것을 지원할 수 있다.Chamber top 202 may also include one or more outlets 234. One or more outlets 234 are connected to a low pressure source (eg, a vacuum pump). Outlets 234 can cause a low pressure source to pull plasma byproducts 118 and recombination byproducts 120 from near the center of process chamber 230. As such, the plasma byproducts 118 and recombination byproducts 120 do not interfere with the plasma 410 and the neutral species 412 generated by the plasma in the process chamber. Chamber top 202 may consist of multiple material layers 202A-202C. At least one of these layers (eg, any one or more of the material layers 202A-202C) may be conductive and this conductive layer (eg, 202B) may be biased with a target signal. . The conductive layer (eg, 202B) may also be connected to ground potential. As such, at least some of the outlets 234 passing through the conductive layer (eg, 202B) may be biased with a target bias signal or connected to a ground potential. Target biasing may assist in drawing radicals into the process chamber.

프로세스 챔버 (230) 는 로드 포트들 (load ports) (232) 을 포함하며 프로세싱될 기판을 지지하기 위한 지지 구조체를 포함한다. 다른 특징부들이 또한 본 기술 분야에서 잘 알려진 바와 같이 프로세스 챔버 (230) 내에 포함될 수도 있다.Process chamber 230 includes load ports 232 and includes a support structure for supporting a substrate to be processed. Other features may also be included in the process chamber 230 as is well known in the art.

도 2f 및 도 2g는 본 발명의 실시예에 따른, 프로세스 챔버 (230) 상에 탑재된 플라즈마 소스 (200) 의 추가 사시도들이다. 추가 세부사항 설명을 위해서 플라즈마 소스 상단 (208) 이 들어 올려져 있으며 (도 2f) 분리되어 있다 (도 2g). 플라즈마 챔버 (210) 는 플라즈마 소스 상단 (208) 또는 프로세스 챔버 (230) 와 상이한 재료로 구성될 수 있다. 예시적으로, 플라즈마 챔버 (210) 는 세라믹이고 플라즈마 소스 상단 (208) 또는 프로세스 챔버 (230) 는 세라믹 또는 금속 (예를 들어서, 알루미늄, 스틸, 스테인레스 스틸 등) 일 수 있다. 슬롯들 (226A 및 226B) 이 페라이트부들 (204) 의 설치 및 지지를 위해서 제공된다.2F and 2G are additional perspective views of a plasma source 200 mounted on a process chamber 230, in accordance with an embodiment of the present invention. For further details, the plasma source top 208 is lifted (FIG. 2F) and separated (FIG. 2G). The plasma chamber 210 may be composed of a different material than the plasma source top 208 or the process chamber 230. By way of example, the plasma chamber 210 may be ceramic and the plasma source top 208 or process chamber 230 may be ceramic or metal (eg, aluminum, steel, stainless steel, etc.). Slots 226A and 226B are provided for installation and support of the ferrite portions 204.

도 2g에 도시된 바와 같이, 페라이트부들 (204) 은 플라즈마 챔버 (210) 의 외측을 감싸고 있다. 플라즈마 챔버 (210) 는 세라믹 또는 다른 유전체 재료 (예를 들어서, 석영, 실리카 (SiO2), 알루미나 (Al2O3), 사파이어 (Al2O3), 알루미늄 질화물 (AlN), 이트륨 산화물 (Y2O3) 및/또는 유사한 재료 및 이들의 조합들) 로 형성될 수 있다.As shown in FIG. 2G, the ferrite portions 204 surround the outside of the plasma chamber 210. The plasma chamber 210 may be a ceramic or other dielectric material (eg, quartz, silica (SiO 2), alumina (Al 2 O 3), sapphire (Al 2 O 3), aluminum nitride (AlN), yttrium oxide (Y 2 O 3) and / or similar materials and Combinations thereof).

도 2h는 본 발명의 실시예에 따른, 프로세스 챔버 (230) 상에 탑재된 플라즈마 소스 (200) 의 다른 사시도이다. 도 2h에 도시된 바와 같이, 1 차 (primary) 도전체 (240) 가 플라즈마 챔버 (210) 를 감싸도록 도시된다. 1 차도전체는 이하 도 7에서 보다 자세하게 기술될 바와 같은 유도 요소의 1 차권선이다. 1 차 도전체 (240) 는 플라즈마 챔버 (210) 를 1 번 이상 돈다. 여기에서 도시된 바와 같이, 1 차 도전체 (240) 는 플라즈마 챔버 (210) 를 2 번 돌지만, 2 회보다 많은 회수로 돌 수 있다.2H is another perspective view of a plasma source 200 mounted on a process chamber 230, in accordance with an embodiment of the present invention. As shown in FIG. 2H, a primary conductor 240 is shown to surround the plasma chamber 210. The primary conductor is the primary winding of the inductive element as will be described in more detail in FIG. 7 below. Primary conductor 240 travels plasma chamber 210 one or more times. As shown here, primary conductor 240 rotates plasma chamber 210 twice, but may rotate more than twice.

도 3a는 본 발명의 실시예에 따른, 다른 플라즈마 소스 (300) 의 사시도이다. 플라즈마 소스 (300) 는 선택된 간격으로 플라즈마 챔버를 둘러싸는 다수의 페라이트 요소들 (204) 를 갖는 플라즈마 챔버 (210) 를 포함한다. 본 실례에서, 페라이트 요소들 (204) 은 실질적으로 동일한 간격으로 플라즈마 챔버를 둘러싸지만 이들은 상이한 간격들로 해서 플라즈마 챔버를 둘러쌀 수도 있다.3A is a perspective view of another plasma source 300, in accordance with an embodiment of the present invention. The plasma source 300 includes a plasma chamber 210 having a plurality of ferrite elements 204 that surround the plasma chamber at selected intervals. In this example, the ferrite elements 204 surround the plasma chamber at substantially equal intervals but they may surround the plasma chamber at different intervals.

플라즈마 챔버 (210) 는 대략 원형이거나, 본 실례에서와 같이 5 개의 변들을 갖는 기하학적 형상으로 될 수 있다. 마찬가지로, 플라즈마 챔버 (210) 는 원형 또는 3 개 이상의 변들을 갖는 기하학적 형상으로 될 수 있다. 또한, 플라즈마 챔버 (210) 는 대략 직사삭형 또는 대략 원형 또는 라운드형 단면 형상을 가질 수 있음이 이해되어야 한다. 플라즈마 챔버 (210) 의 내측 표면들은 평탄하며 어떠한 샤프한 (예컨대, 대략 직각 또는 보다 예각의) 에지들 또는 코너들을 가지지 않을 수 있다. 예시적으로, 내측 코너들은 상대적으로 큰 반경 (예컨대, 플라즈마 챔버의 단면의 반경의 약 1/2 배 내지 약 2 배) 을 갖는 라운드형 윤곽을 가질 수 있다. 또한, 단일 프로세스 가스 유입구 (206) 가 플라즈마 챔버 (210) 에 연통되게 도시되었지만, 2 개 이상의 프로세스 가스 유입구들이 프로세스 가스를 플라즈마 챔버에 공급하는데 사용될 수 있음이 주목되어야 한다.The plasma chamber 210 may be approximately circular or may be of geometric shape with five sides as in this example. Likewise, the plasma chamber 210 may be circular or geometric with three or more sides. It should also be understood that the plasma chamber 210 may have an approximately rectangular or approximately circular or round cross-sectional shape. The inner surfaces of the plasma chamber 210 are flat and may not have any sharp (eg, approximately right or more acute) edges or corners. By way of example, the inner corners may have a rounded contour having a relatively large radius (eg, about 1/2 times to about 2 times the radius of the cross section of the plasma chamber). Also, although a single process gas inlet 206 is shown in communication with the plasma chamber 210, it should be noted that two or more process gas inlets may be used to supply process gas to the plasma chamber.

도 3b는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스 (320)의 상단 사시도이다. 다중존 (multizone) 플라즈마 소스 (320) 는 다수의 개별 동심 플라즈마 챔버들 (310A 내지 310D) 을 예를 들어서 네스트된 (nested) 링들로 포함한다. 동심 플라즈마 챔버들 (310A 내지 310D) 은 대응하는 페라이트부 세트 (204A 내지 204D) 를 갖는다.3B is a top perspective view of a multizone plasma source 320, in accordance with an embodiment of the present invention. Multizone plasma source 320 includes a plurality of individual concentric plasma chambers 310A-310D, for example into nested rings. Concentric plasma chambers 310A-310D have corresponding ferrite portion sets 204A- 204D.

도 3c는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스 (320) 의 하단 사시도이다. 챔버 상단 (202) 은 다수의 프로세스 유출 포트들 (304A 내지 304E) 및 다수의 플라즈마 유출 포트들 (220A 내지 220D) 을 갖는다. 다수의 플라즈마 유출 포트들 (220A 내지 220D) 은 대응하는 플라즈마 챔버들 (310A 내지 310D) 에 연통된다.3C is a bottom perspective view of a multizone plasma source 320, in accordance with an embodiment of the present invention. Chamber top 202 has a number of process outlet ports 304A-304E and a number of plasma outlet ports 220A-220D. Multiple plasma outlet ports 220A-220D are in communication with corresponding plasma chambers 310A-310D.

도 3d는 본 발명의 실시예에 따른, 다른 다중존 (multizone) 플라즈마 소스 (330) 의 상단 사시도이다. 도 3e는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스 (330) 의 하단 사시도이다. 다중존 (multizone) 플라즈마 소스 (330) 는 동심 플라즈마 챔버들 (310A 내지 310E) 을 포함한다. 동심 플라즈마 챔버들 (310A 내지 310E) 은 대응하는 페라이트부 세트 (204A 내지 204E) 를 갖는다.3D is a top perspective view of another multizone plasma source 330, in accordance with an embodiment of the present invention. 3E is a bottom perspective view of a multizone plasma source 330, in accordance with an embodiment of the invention. Multizone plasma source 330 includes concentric plasma chambers 310A-310E. The concentric plasma chambers 310A-310E have a corresponding set of ferrite portions 204A-204E.

도시된 바와 같이, 인접하는 플라즈마 챔버들 (310A 내지 310E) 의 페라이트부들 (204A 내지 204E) 은 영역들 (332A 내지 332D) 에서 도시된 바와 같이 근소하게 중첩될 수 있다. 예시적으로, 페라이트부 (204B) 의 내측 에지는 영역 (332A) 에서 페라이트부 (204A) 의 외측 에지와 중첩한다. 마찬가지로, 페라이트부 (204B) 의 외측 에지는 영역 (332B) 에서 페라이트부 (204C) 의 내측 에지와 중첩한다. 중첩하는 페라이트부들 (204A 내지 204E) 은 동심 플라즈마 챔버들 (310A 내지 310E) 이 다중존 (multizone) 플라즈마 소스 (330) 내에서 보다 근접하여 패킹 (pack) 되게 한다. 이로써, 보다 많은 개수의 동심 링들 (310A 내지 310E) (예를 들어서, 5 개의 동심 링들) 이 오직 4 개의 동심 링들 (310A 내지 310D) 을 갖는 도 3b 및 도 3c에 도시된 비중첩형 페라이트부 실시예에서의 직경과 동일한 직경 내에 포함될 수 있다. 이하에서 기술될 바와 같이, 각 링 (310A 내지 310E) 은 바이어스, 가스 플로우, 농도, RF 전력 등이 개별적으로 제어될 수 있다. 이로써, 보다 많은 개수의 동심 링들 (310A 내지 310E) 이 프로세스 챔버 (230) 내의 기판 (102) 의 직경에 걸쳐서 프로세스의 보다 세밀한 튜닝 제어를 제공한다.As shown, the ferrite portions 204A through 204E of adjacent plasma chambers 310A through 310E may slightly overlap as shown in regions 332A through 332D. By way of example, the inner edge of ferrite portion 204B overlaps the outer edge of ferrite portion 204A in region 332A. Likewise, the outer edge of ferrite portion 204B overlaps the inner edge of ferrite portion 204C in region 332B. The overlapping ferrite portions 204A through 204E cause the concentric plasma chambers 310A through 310E to be packed closer together in the multizone plasma source 330. As such, the non-overlapping ferrite portion implementation shown in FIGS. 3B and 3C in which a greater number of concentric rings 310A-310E (eg, five concentric rings) have only four concentric rings 310A-310D. It may be included in the same diameter as the diameter in the example. As will be described below, each ring 310A-310E can be individually controlled for bias, gas flow, concentration, RF power, and the like. As such, a greater number of concentric rings 310A-310E provide finer tuning control of the process over the diameter of the substrate 102 in the process chamber 230.

페라이트부들 (204A 내지 204E) 은 선택적으로 다중존 (multizone) 플라즈마 소스 (330) 의 다수의 방사상 세그먼트들 (즉, 파이 슬라이스 형상들 (pie slice shapes) (334A 내지 334L) 로 배열될 수 있다. 이하에서 기술될 바와 같이, 방사상 세그먼트들 (334A 내지 334L) 각각은 바이어스, 가스 플로우, 농도 등이 개별적으로 제어될 수 있다. 이로써, 방사상 세그먼트들 (334A 내지 334L) 은 프로세스 챔버 (230) 내의 기판 (102) 에 걸쳐서 방사상으로 프로세스의 또 다른 세밀한 튜닝 제어를 제공한다.Ferrite portions 204A through 204E may optionally be arranged in multiple radial segments (ie, pie slice shapes 334A through 334L) of multizone plasma source 330. As will be described herein, each of the radial segments 334A through 334L may be individually controlled for bias, gas flow, concentration, etc. As such, the radial segments 334A through 334L may be used as substrates in the process chamber 230. 102 to provide further fine tuning control of the process radially.

도 4a 및 도 4b는 본 발명의 실시예에 따른, 다중존 (multizone) 플라즈마 소스들 (300, 320) 의 단순화된 개략도들이다. 챔버 상단 (202) 은 다중존 (multizone) 플라즈마 소스들 (300, 320) 을 포함한다. 프로세스 챔버 (230) 는 측벽 (230') 및 베이스 (230") 를 갖는다. 기판 지지부 (106) 는 베이스 (230") 상에 또는 근처에 또는 근접하여 있다. 프로세스 유출 포트들 (304A 내지 304E) 은 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 을 기판 (102) 의 폭 W에 걸쳐서 실질적으로 균일하게 배출시킨다. 이로써, 플라즈마 부산물들 (118) 및 재결합 부산물들 (120) 은 플라즈마 (410) 및 플라즈마에 의해서 생성된 중성 종들 (412) 과 간섭하지 않는다. 이로써, 중성 종들 (412) 은 기판 (102) 의 폭에 걸쳐서 실질적으로 균일하게 분포하게 된다. 중성 종들 (412) 은 기판 (102) 의 표면과 반응한다. 중성 종들 (412) 이 기판 (102) 의 폭에 걸쳐서 실질적으로 균일하게 분포하고 있기 때문에, 프로세싱 챔버 (230) 내에 적용된 플라즈마 프로세스들 (예를 들어서, 에칭, 스트리핑 또는 다른 플라즈마 프로세스들) 의 중앙 대 에지 불균일성이 실질적으로 제거된다.4A and 4B are simplified schematic diagrams of multizone plasma sources 300, 320, in accordance with an embodiment of the present invention. Chamber top 202 includes multizone plasma sources 300, 320. Process chamber 230 has sidewalls 230 ′ and base 230 ″. Substrate support 106 is on or near or near base 230 ″. Process outlet ports 304A-304E discharge plasma by-products 118 and recombination by-products 120 substantially uniformly over the width W of the substrate 102. As such, the plasma byproducts 118 and the recombination byproducts 120 do not interfere with the plasma 410 and the neutral species 412 generated by the plasma. This allows the neutral species 412 to be distributed substantially uniformly over the width of the substrate 102. Neutral species 412 react with the surface of the substrate 102. Because the neutral species 412 are distributed substantially uniformly over the width of the substrate 102, the center of plasma processes (eg, etching, stripping or other plasma processes) applied within the processing chamber 230 are Edge nonuniformity is substantially eliminated.

제어기 (420) 는 각 링(310A 내지 301E) 에 대한 대응하는 제어부들 (422A 내지 422E) (예를 들어서, 소프트웨어, 로직, 세트 포인트, 래시피들, 등) 을 포함한다. 프로세스 모니터링 센서들 (424, 426) 이 프로세스 피드백을 제공하도록 제어기 (420) 에 또한 접속될 수 있다. 제어부들 (422A 내지 422E) 은 각 링(310A 내지 301E) 의 예를 들어서 바이어스 신호, 전력, 주파수, 프로세스 가스 (110) 압력, 플로우 레이트 및 농도를 개별적을 제어할 수 있다. 이로써, 프로세스 챔버 (230) 내의 기판 (102) 의 직경에 걸쳐서 해리된 가스의 방사상 프로파일 제어를 제공할 수 있다.Controller 420 includes corresponding controls 422A-422E (eg, software, logic, set point, recipes, etc.) for each ring 310A-301E. Process monitoring sensors 424, 426 may also be connected to controller 420 to provide process feedback. The controls 422A through 422E can individually control the bias signal, power, frequency, process gas 110 pressure, flow rate and concentration of each ring 310A through 301E, for example. This may provide radial profile control of dissociated gas over the diameter of the substrate 102 in the process chamber 230.

다수의 플라즈마 챔버들 (310A 내지 301E) 각각은 프로세싱 챔버 (230) 의 대응하는 영역에서의 프로세스들을 조작하도록 독립적으로 제어될 수 있다.Each of the plurality of plasma chambers 310A-301E can be independently controlled to manipulate processes in the corresponding region of the processing chamber 230.

마찬가지로, 다수의 방사상 세그먼트들 (334A 내지 334L) 각각은 다수의 플라즈마 챔버들 (310A 내지 301E)의 각 방사상 세그먼트가 프로세싱 챔버 (230) 의 대응하는 영역에서의 프로세스들을 조작하도록 독립적으로 제어될 수 있게 한다. 예시적으로 플라즈마 챔버 (310B) 내의 프로세스 가스 (110) 의 플로우 레이트 및 압력에 대한 프로세스 가변 세트 포인트는 대응하는 제어부 (422B) 에 입력된다. 프로세스 모니터링 센서들 (424,426) 중 적어도 하나는 대응하는 제어부 (422B) 에 입력되는 프로세스 측정치를 제공한다. 프로세스 모니터링 센서들 (424,426) 및 로직 및 소프트웨어로부터 입력된 프로세스 측정치에 기초하여서, 대응하는 제어부 (422B) 는 이어서 페라이트부 (310B) 로의 RF 전력 및 플라즈마 챔버 (310B) 내의 프로세스 가스 (110) 의 플로우 레이트 및 압력에 대한 수정된 (revised) 세트포인트들을 출력한다.Likewise, each of the plurality of radial segments 334A through 334L can be independently controlled such that each radial segment of the plurality of plasma chambers 310A through 301E can manipulate processes in the corresponding region of the processing chamber 230. do. By way of example, a process variable set point for the flow rate and pressure of process gas 110 in plasma chamber 310B is input to corresponding controller 422B. At least one of the process monitoring sensors 424, 426 provides a process measurement input to the corresponding control 422B. Based on process monitoring sensors 424, 426 and process measurements input from logic and software, the corresponding controller 422B then flows RF power to the ferrite portion 310B and process gas 110 in the plasma chamber 310B. Output revised setpoints for rate and pressure.

마찬가지로, 프로세스들은 다중존 플라즈마 소스들 (200, 300, 310, 320, 330) 의 동심 링 플라즈마 챔버들 (310A 내지 310E) 및/또는 페라이트부들 (204A 내지 204E) 및/또는 방사상 세그먼트들 (334A 내지 334L) 중 하나 이상 또는 이들의 조합에 의해서 규정되는 영역들 각각 내에서 각기 모니터링 및/또는 제어될 수 있다. 또한, 존들 각각은 다중존 플라즈마 소스들 (200, 300, 310, 320, 330) 이 효과적으로 단일 존 플라즈마 소스이도록 동일한 방식 및 동일한 세트포인트로 동작될 수 있음이 이해되어야 한다. 또한, 다중존 플라즈마 소스들 (200, 300, 310, 320, 330) 의 존들 중 몇몇은 다중존 플라즈마 소스들이 더 적은 존들을 갖도록 동일한 방식 및 동일한 세트포인트로 동작될 수 있음이 이해되어야 한다. Likewise, the processes may be concentric ring plasma chambers 310A-310E and / or ferrite portions 204A-204E and / or radial segments 334A- of the multizone plasma sources 200, 300, 310, 320, 330. 334L) may be monitored and / or controlled respectively within each of the areas defined by one or more or a combination thereof. It should also be understood that each of the zones can be operated in the same manner and in the same setpoint so that the multizone plasma sources 200, 300, 310, 320, 330 are effectively single zone plasma sources. In addition, it should be understood that some of the zones of the multizone plasma sources 200, 300, 310, 320, 330 can be operated in the same manner and in the same setpoint so that the multizone plasma sources have fewer zones.

도 5는 본 발명의 실시예에 따른, 선택적인 (optional) 플라즈마 제한부 (plasma restriction) (214) 의 다양한 크기들에 대한 플로우 및 압력 그래프이다. 그래프 (510) 는 직경 0.2 mm을 갖는 선택적인 (optional) 플라즈마 제한부 (plasma restriction) (214) 에 대한 SCCM (standard cubic centimeters per minute) 으로의 플로우 레이트이다. 그래프 (520) 는 직경 0.5 mm을 갖는 선택적인 (optional) 플라즈마 제한부 (plasma restriction) (214) 에 대한 플로우 레이트이다. 그래프 (530) 는 직경 1.0 mm을 갖는 선택적인 (optional) 플라즈마 제한부 (plasma restriction) (214) 에 대한 플로우 레이트이다. 볼 수 있는 바와 같이, 선택적 플라즈마 제한부 (plasma restriction) (214) 의 다양한 크기들이 플라즈마 챔버 (210) 와 프로세스 챔버 (230) 간의 압력 강하를 결정할 수 있다. 초킹된 플로우 (choked flow) 가 플라즈마 제한부 (214) 에 걸쳐서 발생하도록 압력 강가가 이루어지면, 프로세스 챔버 (210) 내로의 매스 플로우 레이트 (mass flow rate) 는 플라즈마 챔버 (210) 내의 압력이 일정한 때에 플라즈마 챔버 내에서의 플로우 레이트 감소로 인해서 증가하지 않을 것이다.5 is a flow and pressure graph for various sizes of optional plasma restriction 214, in accordance with an embodiment of the present invention. Graph 510 is the flow rate in standard cubic centimeters per minute (SCCM) for an optional plasma restriction 214 having a diameter of 0.2 mm. Graph 520 is the flow rate for an optional plasma restriction 214 having a diameter of 0.5 mm. Graph 530 is the flow rate for optional plasma restriction 214 having a diameter of 1.0 mm. As can be seen, various sizes of the optional plasma restriction 214 can determine the pressure drop between the plasma chamber 210 and the process chamber 230. If the pressure drop is made such that choked flow occurs across the plasma confinement portion 214, the mass flow rate into the process chamber 210 is at a constant pressure when the pressure in the plasma chamber 210 is constant. It will not increase due to the decrease in flow rate in the plasma chamber.

플라즈마 챔버 (210) 내의 압력을 증가시키는 것은 플라즈마 챔버 내의 플라즈마를 지지하는데 충분한 프로세스 가스 (110) 밀도를 제공한다. 고정된 RF 전압에 대해서, 프로세스 가스 (110) 내로 유도되도록 요구되는 전류는 프로세스 가스 압력에 반비례한다. 따라서, 플라즈마 챔버 (210) 내의 프로세스 가스 (110) 압력을 증가시키는 것은 플라즈마를 생성하도록 요구되는 전류를 저감시킨다. 또한, 플라즈마가 플라즈마를 지지하기 위한 프로세스 가스 압력을 요구하기 때문에, 플라즈마는 플라즈마 챔버 (210) 내에서 포함될 것이며 플라즈마 챔버에서 프로세스 챔버 (230) 로 이동하지 않을 것이다. 이로써, 플라즈마 제한부 (214) 는 플라즈마를 플라즈마 챔버 (210) 로 제한시킬 수 있다.Increasing the pressure in the plasma chamber 210 provides sufficient process gas 110 density to support the plasma in the plasma chamber. For a fixed RF voltage, the current required to be drawn into process gas 110 is inversely proportional to the process gas pressure. Thus, increasing the process gas 110 pressure in the plasma chamber 210 reduces the current required to generate the plasma. Also, since the plasma requires a process gas pressure to support the plasma, the plasma will be included in the plasma chamber 210 and will not move from the plasma chamber to the process chamber 230. As such, the plasma confinement portion 214 can confine the plasma to the plasma chamber 210.

변압기는 1 차 권선 및 2 차 권선을 갖는다. 1 차 권선을 통한 1 차 전류는 자계를 생성한다. 자계가 2 차 권선을 통과하면, 대응하는 2 차 전류가 2 차 권선 내에서 유도된다. 페라이트 코어를 갖는 변압기는 자계를 보다 소형의 보다 밀한 자계로 집중시키며 이로써 2 차 권선에서 2 차 전류를 보다 효율적을 유도한다. 이는 매우 효율적인 저 주파수 동작 (예를 들어서, 약 13 MHz보다 작으며 보다 구체적으로 10 kHz 내지 약 5 MHz이며 보다 구체적으로 10 kHz 내지 약 1 MHz임) 을 가능하게 한다. 저 주파수 동작은 또한 통상적인 고 주파수 RF 플라즈마 시스템들 (예를 들어서, 약 13.56 MHz 및 이보다 높은 주파수들) 에 비해서 매우 낮은 비용을 제공한다. The transformer has a primary winding and a secondary winding. The primary current through the primary winding produces a magnetic field. As the magnetic field passes through the secondary winding, a corresponding secondary current is induced in the secondary winding. Transformers with ferrite cores concentrate the magnetic field into a smaller, tighter magnetic field, thereby inducing secondary current in the secondary winding more efficiently. This allows for very efficient low frequency operation (eg less than about 13 MHz and more specifically 10 kHz to about 5 MHz and more specifically 10 kHz to about 1 MHz). Low frequency operation also provides a very low cost compared to conventional high frequency RF plasma systems (eg, about 13.56 MHz and higher frequencies).

저 주파수 페라이트 결합된 플라즈마 시스템들의 다른 장점은 그들의 낮은 이온 충격 에너지들이며, 이는 고주파수 RF 시스템에 비해서 적은 플라즈마 침식 및 보다 소수의 웨이퍼 상의 입자들을 낳는다. 보다 적은 플라즈마 침식은 플라즈마 챔버 (210) 표면들 및 컴포넌트들 상의 보다 적은 마모 및 손상 (tear) 을 낳는다.Another advantage of low frequency ferrite coupled plasma systems is their low ion bombardment energies, which result in less plasma erosion and fewer particles on the wafer compared to high frequency RF systems. Less plasma erosion results in less wear and tear on the plasma chamber 210 surfaces and components.

도 6a는 본 발명의 실시예에 따른, 예시적인 변압기 (transformer) 의 개략도이다. 1 차 전류 Ip는 전력 공급부으로부터 1 차 권선 (620) 에 인가된다. 1 차 권선 (620) 을 통한 1 차 전류 Ip의 흐름은 페라이트부 (204) 내에 자계 (622) 를 생성한다. 자계 (622) 는 2 차 권선 (630) 의 중심에서 페라이트부로부터 발생하며 2 차 권선에서 2 차 전류 Is를 유도한다. 6A is a schematic diagram of an exemplary transformer, in accordance with an embodiment of the present invention. Primary current I p is applied to primary winding 620 from the power supply. Flow of primary current I p through primary winding 620 creates magnetic field 622 in ferrite portion 204. The magnetic field 622 originates from the ferrite portion at the center of the secondary winding 630 and induces a secondary current I s in the secondary winding.

도 6b는 본 발명의 실시예에 따른, 플라즈마 소스 (200, 300, 310, 320, 330) 내의 플라즈마 챔버 (210) 및 페라이트부들 (ferrites) (204) 의 단일 링의 개략도이다. 도 7은 본 발명의 실시예에 따른, 플라즈마 소스 (200, 300, 310, 320, 330) 내의 플라즈마 챔버 및 페라이트부들 (ferrites) (204) 의 단일 링의 전기적 (electrical) 개략도이다. 본 명세서에서 기술된 플라즈마 소스 (200, 300, 310, 320, 330) 에서, 1 차 권선 (240) 은 각 플라즈마 챔버 (210) 를 둘러 감겨져 있으며 각 페라이트부 세트 (204, 204A 내지 204E) 내측에 있다. 2 차 권선은 플라즈마 챔버 (210) 내측의 프로세스 가스 (110) 이다.6B is a schematic diagram of a single ring of plasma chamber 210 and ferrites 204 in plasma source 200, 300, 310, 320, 330 in accordance with an embodiment of the present invention. 7 is an electrical schematic of a single ring of plasma chamber and ferrites 204 in a plasma source 200, 300, 310, 320, 330 in accordance with an embodiment of the present invention. In the plasma sources 200, 300, 310, 320, 330 described herein, the primary winding 240 is wound around each plasma chamber 210 and inside each set of ferrite portions 204, 204A-204E. have. The secondary winding is the process gas 110 inside the plasma chamber 210.

1 차 전류 Ip는 전력 공급부 (702) 으로부터 1 차 권선 (204) 에 인가된다. 전력은 RF일 수 있다 (예컨대, 약 10 kHz 내지 약 1 MHz 이상, 또는 약 10 kHz 내지 약 5 MHz, 또는 약 10 kHz 내지 약 13 MHz). 1 차 권선 (240) 을 통한 1 차 전류 Ip의 흐름은 페라이트부 (204) 내에 자계 (622) 를 생성한다. 자계 (622) 는 플라즈마 챔버 (210) 내의 프로세스 가스 (110) 내에서 2 차 전류 Is를 유도한다. 이로써, 프로세스 가스가 충분하게 여기되어서 플라즈마 (410) 를 형성한다.The primary current I p is applied from the power supply 702 to the primary winding 204. The power can be RF (eg, about 10 kHz to about 1 MHz or more, or about 10 kHz to about 5 MHz, or about 10 kHz to about 13 MHz). The flow of primary current I p through primary winding 240 creates magnetic field 622 in ferrite portion 204. The magnetic field 622 induces a secondary current I s in the process gas 110 in the plasma chamber 210. As a result, the process gas is sufficiently excited to form the plasma 410.

도 8은 본 발명의 실시예에 따른, 전력 공급부 (702) 의 전기적 개략도이다. 전력 공급부 (702) 는 전력 소스 (802) 로부터 AC 전력을 DC 전력으로 변환하기 위한 정류기 (804) 를 포함한다. 필터 (808) 는 정류기 (804) 의 출력을 필터링한다. 필터링된 DC는 필터 (808) 로부터 인버터 (810) 에 전달된다. 인버터 (810) 는 필터링된 DC를 목표 주파수, 전압 및 전류를 갖는 AC 신호로 변환시킨다. 공진 회로 (812) 는 공진으로 목표 AC 신호를 플라즈마 챔버 부하 (814) 에 효율적으로 전달하도록 플라즈마 챔버 부하 (814) 와의 공진을 매칭한다.8 is an electrical schematic diagram of a power supply 702, in accordance with an embodiment of the present invention. The power supply 702 includes a rectifier 804 for converting AC power into DC power from the power source 802. Filter 808 filters the output of rectifier 804. The filtered DC is delivered from the filter 808 to the inverter 810. Inverter 810 converts the filtered DC into an AC signal having a target frequency, voltage, and current. The resonant circuit 812 matches the resonance with the plasma chamber load 814 to efficiently deliver the target AC signal to the plasma chamber load 814 with resonance.

제어기 (820) 는 전력 공급부 (702) 를 제어한다. 제어기 (820) 는 시스템 제어기 또는 대형 면적 제어 시스템 (미도시) 으로의 링크 (예를 들어서, 네트워크) 를 포함할 수 있는 사용자 인터페이스 (822) 를 포함한다. 제어기 (820) 는 컴포넌트들 (804, 808, 810, 812) 에 직접적으로 접속되거나 이 컴포넌트들의 동작을 모니터링하고 제어하기 위해서 센서들 (806A, 806B 및 806C) 을 통해서 컴포넌트들에 접속된다. 예시적으로, 제어기 (820) 는 전력 공급부 (702) 내의 전력 신호들의 전압, 전류, 전력, 주파수 및 위상 중 하나 이상을 모니터링한다.The controller 820 controls the power supply 702. The controller 820 includes a user interface 822 that can include a link (eg, a network) to a system controller or a large area control system (not shown). The controller 820 is connected directly to the components 804, 808, 810, 812 or to the components through the sensors 806A, 806B, and 806C to monitor and control the operation of these components. By way of example, the controller 820 monitors one or more of the voltage, current, power, frequency, and phase of the power signals in the power supply 702.

도 9a 내지 도 9c는 본 발명의 실시예에 따른, 플라즈마 소스 (300, 310, 320, 330) 로부터의 플로우의 플로우 도면들이다. 대략 팬 (fan) 형상으로 플라즈마 챔버 (304A 내지 304F) 로부터 기판 (102) 을 향하여 흐르는 라디칼 및 중성종 플로우 (902) 가 도시된다. 팬 형상은 유출 포트들 (220) 에서 시작하고 웨이퍼 (102) 에 도달함에 따라서 확장된다. 플라즈마 챔버 (304A 내지 304F) 를 통해 흐르는 가스는 플로우 페이트 Q 및 압력 Ps를 갖는다. 압력 Pc는 프로세스 챔버 (230) 내의 압력이다. Ps와 Pc 간의 차가 라디칼 및 중성종 플로우 (902) 가 웨이퍼 (102) 를 향해서 확장되게 한다.9A-9C are flow diagrams of flows from plasma sources 300, 310, 320, 330, in accordance with an embodiment of the invention. The radical and neutral species flow 902 is shown flowing from the plasma chambers 304A-304F toward the substrate 102 in an approximately fan shape. The fan shape begins at the outlet ports 220 and expands as it reaches the wafer 102. The gas flowing through the plasma chambers 304A- 304F has a flow fate Q and a pressure Ps. Pressure Pc is the pressure in process chamber 230. The difference between Ps and Pc causes radical and neutral species flow 902 to extend toward wafer 102.

이제 도 9b를 참조하면, 라디칼 및 중성종 플로우 (902) 의 농도 (920) 가 출력 포트들 (220) 간의 거리 L 및 프로세스 챔버 (230) 의 높이 H의 함수이다. 출력 포트들 (220) 간의 거리 L이 너무 크면, 라디칼 및 중성종 플로우 (902) 의 농도 (920) 가 웨이퍼 (102) 의 표면과 반응하는데 불충분하다. 마찬가지로, 프로세스 챔버 (230) 의 높이 H가 너무 작으면, 라디칼 및 중성종 플로우 (902) 의 농도 (920) 가 웨이퍼 (102) 의 표면과 반응하는데 불충분하다. 도 9c는 다음과 같은 높이 H와 길이 L의 이상적인 관계를 나타낸다:Referring now to FIG. 9B, the concentration 920 of the radical and neutral species flow 902 is a function of the distance L between the output ports 220 and the height H of the process chamber 230. If the distance L between the output ports 220 is too large, the concentration 920 of the radical and neutral species flow 902 is insufficient to react with the surface of the wafer 102. Likewise, if the height H of the process chamber 230 is too small, the concentration 920 of the radical and neutral species flow 902 is insufficient to react with the surface of the wafer 102. 9C shows the ideal relationship between height H and length L as follows:

R = R (x, H, L)R = R (x, H, L)

여기서, R(x) = (ntotal - no) / n0,Where R (x) = (n total -n o ) / n 0, and

Figure 112014046269118-pct00001
Figure 112014046269118-pct00001

거리 L이 높이 H/2와 대략 동일하면, 웨이퍼의 표면에 걸친 라디칼 및 중성종의 농도의 편차가 최소화될 수 있다. 이와 달리, 거리 L 와 높이 H의 관계를 증가 또는 감소시키는 것이 웨이퍼의 표면에 걸친 라디칼 및 중성종의 농도의 변화를 가능하게 할 수 있다.If the distance L is approximately equal to the height H / 2, variation in the concentration of radicals and neutral species across the surface of the wafer can be minimized. Alternatively, increasing or decreasing the relationship between distance L and height H may allow for changes in the concentration of radicals and neutral species across the surface of the wafer.

도 10은 본 발명의 일 실시예에 따른, 플라즈마 소스 (200, 300, 310, 320, 330) 의 동작 시에 수행되는 방법 동작들을 예시하는 흐름도이다. 본 명세서에서 예시된 동작들은 오직 예시적이며 몇몇 동작들은 하위-동작들을 가질 수도 있으며 다른 경우들에서 본 명세서에서 기술된 특정 동작들은 예시된 동작들 내에 포함되지 않을 수도 있다. 이를 염두하면서, 이제 방법 동작들 (1000) 이 설명될 것이다.10 is a flowchart illustrating method operations performed in the operation of a plasma source 200, 300, 310, 320, 330, in accordance with an embodiment of the present invention. The operations illustrated herein are illustrative only and some operations may have sub-operations and in other instances certain operations described herein may not be included within the illustrated operations. With this in mind, the method operations 1000 will now be described.

동작 (1005) 에서, 프로세스 가스 (110) 가 플라즈마 챔버 (210) 로 전달된다. 동작 (1010) 에서, 프로세스 가스 (110) 가 플라즈마 챔버 (210) 내에서 제 1 압력으로 유지된다. 제 1 압력은 플라즈마 챔버의 유출 포트 세트 (220) 에 연통된 프로세스 챔버 (230) 의 압력과 동일하거나 이 압력의 2 배 또는 그보다 많은 배의 압력일 수 있다. In operation 1005, process gas 110 is delivered to a plasma chamber 210. In operation 1010, process gas 110 is maintained at a first pressure in plasma chamber 210. The first pressure may be equal to, or two or more times the pressure of the process chamber 230 in communication with the outlet port set 220 of the plasma chamber.

동작 (1015) 에서, 1 차 전류 Ip가 플라즈마 챔버 (210) 의 외측 둘레를 도는 1 차 권선에 인가된다. 동작 (1020) 에서, 1 차 전류 Ip가 자계를 생성한다. 동작 (1025) 에서, 하나 이상의 페라이트부들 (204) 이 플라즈마 챔버 (210) 의 대략 중앙 부분으로 자계를 집중시킨다. 페라이트부 (204) 는 플라즈마 챔버 (210) 주변에 형성된다.In operation 1015, primary current I p is applied to the primary winding that rotates around the outside of the plasma chamber 210. In operation 1020, primary current I p generates a magnetic field. In operation 1025, one or more ferrite portions 204 concentrate the magnetic field to an approximately central portion of the plasma chamber 210. The ferrite portion 204 is formed around the plasma chamber 210.

동작 (1030) 에서, 자계가 플라즈마 챔버 (210) 내의 프로세스 가스 (110) 내에 2 차 전류 Is를 유도한다. 동작 (1035) 에서, 2 차 전류 Is가 플라즈마 챔버 (210) 내의 프로세스 가스 (110) 내에 플라즈마를 생성한다. 동작 (1040) 에서, 플라즈마의 일부 및 플라즈마에 의해서 생성된 라디칼들 및 중성종들이 플라즈마 챔버 (210) 로부터 플라즈마 챔버 유출구들 (220) 을 통해서 프로세스 챔버 (230) 로 이동한다. In operation 1030, the magnetic field induces a secondary current I s in the process gas 110 in the plasma chamber 210. In operation 1035, the secondary current I s creates a plasma in the process gas 110 in the plasma chamber 210. In operation 1040, a portion of the plasma and the radicals and neutral species generated by the plasma move from the plasma chamber 210 through the plasma chamber outlets 220 to the process chamber 230.

동작 (1045) 에서, 라디칼들 및 중성종들이 기판 (102) 및 프로세싱 챔버 (230) 와 반응하여서 플라즈마 부산물 (118) 및 재결합 부산물 (120) 을 생성한다. 동작 (1050) 에서, 플라즈마 부산물 (118) 및 재결합 부산물 (120) 이 하나 이상의 프로세스 유출 포트들 (304A 내지 304E) 을 통해서 프로세싱 챔버 외부로 유출된다. 하나 이상의 프로세스 유출 포트들 (304A 내지 304E) 은 프로세스 챔버 상단 (202) 의 표면에 걸쳐서 분포하거나 기판 지지부 (106) 의 에지들을 따라서 분포하거나 프로세스 챔버의 베이스에서와 같이 기판 지지부 아래에 분포하거나 이들의 조합으로 분포하며, 방법 동작은 종료된다.In operation 1045, radicals and neutral species react with the substrate 102 and the processing chamber 230 to produce a plasma byproduct 118 and a recombination byproduct 120. In operation 1050, plasma byproduct 118 and recombination byproduct 120 exit out of the processing chamber through one or more process outlet ports 304A-304E. One or more process outlet ports 304A-304E are distributed over the surface of the process chamber top 202 or along the edges of the substrate support 106 or below or below the substrate support as at the base of the process chamber. Distributed in combination, and the method operation ends.

도 11은 본 발명의 실시예에 따른, 플라즈마 소스들 (200, 300, 320) 을 포함하는 통합형 시스템 (1100) 의 블록도이다. 통합형 시스템 (1100) 은 플라즈마 소스들 (200, 300, 320), 및 이 플라즈마 소스들에 접속된 통합형 플라즈마 제어기 (1110) 를 포함한다. 통합형 플라즈마 제어기 (1110) 는 사용자 인터페이스 (1114) 를 포함하거나 이에 (예를 들어서, 유선 또는 무선 네트워크 (1112) 를 통해서) 접속된다. 사용자 인터페이스 (1114) 는 사용자 판독가능한 출력들 및 표시사항들을 제공하며 사용자 입력들을 수신하고 통합형 시스템 제어기 (1110) 로의 사용자 액세스를 제공한다.11 is a block diagram of an integrated system 1100 that includes plasma sources 200, 300, 320 in accordance with an embodiment of the present invention. Integrated system 1100 includes plasma sources 200, 300, 320, and an integrated plasma controller 1110 connected to the plasma sources. Integrated plasma controller 1110 includes or is connected to user interface 1114 (eg, via a wired or wireless network 1112). User interface 1114 provides user readable outputs and indications, receives user inputs, and provides user access to integrated system controller 1110.

통합형 시스템 제어기 (1110) 는 특정 목적용 컴퓨터 또는 범용 컴퓨터를 포함할 수 있다. 통합형 시스템 제어기 (1110) 는 플라즈마 소스들 (200, 300, 320) 에 대한 데이터들 (1118) (예를 들어서, 수행 이력, 수행 또는 디펙트 분석, 오퍼레이터 로그 (log) 사항, 및 이력 등) 을 모니터링, 제어, 수집 및 저장하도록 컴퓨터 프로그램 (1116) 을 실행시킬 수 있다. 예를 들어서, 통합형 시스템 제어기 (1110) 는 수집된 데이터가 그의 동작에 대한 조절을 지시하면 플라즈마 소스들 (200, 300, 320) 및/또는 그 내의 컴포넌트들 (예를 들어서, 동심 링 플라즈마 챔버들 (310A 내지 310E) 중 하나 또는 페라이트부들 (204, 204A 내지 204E) 등) 의 동작들을 조절할 수 있다.Integrated system controller 1110 may include a special purpose computer or general purpose computer. The integrated system controller 1110 may retrieve data 1118 (eg, performance history, performance or defect analysis, operator log details, history, etc.) for the plasma sources 200, 300, 320. Computer program 1116 can be executed to monitor, control, collect, and store. For example, the integrated system controller 1110 may detect plasma sources 200, 300, 320 and / or components therein (eg, concentric ring plasma chambers) once the collected data indicates adjustment to its operation. Operations of one of 310A-310E or ferrite portions 204, 204A- 204E, and the like.

도 12a는 본 발명의 실시예에 따른, 다중존 플라즈마 소스 (1200) 의 평면도이다. 도 12b는 본 발명의 실시예에 따른, 다중존 플라즈마 소스 (1260) 의 평면도이다. 도 12c는 본 발명의 실시예에 따른, 다중존 플라즈마 소스 (1270) 의 평면도이다. 도 12d는 본 발명의 실시예에 따른, 다중존 플라즈마 소스 (1280) 의 평면도이다.12A is a top view of a multizone plasma source 1200, in accordance with an embodiment of the present invention. 12B is a top view of a multizone plasma source 1260, in accordance with an embodiment of the present invention. 12C is a top view of a multizone plasma source 1270, in accordance with an embodiment of the present invention. 12D is a top view of a multizone plasma source 1280, in accordance with an embodiment of the present invention.

다중존 플라즈마 소스들 (1200, 1260, 1270, 1280) 각각은 플라즈마 프로세싱 챔버 상단 (1201) 에서 다수의 존들 (1202 내지 1212) 을 포함한다. 다수의 존들 (1202 내지 1212) 각각은 상술한 바와 같은 각각의 플라즈마 소스 (200, 300, 300', 320, 330) 를 포함한다. 플라즈마 프로세싱 챔버 상단 (1201) 은 또한 다수의 유출구들 (1219) 을 포함할 수 있다. 다수의 유출구들 (1219) 은 플라즈마 프로세싱 챔버 상단 (1201) 의 면적에 걸쳐서 분포될 수 있다. 다수의 유출구들 (1219) 중 적어도 하나는 플라즈마 프로세싱 챔버 상단 (1201) 의 실질적으로 중앙 위치에 위치할 수 있다.Each of the multizone plasma sources 1200, 1260, 1270, 1280 includes a plurality of zones 1202-1212 at the top of the plasma processing chamber 1201. Each of the plurality of zones 1202-1212 includes a respective plasma source 200, 300, 300 ′, 320, 330 as described above. The plasma processing chamber top 1201 may also include a number of outlets 1219. Multiple outlets 1219 may be distributed over the area of the top of the plasma processing chamber 1201. At least one of the plurality of outlets 1219 may be located at a substantially central location of the top of the plasma processing chamber 1201.

플라즈마 소스들 (200, 300, 300', 320, 330) 각각은 존들 (1202 내지 1210) 각각 내에서 상이한 플라즈마 반응들 및 반응 생성물들 (예를 들어서, 기판 (102) 의 표면 (1220) 과 반응할 수 있는 라디칼들 및 중성종들) 을 선택적으로 사용하도록 독립적으로 제어될 수 있다. 이로써, 프로세싱되는 표면 (1220) 의 존들 (1222 내지 1232) 각각을 선택적으로 프로세싱할 수 있다. 예를 들어서, 플라즈마 소스들 (200, 300, 300', 320, 330) 은 바이어스, 가스 플로우, 농도, RF 전력 등이 독립적으로 제어될 수 있다. 이로써, 기판 (102) 의 표면 (1220) 에 걸친 프로세스의 보다 세밀한 튜닝 제어를 제공한다.Each of the plasma sources 200, 300, 300 ′, 320, 330 reacts with different plasma reactions and reaction products (eg, with the surface 1220 of the substrate 102 within each of the zones 1202-1210). Radicals and neutral species), which can be controlled independently. As such, it is possible to selectively process each of the zones 1222-1232 of the surface 1220 being processed. For example, the plasma sources 200, 300, 300 ′, 320, 330 may be independently controlled for bias, gas flow, concentration, RF power, and the like. This provides finer tuning control of the process across the surface 1220 of the substrate 102.

존들 (1202 내지 1210) 은 도 12a에 도시된 바와 같은 실질적으로 직사각형 어레이 (1200), 도 12b에 도시된 바와 같은 선형 어레이 (1260), 도 12c 및 도 12d에 도시된 바와 같은 하나 이상의 다른 패턴들 (1270 및 1280), 이 패턴들 (1200, 1260, 1270, 1280) 의 조합, 또는 임의의 다른 적합한 패턴과 같은 임의의 소망하는 구성으로 배열될 수 있다. Zones 1202-1210 are substantially rectangular array 1200 as shown in FIG. 12A, linear array 1260 as shown in FIG. 12B, and one or more other patterns as shown in FIGS. 12C and 12D. 1270 and 1280, a combination of these patterns 1200, 1260, 1270, 1280, or any other suitable pattern.

설명의 간략화를 위해서 오직 6 개의 존들 (1202 내지 1210) 이 예시되었음이 이해되어야 한다. 보다 많은 또는 보다 적은 존들 (1202 내지 1210) 이 또한 사용될 수 있다. 존들 (1202 내지 1210) 각각은 도 12a에서 도시된 바와 같이 크기가 실질적으로 유사하거나 도 12c에 도시된 바와 같이 존들 간에서 크기가 변할 수 있다. 마찬가지로, 각각의 플라즈마 소스들 (200, 300, 300', 320, 330) 은 도 12a에서 도시된 바와 같이 크기가 실질적으로 유사하거나 도 12c에 도시된 바와 같이 소스들 간에서 크기가 변할 수 있다. 각각의 플라즈마 소스들 (200, 300, 300', 320, 330) 간의 스페이싱 (S1, S2, S3) 은 도 12a에서 도시된 바와 같이 크기가 실질적으로 유사하거나 도 12c에서 도시된 바와 같이 소스들 간에서 크기가 변할 수 있다. It should be understood that only six zones 1202-1210 are illustrated for simplicity of explanation. More or fewer zones 1202-1210 can also be used. Each of the zones 1202-1210 can be substantially similar in size as shown in FIG. 12A or can vary in size between zones as shown in FIG. 12C. Likewise, each of the plasma sources 200, 300, 300 ′, 320, 330 may be substantially similar in size as shown in FIG. 12A or may vary in size between sources as shown in FIG. 12C. The spacings S1, S2, S3 between the respective plasma sources 200, 300, 300 ′, 320, 330 are substantially similar in size as shown in FIG. 12A or between sources as shown in FIG. 12C. Size may change.

프로세싱되는 표면 (1220) 은 다수의 존들 (1202 내지 1210) 에 대해서 고정되거나 이동될 수 있다. 예를 들자면, 프로세싱되는 표면 (1220) 은 도 12b에 도시된 바와 같은 방향들 (1262A 내지 1262D) 로 선형으로 표면을 이동시키는 이동가능한 지지부 (표면 (1220) 아래에 숨겨짐) 상에서 지지될 수 있다. 이와 달리, 프로세싱되는 표면 (1220) 은 도 12d에 도시된 바와 같은 방향들 (1282A 내지 1282B) 로 표면을 회전시키는 이동가능한 지지부 상에서 지지될 수 있다. The surface 1220 to be processed can be fixed or moved relative to the multiple zones 1202-1210. For example, the surface 1220 being processed may be supported on a movable support (hidden below surface 1220) that linearly moves the surface in directions 1262A to 1262D as shown in FIG. 12B. . Alternatively, the surface 1220 to be processed may be supported on a movable support that rotates the surface in directions 1282A to 1282B as shown in FIG. 12D.

도 13은 본 발명의 일 실시예에 따른, 플라즈마 소스들 (200, 300, 300', 320, 330) 의 동작 시에 수행되는 방법 동작들 (1300) 을 예시하는 흐름도이다. 본 명세서에서 예시된 동작들은 오직 예시적이며 몇몇 동작들은 하위-동작들을 가질 수도 있으며 다른 경우들에서 본 명세서에서 기술된 특정 동작들은 예시된 동작들 내에 포함되지 않을 수도 있다. 이를 염두하면서, 이제 방법 동작들 (1300) 이 설명될 것이다.FIG. 13 is a flow diagram illustrating method operations 1300 performed in operation of plasma sources 200, 300, 300 ′, 320, 330, in accordance with an embodiment of the present invention. The operations illustrated herein are illustrative only and some operations may have sub-operations and in other instances certain operations described herein may not be included within the illustrated operations. With this in mind, the method operations 1300 will now be described.

동작 (1305) 에서, 프로세스 가스 (110) 가 플라즈마 챔버들 (200, 300, 300', 320, 330) 중 적어도 하나로 전달된다. 동작 (1310) 에서, 프로세스 가스 (110) 가 플라즈마 챔버들 (200, 300, 300', 320, 330) 중 적어도 하나 내에서 제 1 압력으로 유지된다. In operation 1305, process gas 110 is delivered to at least one of the plasma chambers 200, 300, 300 ′, 320, 330. In operation 1310, process gas 110 is maintained at a first pressure within at least one of plasma chambers 200, 300, 300 ′, 320, 330.

동작 (1315) 에서, 1 차 전류 Ip가 플라즈마 챔버들 (200, 300, 300', 320, 330) 각각의 외측 둘레를 도는 각각의 1 차 권선에 인가된다. 동작 (1320) 에서, 1 차 전류 Ip가 자계를 생성한다. In operation 1315, a primary current I p is applied to each primary winding that rotates around the outside of each of the plasma chambers 200, 300, 300 ′, 320, 330. In operation 1320, the primary current I p creates a magnetic field.

동작 (1325) 에서, 선택된 플라즈마 챔버 (200, 300, 300', 320, 330) 내의 하나 이상의 페라이트부들이 플라즈마 챔버의 대략 중앙 부분으로 자계를 집중시킨다. In operation 1325, one or more ferrite portions in the selected plasma chamber 200, 300, 300 ′, 320, 330 concentrate the magnetic field into an approximately central portion of the plasma chamber.

동작 (1330) 에서, 자계가 플라즈마 챔버 (200, 300, 300', 320, 330) 내의 프로세스 가스 (110) 내에 2 차 전류 Is를 유도한다. 동작 (1335) 에서, 2 차 전류 Is가 선택된 플라즈마 챔버 (200, 300, 300', 320, 330) 내의 프로세스 가스 (110) 내에 플라즈마를 생성한다. 동작 (1340) 에서, 플라즈마의 일부 및 플라즈마에 의해서 생성된 라디칼들 및 중성종들이 플라즈마 챔버 (200, 300, 300', 320, 330) 로부터 프로세스 챔버 (230) 로 이동한다. In operation 1330, a magnetic field induces secondary current I s in process gas 110 in plasma chamber 200, 300, 300 ′, 320, 330. In operation 1335, secondary current I s generates plasma in process gas 110 in selected plasma chambers 200, 300, 300 ′, 320, 330. In operation 1340, a portion of the plasma and the radicals and neutral species generated by the plasma move from the plasma chamber 200, 300, 300 ′, 320, 330 to the process chamber 230.

동작 (1345) 에서, 선택된 플라즈마 챔버에서 생성된 라디칼들 및 중성종들이 기판 (102) 의 표면 (1220) 의 각각의 존들 (1222 내지 1234) 과 반응하여서 플라즈마 부산물 (118) 및 재결합 부산물 (120) 을 생성한다. 동작 (1350) 에서, 추가 플라즈마 소스들이 활성화될지의 여부를 결정하도록 질의된다. 동작 (1355) 에서, 후속 플라즈마 챔버 (200, 300, 300', 320, 330) 가 선택되고 방법 동작들은 동작들 (1305 내지 1345) 에서 계속된다.In operation 1345, the radicals and neutral species generated in the selected plasma chamber react with the respective zones 1222-1234 of the surface 1220 of the substrate 102 to cause the plasma byproduct 118 and the recombination byproduct 120. Create In operation 1350, a query is made to determine whether additional plasma sources are to be activated. In operation 1355, subsequent plasma chambers 200, 300, 300 ′, 320, 330 are selected and the method operations continue in operations 1305-1345.

동작 (1360) 에서, 각각의 존들 (1222 내지 1234) 내의 국부적 프로세스들 각각이 필요한 바와 같이 모니터링 및 조절된다. 동작 (1370) 에서, 표면 (1220) 이 플라즈마 소스들 (200, 300, 300', 320, 330) 에 대해서 방향들 (1262A 내지 1262D) 및/또는 방향들 (1282A, 1282B) 중 적어도 하나의 방향으로 이동된다. In operation 1360, each of the local processes in each of the zones 1222-1234 are monitored and adjusted as needed. In operation 1370, the surface 1220 is at least one of directions 1242A through 1262D and / or directions 1282A and 1282B with respect to the plasma sources 200, 300, 300 ′, 320, 330. Is moved to.

동작 (1370) 에서, 플라즈마 부산물 (118) 및 재결합 부산물 (120) 이 하나 이상의 프로세스 유출 포트들 (304A 내지 304E) 을 통해서 프로세싱 챔버 외부로 유출된다. 하나 이상의 프로세스 유출 포트들 (304A 내지 304E) 은 프로세스 챔버 상단 (202) 의 표면에 걸쳐서 분포하거나 기판 지지부 (106) 의 에지들을 따라서 분포하거나 프로세스 챔버의 베이스에서와 같이 기판 지지부 아래에 분포하거나 이들의 조합으로 분포하며, 방법 동작은 종료된다.In operation 1370, plasma byproduct 118 and recombination byproduct 120 exit out of the processing chamber through one or more process outlet ports 304A-304E. One or more process outlet ports 304A-304E are distributed over the surface of the process chamber top 202 or along the edges of the substrate support 106 or below or below the substrate support as at the base of the process chamber. Distributed in combination, and the method operation ends.

상술한 실시예들을 염두하면서, 본 발명은 컴퓨터 시스템들 내에 저장된 데이터를 참여시키는 다양한 컴퓨터-구현된 동작들을 채용할 수 있음이 이해되어야 한다. 이러한 동작들은 물리적 정량의 물리적 조작을 요구하는 것들이다. 통상적으로, 반드시 필수적이지는 않지만, 이러한 정량들은 저장, 전달, 결합, 비교, 및 이와 달리 조작될 수 있는 전기 신호 또는 자기 신호의 형태를 취한다. 또한, 수행되는 조작들은 때로 생성, 식별, 결정 또는 비교와 같은 용어들로 지칭된다.With the above embodiments in mind, it should be understood that the present invention may employ various computer-implemented operations that involve data stored within computer systems. These operations are those requiring physical manipulation of physical quantities. Typically, but not necessarily, these quantities take the form of electrical or magnetic signals that can be stored, transferred, combined, compared, and otherwise manipulated. Also, the manipulations performed are sometimes referred to in terms, such as producing, identifying, determining, or comparing.

본 발명의 일부를 형성하는 본 명세서에서 기술되는 임의의 동작들은 유용한 머신 동작들이다. 본 발명은 또한 이러한 동작들을 수행하기 위한 디바이스 또는 장치에 관한 것이다. 이 장치는 요구되는 목적들을 위해서 특정하게 구성되거나, 컴퓨터 내에 저장된 컴퓨터 프로그램에 의해서 선택적으로 활성화 또는 구성되는 범용 컴퓨터일 수도 있다. 특히, 다양한 범용 머신들이 본 명세서에서의 교시사항들에 따라서 기록된 컴퓨터 프로그램들과 함께 사용되거나, 상기 요구된 동작들을 수행하도록 보다 특정화된 장치를 구성하는 것이 보다 편리할 수도 있다. Any of the operations described herein that form part of the invention are useful machine operations. The invention also relates to a device or apparatus for performing these operations. The device may be a general purpose computer specifically configured for the required purposes or selectively activated or configured by a computer program stored in the computer. In particular, it may be more convenient for various general purpose machines to be used with computer programs recorded in accordance with the teachings herein, or to configure a more specialized apparatus to perform the required operations.

본 발명은 또한 컴퓨터 판독가능한 매체 상의 컴퓨터 판독가능한 코드 및/또는 로직으로서 실시될 수도 있다. 컴퓨터 판독가능한 매체는 이후에 컴퓨터 시스템에 의해서 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 디바이스이다. 컴퓨터 판독가능 매체의 실례들은 하드 드라이브, NAS (network attached storage), 로직 회로들, ROM, RAM, CD-ROM, CD-RW, 자기 테이프, 및 다른 광학 데이터 저장 디바이스 및 비광학 데이터 저장 디바이스를 포함한다. 컴퓨터 판독가능한 매체는 또한 컴퓨터 판독가능 코드가 배포되는 방식으로 저장 및 실행되도록 네트워크 접속된 컴퓨터 시스템들을 통해서 또한 배포될 수도 있다.The invention may also be embodied as computer readable code and / or logic on a computer readable medium. A computer readable medium is any data storage device that can store data that can thereafter be read by a computer system. Examples of computer readable media include hard drives, network attached storage (NAS), logic circuits, ROM, RAM, CD-ROM, CD-RW, magnetic tape, and other optical data storage devices and non-optical data storage devices. do. The computer readable medium may also be distributed via network connected computer systems such that the computer readable code is stored and executed in a manner in which the computer readable code is distributed.

위의 도면들에서 동작들에 의해서 표현된 인스트럭션들은 예시된 순서로 수행될 필요는 없으며 동작들에 의해서 표현되는 프로세싱 모두가 본 발명을 실시하는데 필요하지 않을 수 있다는 것이 또한 이해되어야 한다. 또한, 위의 도면들 중 임의의 도면에서 기술된 프로세스들은 또한 RAM, ROM 또는 하드 디스크 드라이브 중 임의의 것 또는 이들의 조합 내에 저장된 소프트웨어로 구현될 수도 있다.It should also be understood that the instructions represented by the operations in the above figures need not be performed in the illustrated order and that not all of the processing represented by the operations may be required to practice the invention. In addition, the processes described in any of the above figures may also be implemented in software stored in any of RAM, ROM, or hard disk drive, or a combination thereof.

전술한 발명은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 특정 변경 및 수정이 첨부된 청구범위 내에서 실시될 수 있음이 명백할 것이다. 따라서, 본 실시예들은 예시적이면서 비한정적으로 해석되어야 하며 본 발명은 본 명세서에서 주어진 세부사항들로 한정되지 않으며 첨부된 청구항의 범위 및 균등 범위 내에서 수정될 수도 있다.Although the foregoing invention has been described in some detail for clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be interpreted as illustrative and not restrictive and the invention is not to be limited to the details given herein, but may be modified within the scope and equivalents of the appended claims.

Claims (19)

프로세싱 챔버로서,
프로세스 챔버 상단 위에 배치된 복수의 플라즈마 소스들을 포함하며, 상기 복수의 플라즈마 소스들 각각은 링 플라즈마 챔버를 포함하며, 상기 링 플라즈마 챔버 각각은,
상기 링 플라즈마 챔버의 외측 원주 주위를 둘러싸는 (wrap around) 1 차 권선 (primary winding);
상기 복수의 플라즈마 소스들 각각의 상기 링 플라즈마 챔버가 복수의 페라이트부들 (ferrites) 각각을 통과하도록, 상기 링 플라즈마 챔버 주위에 배치된 상기 복수의 페라이트부들로서, 상기 복수의 플라즈마 소스들 중 적어도 두 개의 플라즈마 소스들은 내측 링 플라즈마 챔버와 외측 링 플라즈마 챔버의 동심원적 배열로 배치되고, 상기 외측 링 플라즈마 챔버는 상기 내측 링 플라즈마 챔버를 둘러싸고, 상기 내측 링 플라즈마 챔버의 상기 복수의 페라이트부들의 외측 에지들은 상기 외측 링 플라즈마 챔버의 상기 복수의 페라이트부들의 내측 에지들의 적어도 일부에 오버랩 (overlap) 되는, 상기 복수의 페라이트부들;
상기 내측 링 플라즈마 챔버 및 상기 외측 링 플라즈마 챔버 각각을 상기 프로세싱 챔버로 커플링하는 복수의 플라즈마 챔버 유출구들 (outlets); 및
프로세스 가스 소스를 상기 복수의 플라즈마 소스들 각각에 커플링하는 복수의 플라즈마 챔버 유입구들 (inlets) 로서, 상기 복수의 플라즈마 챔버 유입구들 각각은 상기 복수의 플라즈마 챔버 유출구들 각각과 정렬된 (align), 상기 복수의 챔버 유입구들을 포함하는, 프로세싱 챔버.
As a processing chamber,
A plurality of plasma sources disposed on top of the process chamber, each of the plurality of plasma sources comprising a ring plasma chamber, wherein each of the ring plasma chambers comprises:
A primary winding that wraps around an outer circumference of the ring plasma chamber;
The plurality of ferrite portions disposed around the ring plasma chamber such that the ring plasma chamber of each of the plurality of plasma sources passes through each of the plurality of ferrites, at least two of the plurality of plasma sources Plasma sources are arranged in a concentric arrangement of an inner ring plasma chamber and an outer ring plasma chamber, the outer ring plasma chamber surrounds the inner ring plasma chamber, and outer edges of the plurality of ferrite portions of the inner ring plasma chamber are The plurality of ferrite portions overlapping at least some of the inner edges of the plurality of ferrite portions of an outer ring plasma chamber;
A plurality of plasma chamber outlets coupling each of the inner ring plasma chamber and the outer ring plasma chamber to the processing chamber; And
A plurality of plasma chamber inlets coupling a process gas source to each of the plurality of plasma sources, each of the plurality of plasma chamber inlets being aligned with each of the plurality of plasma chamber outlets, And a plurality of chamber inlets.
제 1 항에 있어서,
복수의 플라즈마 챔버 유출구들 중 적어도 일부는 접지 전위에 접속되는, 프로세싱 챔버.
The method of claim 1,
At least some of the plurality of plasma chamber outlets are connected to a ground potential.
삭제delete 삭제delete 제 1 항에 있어서,
상기 복수의 페라이트부들은 상기 링 플라즈마 챔버 주위로 균일하게 분포된, 프로세싱 챔버.
The method of claim 1,
And the plurality of ferrite portions are uniformly distributed around the ring plasma chamber.
삭제delete 제 1 항에 있어서,
상기 복수의 플라즈마 소스들 각각은 동일한 형상을 갖는, 프로세싱 챔버.
The method of claim 1,
Wherein each of the plurality of plasma sources has the same shape.
제 1 항에 있어서,
상기 복수의 플라즈마 소스들 각각은 동일한 크기를 갖는, 프로세싱 챔버.
The method of claim 1,
Each of said plurality of plasma sources having the same size.
제 1 항에 있어서,
상기 복수의 플라즈마 소스들 각각은 상기 복수의 플라즈마 소스들 중 나머지 플라즈마 소스들로부터 이격 거리만큼 이격된, 프로세싱 챔버.
The method of claim 1,
Each of the plurality of plasma sources is spaced apart from a remaining one of the plurality of plasma sources by a distance.
제 9 항에 있어서,
상기 복수의 플라즈마 소스들 각각은 상기 복수의 플라즈마 소스들 중 나머지 플라즈마 소스들로부터 동일한 이격 거리만큼 이격된, 프로세싱 챔버.
The method of claim 9,
Each of the plurality of plasma sources is spaced apart from the remaining ones of the plurality of plasma sources by the same distance.
제 1 항에 있어서,
상기 복수의 플라즈마 소스들 각각의 상기 1 차 권선은 제어기에 의해 제어된 1 차 전류 소스에 커플링된, 프로세싱 챔버.
The method of claim 1,
The primary winding of each of the plurality of plasma sources is coupled to a primary current source controlled by a controller.
플라즈마를 생성하는 방법으로서,
복수의 플라즈마 소스 중 선택된 플라즈마 소스에 프로세스 가스를 전달하는 단계;
상기 선택된 플라즈마 소스의 외측을 도는 각각의 1 차 권선에 1 차 전류를 인가하는 단계;
상기 1 차 권선에서 자계를 생성하는 단계;
복수의 페라이트부들을 사용하여서 상기 선택된 플라즈마 소스에서 상기 자계를 집중시키는 단계;
상기 선택된 플라즈마 소스 내의 플라즈마 챔버 내의 상기 프로세스 가스 내에 2 차 전류를 유도하는 단계;
상기 2 차 전류를 사용하여서 상기 선택된 플라즈마 소스 내의 플라즈마 챔버 내의 상기 프로세스 가스 내에 플라즈마를 생성하는 단계;
중성 종들 및 라디칼 종들 중 적어도 하나를 복수의 유출 포트들을 통해서 프로세스 챔버에 전달하는 단계로서, 상기 복수의 유출 포트들은 상기 플라즈마 챔버를 상기 프로세스 챔버에 커플링하는, 상기 복수의 유출 포트들은 접지 전위에 접속된, 상기 프로세스 챔버에 전달하는 단계; 및
적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하고 상기 복수의 플라즈마 소스들 중 적어도 하나의 하나 이상의 세트 포인트 (set point) 를 조절하는 단계를 포함하는, 플라즈마 생성 방법.
As a method of generating a plasma,
Delivering a process gas to a selected one of the plurality of plasma sources;
Applying a primary current to each primary winding orbiting the selected plasma source;
Generating a magnetic field in the primary winding;
Concentrating the magnetic field in the selected plasma source using a plurality of ferrite portions;
Inducing a secondary current in the process gas in a plasma chamber in the selected plasma source;
Generating a plasma in the process gas in a plasma chamber in the selected plasma source using the secondary current;
Delivering at least one of the neutral species and the radical species to the process chamber through a plurality of outlet ports, the plurality of outlet ports coupling the plasma chamber to the process chamber, wherein the plurality of outlet ports are at ground potential. Delivering to the process chamber connected; And
Receiving a process feedback signal from at least one process monitoring sensor and adjusting at least one set point of at least one of the plurality of plasma sources.
제 12 항에 있어서,
플라즈마 부산물 및 재결합 부산물 중 적어도 하나를 상기 프로세스 챔버로부터 프로세스 챔버 상단에서의 복수의 유출구들을 통해서 제거하는 단계를 더 포함하는, 플라즈마 생성 방법.
The method of claim 12,
Removing at least one of plasma byproduct and recombination byproduct from the process chamber through a plurality of outlets at the top of the process chamber.
제 13 항에 있어서,
상기 복수의 유출구들 중 적어도 하나는 상기 프로세스 챔버 상단에서 중앙 위치에 위치하는, 플라즈마 생성 방법.
The method of claim 13,
At least one of the plurality of outlets is located at a central position above the process chamber.
제 12 항에 있어서,
상기 페라이트부들은 링 플라즈마 챔버의 원주를 둘러서 균일하게 분포된, 플라즈마 생성 방법.
The method of claim 12,
And the ferrite portions are evenly distributed around the circumference of the ring plasma chamber.
삭제delete 제 12 항에 있어서,
상기 복수의 플라즈마 소스들 중 적어도 하나를 상기 프로세스 챔버 내의 기판 지지부에 대해서 이동시키는 단계를 더 포함하는, 플라즈마 생성 방법.
The method of claim 12,
Moving at least one of the plurality of plasma sources relative to a substrate support in the process chamber.
플라즈마 프로세싱 시스템으로서,
프로세스 챔버 상단에 장착된 복수의 플라즈마 소스들로서, 상기 복수의 플라즈마 소스들 각각은,
링 플라즈마 챔버로서, 상기 링 플라즈마 챔버 각각은,
상기 링 플라즈마 챔버의 외측 원주 주위를 둘러싸는 1 차 권선;
상기 복수의 플라즈마 소스들 각각의 상기 링 플라즈마 챔버가 복수의 페라이트부들 각각을 통과하도록, 상기 링 플라즈마 챔버 주위에 배치된 상기 복수의 페라이트부들로서, 상기 복수의 플라즈마 소스들 중 적어도 두 개의 플라즈마 소스들은 내측 링 플라즈마 챔버와 외측 링 플라즈마 챔버의 동심원적 배열로 배치되고, 상기 외측 링 플라즈마 챔버는 상기 내측 링 플라즈마 챔버를 둘러싸고, 상기 내측 링 플라즈마 챔버의 상기 복수의 페라이트부들의 외측 에지들은 상기 외측 링 플라즈마 챔버의 상기 복수의 페라이트부들의 내측 에지들의 적어도 일부에 오버랩되는, 상기 복수의 페라이트부들;
상기 내측 링 플라즈마 챔버 및 상기 외측 링 플라즈마 챔버 각각을 프로세싱 챔버로 커플링하는 복수의 플라즈마 챔버 유출구들로서, 상기 복수의 플라즈마 챔버 유출구들 중 적어도 일부는 접지 전위에 커플링되는, 상기 복수의 플라즈마 챔버 유출구들; 및
프로세스 가스 소스를 상기 복수의 플라즈마 소스들 각각에 커플링하는 복수의 플라즈마 챔버 유입구들로서, 상기 복수의 플라즈마 챔버 유입구들 각각은 상기 복수의 플라즈마 챔버 유출구들 각각과 정렬된, 상기 복수의 챔버 유입구들을 포함하는, 상기 링 플라즈마 챔버;
적어도 하나의 프로세스 모니터링 센서; 및
제어기를 포함하며,
상기 제어기는,
상기 링 플라즈마 챔버에 프로세스 가스를 전달하기 위한 로직;
상기 1 차 권선에 1 차 전류를 인가하기 위한 로직;
상기 1 차권선에서 자계를 생성하기 위한 로직;
상기 복수의 페라이트부들을 사용하여서 상기 자계를 집중시키기 위한 로직으로서, 상기 링 플라즈마 챔버는 상기 복수의 페라이트부들 각각을 통과하는, 상기 자계를 집중시키기 위한 로직;
상기 링 플라즈마 챔버 내의 상기 프로세스 가스 내에 2 차 전류를 유도하기 위한 로직;
상기 2 차 전류를 사용하여서 상기 링 플라즈마 챔버 내의 상기 프로세스 가스 내에 플라즈마를 생성하기 위한 로직;
적어도 하나의 프로세스 모니터링 센서로부터 프로세스 피드백 신호를 수신하기 위한 로직; 및
상기 복수의 플라즈마 소스들 중 적어도 하나의 적어도 하나의 세트 포인트를 조절하기 위한 로직을 포함하는, 플라즈마 프로세싱 시스템.
A plasma processing system,
A plurality of plasma sources mounted on top of the process chamber, each of the plurality of plasma sources,
A ring plasma chamber, each ring plasma chamber,
A primary winding surrounding the outer circumference of the ring plasma chamber;
The plurality of ferrite portions disposed around the ring plasma chamber such that the ring plasma chamber of each of the plurality of plasma sources passes through each of the plurality of ferrite portions, at least two of the plurality of plasma sources being A concentric arrangement of an inner ring plasma chamber and an outer ring plasma chamber, wherein the outer ring plasma chamber surrounds the inner ring plasma chamber, and the outer edges of the plurality of ferrite portions of the inner ring plasma chamber are the outer ring plasma. The plurality of ferrite portions overlapping at least some of the inner edges of the plurality of ferrite portions of a chamber;
A plurality of plasma chamber outlets coupling each of the inner ring plasma chamber and the outer ring plasma chamber to a processing chamber, wherein at least some of the plurality of plasma chamber outlets are coupled to ground potential field; And
A plurality of plasma chamber inlets coupling a process gas source to each of the plurality of plasma sources, each of the plurality of plasma chamber inlets including the plurality of chamber inlets aligned with each of the plurality of plasma chamber outlets The ring plasma chamber;
At least one process monitoring sensor; And
A controller,
The controller,
Logic for delivering a process gas to the ring plasma chamber;
Logic for applying a primary current to the primary winding;
Logic for generating a magnetic field in the primary winding;
Logic for concentrating the magnetic field using the plurality of ferrite portions, wherein the ring plasma chamber passes through each of the plurality of ferrite portions;
Logic to induce a secondary current in the process gas in the ring plasma chamber;
Logic to generate a plasma in the process gas in the ring plasma chamber using the secondary current;
Logic for receiving a process feedback signal from at least one process monitoring sensor; And
Logic for adjusting at least one set point of at least one of the plurality of plasma sources.
기판을 프로세싱하기 위한 플라즈마 시스템으로서,
프로세싱 챔버로서,
베이스;
복수의 측벽들;
상기 베이스에 근접한 기판 지지부; 및
상기 프로세싱 챔버를 봉입하도록 상기 측벽들과 연결된 (interfaced) 챔버 상단 (chamber top) 을 갖는, 상기 프로세싱 챔버; 및
상기 챔버 상단 위에 배치된 복수의 플라즈마 소스들을 포함하고, 상기 복수의 플라즈마 소스들 각각은 링 플라즈마 챔버를 포함하며,
상기 링 플라즈마 챔버 각각은,
상기 링 플라즈마 챔버의 외측 원주 주위를 둘러싸는 1 차 권선;
상기 복수의 플라즈마 소스들 각각의 상기 링 플라즈마 챔버가 복수의 페라이트부들 각각을 통과하도록, 상기 링 플라즈마 챔버 주위에 배치된 상기 복수의 페라이트부들로서, 상기 복수의 플라즈마 소스들 중 적어도 두 개의 플라즈마 소스들은 내측 링 플라즈마 챔버와 외측 링 플라즈마 챔버의 동심원적 배열로 배치되고, 상기 외측 링 플라즈마 챔버는 상기 내측 링 플라즈마 챔버를 둘러싸고, 상기 내측 링 플라즈마 챔버의 상기 복수의 페라이트부들의 외측 에지들은 상기 외측 링 플라즈마 챔버의 상기 복수의 페라이트부들의 내측 에지들의 적어도 일부에 오버랩되는, 상기 복수의 페라이트부들;
상기 내측 링 플라즈마 챔버 및 상기 외측 링 플라즈마 챔버 각각을 상기 프로세싱 챔버로 커플링하는 복수의 플라즈마 챔버 유출구들; 및
프로세스 가스 소스를 상기 복수의 플라즈마 소스들 각각에 커플링하는 복수의 플라즈마 챔버 유입구들로서, 상기 복수의 플라즈마 챔버 유입구들 각각은 상기 복수의 플라즈마 챔버 유출구들 각각과 정렬된, 상기 복수의 챔버 유입구들을 포함하는, 플라즈마 시스템.
A plasma system for processing a substrate,
As a processing chamber,
Base;
A plurality of sidewalls;
A substrate support proximate the base; And
The processing chamber having a chamber top interfaced with the sidewalls to enclose the processing chamber; And
A plurality of plasma sources disposed above the chamber top, each of the plurality of plasma sources including a ring plasma chamber,
Each of the ring plasma chambers,
A primary winding surrounding the outer circumference of the ring plasma chamber;
The plurality of ferrite portions disposed around the ring plasma chamber such that the ring plasma chamber of each of the plurality of plasma sources passes through each of the plurality of ferrite portions, at least two of the plurality of plasma sources being A concentric arrangement of an inner ring plasma chamber and an outer ring plasma chamber, wherein the outer ring plasma chamber surrounds the inner ring plasma chamber, and the outer edges of the plurality of ferrite portions of the inner ring plasma chamber are the outer ring plasma. The plurality of ferrite portions overlapping at least some of the inner edges of the plurality of ferrite portions of a chamber;
A plurality of plasma chamber outlets coupling each of the inner ring plasma chamber and the outer ring plasma chamber to the processing chamber; And
A plurality of plasma chamber inlets coupling a process gas source to each of the plurality of plasma sources, each of the plurality of plasma chamber inlets including the plurality of chamber inlets aligned with each of the plurality of plasma chamber outlets , Plasma system.
KR1020147013268A 2011-11-17 2012-11-07 Distributed multi-zone plasma source systems, methods and apparatus KR102016190B1 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161561167P 2011-11-17 2011-11-17
US61/561,167 2011-11-17
US13/649,103 US10283325B2 (en) 2012-10-10 2012-10-10 Distributed multi-zone plasma source systems, methods and apparatus
US13/649,103 2012-10-10
PCT/US2012/063987 WO2013074354A1 (en) 2011-11-17 2012-11-07 Distributed multi-zone plasma source systems, methods and apparatus

Publications (2)

Publication Number Publication Date
KR20140091007A KR20140091007A (en) 2014-07-18
KR102016190B1 true KR102016190B1 (en) 2019-10-21

Family

ID=48430050

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147013268A KR102016190B1 (en) 2011-11-17 2012-11-07 Distributed multi-zone plasma source systems, methods and apparatus

Country Status (4)

Country Link
KR (1) KR102016190B1 (en)
CN (1) CN103959918B (en)
TW (1) TWI519215B (en)
WO (1) WO2013074354A1 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
JP6886020B2 (en) 2016-11-15 2021-06-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Dynamic phased array plasma source for complete plasma coverage of mobile substrates
US10553403B1 (en) * 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN114229790B (en) * 2021-11-11 2024-05-10 江苏普诺威电子股份有限公司 Composite treatment process based on MEMS groove hole wall

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100065215A1 (en) * 2008-09-17 2010-03-18 Samsung Electronics Co., Ltd. Plasma generating apparatus
JP2010258324A (en) * 2009-04-28 2010-11-11 Tokyo Electron Ltd Plasma processing apparatus

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) * 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
JP4043089B2 (en) * 1997-02-24 2008-02-06 株式会社エフオーアイ Plasma processing equipment
EP1029099A2 (en) * 1997-10-15 2000-08-23 Tokyo Electron Limited Apparatus and method for adjusting density distribution of a plasma
JPH11149998A (en) * 1997-11-14 1999-06-02 Foi:Kk Plasma treating device
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US7084573B2 (en) * 2004-03-05 2006-08-01 Tokyo Electron Limited Magnetically enhanced capacitive plasma source for ionized physical vapor deposition
KR100785163B1 (en) * 2006-02-03 2007-12-11 위순임 Substrate processing system having multi remote plasma generator
KR100785164B1 (en) * 2006-02-04 2007-12-11 위순임 Multi output remote plasma generator and substrate processing system having the same
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US20080302652A1 (en) * 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100065215A1 (en) * 2008-09-17 2010-03-18 Samsung Electronics Co., Ltd. Plasma generating apparatus
JP2010258324A (en) * 2009-04-28 2010-11-11 Tokyo Electron Ltd Plasma processing apparatus

Also Published As

Publication number Publication date
CN103959918B (en) 2017-10-03
KR20140091007A (en) 2014-07-18
CN103959918A (en) 2014-07-30
TW201336355A (en) 2013-09-01
WO2013074354A1 (en) 2013-05-23
TWI519215B (en) 2016-01-21

Similar Documents

Publication Publication Date Title
US10424460B2 (en) Systems, methods and apparatus for choked flow element extraction
KR102008639B1 (en) Distributed multi-zone plasma source systems, methods and apparatus
KR102016190B1 (en) Distributed multi-zone plasma source systems, methods and apparatus
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
US8999104B2 (en) Systems, methods and apparatus for separate plasma source control
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right