KR101718540B1 - Apparatus and methods for transporting and processing substrates - Google Patents

Apparatus and methods for transporting and processing substrates Download PDF

Info

Publication number
KR101718540B1
KR101718540B1 KR1020090017051A KR20090017051A KR101718540B1 KR 101718540 B1 KR101718540 B1 KR 101718540B1 KR 1020090017051 A KR1020090017051 A KR 1020090017051A KR 20090017051 A KR20090017051 A KR 20090017051A KR 101718540 B1 KR101718540 B1 KR 101718540B1
Authority
KR
South Korea
Prior art keywords
chamber
processing
wafer
transfer chamber
linear
Prior art date
Application number
KR1020090017051A
Other languages
Korean (ko)
Other versions
KR20100098061A (en
Inventor
테리 블럭
케빈 피. 페어베어른
마이클 에스. 반즈
크리스토퍼 티. 레인
Original Assignee
인테벡, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인테벡, 인코포레이티드 filed Critical 인테벡, 인코포레이티드
Priority to KR1020090017051A priority Critical patent/KR101718540B1/en
Publication of KR20100098061A publication Critical patent/KR20100098061A/en
Application granted granted Critical
Publication of KR101718540B1 publication Critical patent/KR101718540B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기존의 시스템에 비해 적절한 비용으로 스루풋을 효율적으로 개선하도록 웨이퍼를 포함하는 기판을 이송 및 처리하는 장치 및 방법이 기술된다. 선형 이송 챔버는 로드로크를 통해 기판을 제어된 환경으로 피딩하도록 처리 챔버의 측면을 따라 그리고 그 후 처리 챔버에 도달하는 길로서 이송 챔버를 따라 선형으로 기판을 이송하기 위해, 선형 트랙과 선형 트랙을 타는 로봇 암을 포함한다. An apparatus and method for transferring and processing a substrate including a wafer to improve throughput at a reasonable cost relative to existing systems is described. The linear transfer chamber has a linear track and a linear track to transfer the substrate linearly along the transfer chamber along the side of the processing chamber to feed the substrate through the load lock to the controlled environment and thereafter to reach the process chamber And includes a burning robot arm.

Description

기판을 이송 및 처리하는 장치 및 방법{APPARATUS AND METHODS FOR TRANSPORTING AND PROCESSING SUBSTRATES}[0001] APPARATUS AND METHODS FOR TRANSPORTING AND PROCESSING SUBSTRATES [0002]

본 발명은 일반적으로 기판 및 더 상세하게는 웨이퍼를 이송 및 처리하는 신규한 장치 및 방법에 관련된다.The present invention generally relates to substrates and more particularly to novel devices and methods for transferring and processing wafers.

반도체의 제조시에, 클러스터 툴로 지칭되는 통상적인 툴은 웨이퍼의 제조시에 이용되는 주요 유닛 중 하나이다. 통상적인 상업적 디바이스는 일반적으로 주위를 따라 부착된 챔버를 갖는 원형 중심 영역을 갖는다. 챔버는 그 중심 영역 주위에서 바깥쪽으로 연장된다. 웨이퍼가 처리되는 경우, 웨이퍼는 먼저 중심 챔버 주위의 입출력 스테이션으로부터 중심 챔버로 이동되고, 그 후, 그 중심 챔버로부터, 처리가 수행되는 부착 챔버 또는 주위의 챔버로 이동된다. 현재 이용되는 실질적으로 모든 제조 시스템으로서의 이러한 툴에서는, 통상적으로 웨이퍼를 한번에 하나씩 처리한다. 웨이퍼는 처리를 위해 챔버로 이동될 수도 있고, 그 후, 다시 중심 챔버로 이동될 수도 있다. 또 다른 주위 챔버로의 추가적 이동 및 후속 처리가 후속될 수 있고, 그 후, 중심 챔버로 다시 이동될 수 있다. 결국, 완전히 처리된 웨이퍼는 툴 밖으로 함께 이동된다. 이 이동은, 웨이퍼가 진공으로부터 대기중으로 이동하는, 일반적으로 로드로크 (load lock) 로 지칭되는 진공 시스템과 연결되는 입/출력 스테이션 또는 챔버를 통해 행해진다. 이러한 종류의 유닛은 예를 들어, 미국 특허 제 4,951,601 호에 기술되어 있다.In the manufacture of semiconductors, a typical tool, referred to as a cluster tool, is one of the main units used in the manufacture of wafers. Conventional commercial devices typically have a circular central area with a chamber attached along the periphery. The chamber extends outwardly about its central region. When the wafer is processed, the wafer is first transferred from the input / output station around the central chamber to the central chamber, and then from that central chamber to the attachment chamber or the surrounding chamber where processing is performed. In these tools as currently practically all manufacturing systems, they typically process wafers one at a time. The wafer may be moved to the chamber for processing, and then moved back to the central chamber. Additional movement to another surrounding chamber and subsequent processing may follow and then be moved back to the center chamber. Eventually, the fully processed wafer is moved out of the tool together. This movement is done through an input / output station or chamber that is connected to a vacuum system, generally referred to as a load lock, from which the wafer moves from vacuum to atmosphere. This type of unit is described, for example, in U.S. Patent No. 4,951,601.

또 다른 툴은 중심축을 따라 웨이퍼를 인덱싱하고 웨이퍼들을 주위의 처리 챔버를 통해 피딩한다. 이러한 툴에서는, 모든 웨이퍼가 동시에 다음 처리 단계로 피딩된다. 웨이퍼들은 독립적으로 처리될 수 있지만 독립적으로 이동될 수는 없다. 모든 웨이퍼는 동일한 시간 동안 처리 스테이션에 머물지만, 각 스테이션에서의 처리는 그 단계에 허용된 시간에 의해 허용되는 최대 시간에 종속하여 독립적으로 제어될 수 있다. 최초로 기술된 툴은 이러한 방식으로 동작될 수 있지만, 그러나, 실제로 이러한 툴은 웨이퍼가 인접 처리 챔버로 순서대로 진행하지 않도록 웨이퍼를 이동시킬 수도 있고, 모든 웨이퍼들이 처리 챔버에서 동일한 드웰 시간을 갖도록 요구되지는 않는다.Another tool indexes the wafer along the central axis and feeds the wafers through the surrounding processing chamber. In such a tool, all the wafers are fed to the next processing step at the same time. Wafers can be processed independently but can not be moved independently. All wafers remain in the processing station for the same amount of time, but the processing at each station can be independently controlled depending on the maximum time allowed by the time allowed for that step. Although the first described tool can be operated in this manner, however, in practice these tools may move the wafer so that the wafer does not progress to the adjacent processing chambers in order, and all the wafers are not required to have the same dwell time in the processing chamber .

이러한 시스템들 중 하나가 동작하는 경우, 중심 영역은 일반적으로 진공이지만, 몇몇 다른 미리 선택되거나 미리 결정된 제어 환경일 수도 있다. 예를 들어, 이러한 중심부는 처리 챔버에서 수행중인 프로세스에 유용한 가스를 제공할 수도 있다. 중심 영역의 외부면을 따라 존재하는 챔버 또는 컴파트먼트 (compartment) 도 일반적으로 진공일 수도 있지만, 이 또한 미리 선택되고 제어되는 가스 환경을 가질 수도 있다. 또한, 처리는 일반적으로 웨이퍼를 진공 상태로 중심 챔버로부터 부착 챔버 또는 컴파트먼트로 이동시킴으로써 진공 상태로 수행된다. 일반적으로, 웨이퍼가 처리를 위해 챔버 또는 컴파트먼트에 도달하면, 챔버 또는 컴파트먼트는 중심 챔버로부터 밀봉된다. 이것은 챔버 또는 컴파트먼트에서 이용되는 물질 및/또는 가스가 중심 영역에 도달하는 것을 방지하여, 중심 영역 및 부착 처리 챔버 내의 대기 중의 오염을 방지하고, 그리고/또는 중심 영역에 위치되어 처리를 대기중이거나 추가적으로 처리될 웨이퍼의 오염을 방지한다. 또한, 이것은, 처리 챔버가, 그 챔버에서 수행될 특정 처리를 위해 중심 챔버에서 이용되는 것과는 상이한 진공 레벨로 설정될 수 있게 한다. 예를 들어, 챔버의 처리 기술이 더 높은 진공 상태를 요구하면, 중심 영역과 챔버 사이에 있는 밀봉에 의해, 챔버 자체가 그 챔버 내에서 수행될 특정 프로세스에 대한 프로세스 요건에 부합하도록 더 펌프될 수 있다. 다른 방법으로, 더 낮은 진공 상태가 요구되면, 중심 챔버의 압력에 영향을 주지 않고 압력이 증가될 수도 있다. 웨이퍼의 처리가 완료된 이후, 웨이퍼는 중심 영역으로 다시 이동되어 시스템 외부로 배출된다. 이러한 방식으로, 웨이퍼는 이 툴을 통해 순차적으로 진행되어 챔버들 및 모든 이용가능한 프로세스를 통과한다. 다른 방법으로, 웨이퍼는 오직 선택된 챔버를 통해 진행되어 오직 선택된 프로세스에만 노출될 수도 있다.When one of these systems is operating, the central region is typically vacuum, but may be some other pre-selected or predetermined control environment. For example, such a central portion may provide a useful gas for the process being performed in the process chamber. A chamber or compartment along the outer surface of the central region may also be generally vacuum, but it may also have a pre-selected and controlled gas environment. In addition, processing is generally performed in a vacuum by transferring the wafer from the central chamber to a deposition chamber or compartment in a vacuum. Generally, when a wafer reaches a chamber or compartment for processing, the chamber or compartment is sealed from the central chamber. This prevents the materials and / or gases used in the chamber or compartment from reaching the central region, preventing contamination of the atmosphere in the central region and the deposition process chamber, and / Or further contamination of the wafer to be processed. This also allows the process chamber to be set to a different vacuum level than that used in the central chamber for the particular process to be performed in that chamber. For example, if the processing technique of the chamber requires a higher vacuum state, by sealing between the central region and the chamber, the chamber itself can be further pumped to meet the process requirements for the particular process to be performed in that chamber have. Alternatively, if a lower vacuum condition is required, the pressure may be increased without affecting the pressure in the central chamber. After the processing of the wafer is completed, the wafer is moved back to the central region and discharged outside the system. In this manner, the wafer is sequentially advanced through the tool and through the chambers and all available processes. Alternatively, the wafer may only be exposed through the selected chamber and exposed only to the selected process.

또한, 이 프로세스에 대한 변형예가 당해 분야에 제공되는 설비에서 이용된다. 그러나, 이러한 모든 것은 다양한 프로세스에 필수적인 중심 영역 또는 중심부에 의존할 것이다. 또한, 이러한 설비의 주된 용도는 웨이퍼 제조이기 때문에, 주로 웨이퍼의 측면에서 기술할 것이다. 그러나, 기술되는 프로세스의 대부분은 일반적인 기판에도 적용될 수 있고, 이 기술은 이러한 기판 및 이러한 제조 설비에도 적용될 수 있음을 이해해야 한다.Variations to this process are also used in the facilities provided in the art. However, all of this will depend on a central area or core that is essential to the various processes. In addition, since the main use of such equipment is wafer fabrication, it will be described primarily in terms of wafers. It should be understood, however, that most of the processes described can also be applied to general substrates, and that this technique can also be applied to such substrates and to such manufacturing facilities.

최근, 원형보다는 선형 형상을 갖고, 웨이퍼가 처리를 위해 하나의 챔버로부터 다음 챔버로 이동하는 점에서 기존과는 다른 시스템이 설명되고 있다. 웨이퍼가 하나의 챔버로부터 인접 챔버로 순서대로 이동하기 때문에, 설비의 일부로서 중심부가 필요없다. 이러한 툴에서는, 웨이퍼가 그 유닛으로 진입하여, 일반적으로, 웨이퍼가 시스템을 통해 이동할 때 그 웨이퍼와 함께 이동하는 척에 부착된다. 이러한 유닛에서는, 각각의 챔버에서 동일한 시간량 동안 수행된다.Recently, a system different from the conventional one is described in that the wafer has a linear shape rather than a circular shape and the wafer moves from one chamber to the next for processing. Since the wafer moves from one chamber to the next in order, there is no need for a central part as part of the installation. In such a tool, a wafer enters the unit and is generally attached to a chuck that moves with the wafer as it moves through the system. In such a unit, it is carried out for the same amount of time in each chamber.

이러한 시스템은, 풋프린트 (footprint) 가 오직 처리 챔버의 풋프린트에 근접하기 때문에 통상적으로 당해 분야에서 더 작은 풋프린트를 갖고, 큰 중심부를 포함하지 않는다. 이것이 이러한 타입의 설비의 이점이다. 이 시스템은 계류중인 공개 특허 출원 공보 제 2006/0102078 A1 에 기술되어 있다. 이러한 특정 시스템은 각각의 프로세스 스테이션에서 균일한 드웰 시간을 갖는다. 이것은, 가장 긴 드웰 주기의 길이에 의해 제한되는 처리 시의 몇몇 차이점을 허용한다. 다양한 스테이션에서 독립적으로 제어되는 드웰 시간이 요구되면, 또 다른 접근방식이 선호될 수도 있다. 또한, 이러한 타입의 설비는, 하나의 스테이션이 수리 또는 관리를 위해 정지되면, 전체 시스템 자체가 처리에 이용될 수 없는 단점을 가진다.Such a system typically has a smaller footprint in the field and does not include a large center, since the footprint is only close to the footprint of the process chamber. This is an advantage of this type of installation. This system is described in pending published patent application publication no. 2006/0102078 A1. This particular system has a uniform dwell time at each process station. This allows for some differences in processing, which is limited by the length of the longest dwell period. If independently controlled dwell times are required at various stations, another approach may be preferred. Also, this type of facility has the disadvantage that if one station is stopped for repair or management, the entire system itself can not be used for processing.

본 발명은 작은 풋프린트를 유지하면서 처리 스테이션에서 개별적으로 제어되는 드웰 시간을 허용하도록 의도된 신규한 웨이퍼 처리 유닛을 목적으로 한다. 또한, 본 발명은, 하나 이상의 스테이션이 하나 또는 다른 이유로 중지된 경우에도 동작을 진행할 수 있다. 부분적으로, 본 발명은, 반도체 제조 비용이 매우 고가이며 비용이 증가하고 있는 것에 대한 인식에서 비롯한다. 당해 분야에서 투자에 착수할 때, 비용이 더 비싸지면 위험도 커진다. 제조의 "린 (Lean)" 원리에 따라 비용을 일정 부분 낮추고 개선된 시스템 및 서비스를 제공하는 설비를 정의하는 것이 목적이다. 따라서, 작은 풋프린트를 유지하면서 처리 챔버를 최대화하는 것이 목적이다. 처리 스테이션의 이용을 최대화하는 것이 또 다른 목적이다. 이러한 설비의 로봇 공학 및 서비스를 단순화하는 것이 또 다른 목적이다. 또한, 이 시스템은, 처리 동안, 심지어 메인프레인의 서비스 동안 시스템의 100% 까지의 이용가능성을 포함하여, 상당한 리던던시를 제공할 것이다. 이러한 경우, 더 적은 수의 챔버가 이용될 것이지만, 모든 프로세스는 웨이퍼의 처리를 위해 계속 이용될 수 있다. 또한, 서비스 또는 처리 챔버는 처리 챔버의 후면 또는 전면 모두로부터 이용가능할 것이다. 또한, 바람직한 실시형태에서, 이 처리 챔버는 선형 구성으로 셋업될 것이다. 이것은, 다양한 처리 스테이션에서 웨이퍼에 대한 개별적 프로그램을 허용하는 시스템에 대해 최소의 풋프린트를 보장한다.The present invention is directed to a novel wafer processing unit intended to allow dwell times that are individually controlled in a processing station while maintaining a small footprint. Further, the present invention may proceed even if one or more stations are suspended for one or the other reason. In part, the invention comes from the perception that semiconductor manufacturing costs are very expensive and that costs are increasing. When you start investing in the sector, the higher the cost, the greater the risk. The goal is to define a facility that reduces costs to some extent and provides improved systems and services in accordance with the "Lean" principle of manufacturing. Therefore, it is an object to maximize the processing chamber while maintaining a small footprint. It is another purpose to maximize the utilization of the processing station. Another goal is to simplify the robotics and services of these facilities. The system will also provide significant redundancy during processing, even including availability of up to 100% of the system during mainframe services. In this case, fewer chambers will be used, but all processes can continue to be used for processing wafers. In addition, a service or process chamber may be available from both the back or front of the process chamber. Further, in a preferred embodiment, the process chamber will be set up in a linear configuration. This ensures a minimum footprint for systems that allow individual programs for wafers at various processing stations.

일반적으로 이 처리 챔버는, 웨이퍼 처리에 관련하여 이용되는 임의의 다양한 프로세스들을 수행하는 능력을 가질 수도 있다. 예를 들어, 웨이퍼의 제조 시에, 웨이퍼는 통상적으로, 하나 이상의 에칭 단계, 하나 이상의 스퍼터링 또는 물리적 증착 프로세스, 이온 주입 (implantation), 화학 증착 (CVD), 및 가열 및/또는 냉각 프로세스 등을 통해 반송될 것이다. 웨이퍼를 제작하는 처리 단계의 수는, 이러한 다양한 프로세스를 수행하기 위해 종래의 디바이스를 이용하면, 거대한 서브시스템을 갖는 다중 툴 또는 툴들이 요구될 수도 있음을 의미할 것이다. 그러나, 인스턴트 시스템은, 사이즈에서의 현저한 증가 없이 또는 새로운 토탈 시스템을 추가하기 위한 필요없이 추가적 기능 스테이션들이 추가될 수 있는 장점을 제공한다.In general, the processing chamber may have the ability to perform any of a variety of processes utilized in connection with wafer processing. For example, in the manufacture of a wafer, the wafer is typically processed through one or more etching steps, one or more sputtering or physical vapor deposition processes, ion implantation, chemical vapor deposition (CVD), and heating and / It will be returned. The number of processing steps for fabricating the wafers will mean that multiple tools or tools with a large subsystem may be required using conventional devices to perform these various processes. However, the instant system offers the advantage that additional functional stations can be added without significant increase in size or without the need to add a new total system.

이러한 다양한 목적을 달성하기 위해, 웨이퍼의 이송은 챔버 설계에 대해 독립적으로 구성된다. 따라서, 챔버는 특정한 처리 능력을 갖는 챔버로서 동작하도록 설계되고, 이송 시스템은 챔버 설계에 대해 독립적으로 동작하도록 구성되고 처리 챔버로 및 처리 챔버로부터 웨이퍼를 피딩하도록 구성된다. 개시된 바람직한 실시형태에서의 이송은, 진공 벽을 통해 연결되는 선형 및 회전 운동에 기초한 단순한 연동 암에 의존한다. 비용을 낮게 유지하는 관점에서, 챔버 설계는 모듈 방식에 기초한다. 따라서, 일 실시형태에서, 이 시스템은 3 개의 챔버를 가질 수도 있고, 또는 매칭 구조가 이용될 수 있고, 이 시스템은 6 개의 챔버를 가질 수 있다. 다른 방법으로, 매칭 구조는, 4 및 8 개의 챔버로 반복될 수도 있고 또한 다른 배수로 반복될 수 있고, 또는 상이한 수의 처리 스테이션을 갖는 모 듈들이 매칭될 수도 있다.In order to achieve these various purposes, the transfer of the wafer is constructed independently of the chamber design. Thus, the chamber is designed to operate as a chamber having a specific processing capability, and the transfer system is configured to operate independently of the chamber design and configured to feed the wafer into and out of the processing chamber. The transfer in the disclosed preferred embodiment relies on a simple interlocking arm based on linear and rotary motion connected through a vacuum wall. In terms of keeping costs low, the chamber design is based on modularity. Thus, in one embodiment, the system may have three chambers, or a matching structure may be used, and the system may have six chambers. Alternatively, the matching structure may be repeated in four and eight chambers and may be repeated in other multiples, or modules having different numbers of processing stations may be matched.

이 시스템은 확장가능하며, 또한, 장래의 프로세스 또는 애플리케이션으로서 적용될 수도 있는 기술에 대해 독립적으로 확장가능하다. 선형 웨이퍼 전송이 이용된다. 이것은, 세정실에서의 공간 이상을 요구하지 않는 작은 풋프린트의 시스템에서 높은 스루풋을 가능하게 한다. 또한, 상이한 처리 단계가 동일한 처리 플랫폼에서 구성될 수 있다.The system is extensible and is also extensible independently of the technology that may be applied as a future process or application. Linear wafer transfer is used. This allows high throughput in a small footprint system that does not require more space in the cleaning chamber. In addition, different processing steps can be configured on the same processing platform.

본 발명의 일 양태에 따르면, 배출부 및 대기부 (atmospheric section) 를 갖는 기다란 기판 이송 챔버; 배출부 내에서 이송 챔버에 부착된 제 1 선형 트랙; 기압부에서 이송 챔버에 부착된 제 2 선형 트랙; 제 1 선형 트랙을 선형으로 타는 제 1 베이스; 제 2 선형 트랙을 선형으로 타는 제 2 베이스; 제 1 베이스 상에 탑재되며, 입력부로서 자기 연결 종동부 (magnetically-coupled follower) 를 갖고, 출력부에 저속 회전을 제공하는 속도 감속기; 제 2 베이스 상에 탑재되고 자기 구동기를 회전시키는 회전 모터로서, 상기 자기 구동기는 진공 파티션을 통해 상기 자기 연결 종동부에 회전 운동을 전달하는, 회전 모터; 및 속도 감속기의 출력부에 연결된 로봇 암을 포함하는 기판 처리 시스템이 개시된다. 선형 모터는 제 2 베이스에 부착되어 선형 운동을 전달하고, 자화된 휠이 제 2 베이스에 연결될 수도 있다. 선형 운동 인커더가 제 2 베이스에 연결될 수도 있고, 회전 인코더가 회전 모터에 연결될 수도 있다. 2 개의 로봇 암을 갖는 시스템에서는, 암 연장부가 로봇 암 중 하나에 연결되어, 그 로봇 암들의 회전축이 일치하게 할 수도 있다.According to an aspect of the invention, there is provided a lithographic apparatus comprising: an elongate substrate transfer chamber having a discharge portion and an atmospheric section; A first linear track attached to the transfer chamber within the discharge portion; A second linear track attached to the transfer chamber at the barometric pressure; A first base linearly riding the first linear track; A second base linearly riding the second linear track; A speed reducer mounted on the first base, the speed reducer having a magnetically-coupled follower as an input portion and providing a low-speed rotation to the output portion; A rotary motor mounted on a second base and rotating the magnetic drive, the magnetic drive transferring rotational motion to the magnetically coupled follower via a vacuum partition; And a robot arm connected to the output of the speed reducer. A linear motor may be attached to the second base to deliver linear motion, and the magnetized wheel may be coupled to the second base. The linear motion of the cutter may be connected to the second base, and the rotary encoder may be connected to the rotary motor. In a system with two robotic arms, the arm extension may be connected to one of the robotic arms such that the rotational axes of the robotic arms coincide.

본 발명의 또 다른 양태에 따르면, 이송 챔버 내에 로봇 암을 제공하는 단계; 진공 파티션을 통해 로봇 암에 선형 운동을 자기적으로 연결하는 단계; 진공 파티션을 통해 로봇 암에 회전 운동을 자기적으로 연결하는 단계; 및 배출 이송 챔버 내의 회전 운동의 속도를 감소시키는 단계를 포함하는, 배출 이송 챔버를 통해 로드로크로부터 처리 챔버로 웨이퍼을 이송하는 방법이 제공된다. 이 방법은 또한, 웨이퍼가 로드로크에 위치될 때, 웨이퍼의 중심으로 정의되는 제 1 중심 지점을 결정하는 단계; 웨이퍼가 처리 챔버에 위치될 때, 웨이퍼의 중심으로 정의되는 제 2 중심 지점을 결정하는 단계; 로봇 암의 피봇 지점의 위치를 결정하는 단계; 및 로봇 암 상에 배치된 웨이퍼가 로드로크와 처리 챔버 사이에서 오직 직선으로만 이동하도록 상기 로봇 암의 선형 및 회전 운동의 조합을 계산하는 단계를 포함할 수도 있다.According to yet another aspect of the present invention, there is provided a method comprising: providing a robot arm within a transfer chamber; Magnetically connecting a linear motion to the robot arm through a vacuum partition; Magnetically connecting a rotary motion to the robot arm through a vacuum partition; And reducing the rate of rotational movement within the discharge transfer chamber, the method comprising: transferring a wafer from a load lock to a process chamber via a discharge transfer chamber. The method also includes the steps of: determining a first center point defined as the center of the wafer when the wafer is located in the load lock; Determining a second center point defined as the center of the wafer when the wafer is located in the process chamber; Determining a position of a pivot point of the robot arm; And calculating a combination of linear and rotary motion of the robot arm such that a wafer disposed on the robot arm moves only linearly between the load lock and the process chamber.

본 발명에 따르면, 작은 풋프린트를 유지하면서 처리 챔버의 이용을 최대화할 수 있다. 또한, 본 발명에 따르면, 처리 스테이션의 이용을 최대화할 수 있다. 또한, 본 발명에 따르면, 이러한 설비의 로봇 공학 및 서비스를 단순화할 수 있다. 또한, 본 발명에 따르면, 처리 동안, 심지어 메인프레인의 서비스 동안 시스템의 100% 까지의 이용가능성을 포함하여, 상당한 리던던시를 제공할 수 있다.According to the present invention, utilization of the processing chamber can be maximized while maintaining a small footprint. Further, according to the present invention, utilization of the processing station can be maximized. Further, according to the present invention, robotic engineering and service of such facilities can be simplified. Further, according to the present invention, during the processing, it can even provide significant redundancy, including availability of up to 100% of the system during servicing of mainframe.

이하, 도 1 을 참조하면, 현재 일반적으로 이용되고 있는 타입의 클러스터 툴이 도시되어 있다. 일반적으로, 이러한 타입의 클러스터 툴은 중심 챔버 (22) 에 부착되어 그 주위에 방사상으로 배치된 처리 챔버 (21) 를 포함한다. 본 시스템에는, 2 개의 중심 챔버가 존재한다. 다른 시스템은 단일의 중심 챔버만을 가질 수도 있다. 불편하다는 점만 제외하면 3 개 이상의 중심 챔버를 갖는 시스템이 존재할 수 있지만, 그 대신에, 사용자는 일반적으로 다른 시스템을 획득할 것이다. 동작 시, 통상, 로봇은 각각의 중심 챔버 (22) 내에 위치한다. 로봇은 그 시스템 내에 웨이퍼를 수용하고, 중심 챔버로부터 처리 챔버로 웨이퍼를 반송하며, 또한 처리 후에는 중심 챔버로 다시 웨이퍼를 반송한다. 몇몇 종래 시스템에 있어서, 중심 로봇은 한 번에 단일의 웨이퍼 및 단일의 챔버에만 액세스할 수 있을 뿐이다. 따라서, 로봇은 단일 챔버에 웨이퍼가 존재하는 처리 동안에 접속에 있어서 관련되거나 바쁠 수 있다. 처리 동안에 스테이션을 처리하는 것에 관련된 이러한 단일 로봇의 조합은 이러한 타입의 클러스터 툴의 스루풋을 제한한다. 보다 최신 유닛은 다중 암을 갖는 로봇을 이용한다. 그 처리 챔버는 임의 형태의 프로세서를 포함할 수도 있고, 예를 들어, 물리 증착용 챔버, 화학 증착 (CVD) 용 챔버 또는 에칭용 챔버 또는 그 제조 동안에 웨이퍼에 대해 수행될 수도 있는 다른 처리 챔버를 포함할 수도 있다. 이러한 타입의 툴은 상이한 시간 기간 동안의 처리를 허용하는데, 그 이유는, 웨이퍼가 처리될 때, 로봇 암에 의한 챔버 내부로의 이송 및 챔버로부터의 제거가 다른 인자에 관계없이 처리되며 컴퓨터 제어되기 때문이다. 동일 시간 동안 정해진 시퀀스에 대해 처리를 설정할 수 있음은 명확하다.Referring now to Figure 1, there is shown a cluster tool of the type currently in common use. Generally, this type of cluster tool includes a processing chamber 21 attached to a central chamber 22 and disposed radially therearound. In this system, there are two central chambers. Other systems may have only a single central chamber. Except for the inconvenience, there may be a system with three or more central chambers, but instead the user will generally acquire another system. In operation, the robots are typically located in respective central chambers 22. The robot receives the wafer in the system, conveys the wafer from the central chamber to the processing chamber, and then returns the wafer to the central chamber after processing. In some conventional systems, the central robot only has access to a single wafer and a single chamber at a time. Thus, the robot may be involved or busy in connection during the process of wafer presence in a single chamber. This combination of single robots involved in processing the station during processing limits the throughput of this type of cluster tool. More recent units use robots with multiple arms. The process chamber may comprise any type of processor and may include, for example, a physical vapor deposition chamber, a chemical vapor deposition (CVD) chamber, or an etch chamber or other processing chamber that may be performed on the wafer during its fabrication You may. This type of tool permits processing for different time periods because, when the wafer is processed, transfer into and removal from the chamber by the robot arm is handled independently of other factors and is computer controlled Because. It is clear that the process can be set for a given sequence for the same time.

이하, 도 2 를 참조하면, 각각의 챔버에 대해 챔버 내의 웨이퍼의 드웰 시간 (dwell time) 이 동일한 웨이퍼 처리용 툴이 도시되어 있다. 본 실시형태에서, 프로세서 (23) 는 선형으로 정렬되고, 이 경우에, 챔버는 서로 인접하여 또한 서로의 상부에 위치한다. 그 단부에는, 처리 중인 웨이퍼들을 일 레벨에서 다른 레벨로 이동시키는 승강기 (25) 가 존재한다. 그 입구 (26) 에서, 웨이퍼가 들어가며, 시스템을 통해 이동하더라도 일정 상태를 유지하도록 지지체 상에 위치한다. 이러한 시스템의 실시형태에서, 지지체는 웨이퍼를 프로세서의 상부 레벨로 들어올리고, 그 다음에, 웨이퍼는 그 레벨에서 처리 챔버 (23) 를 통해 차례로 순서대로 이동한다. 승강기 (25) 는 웨이퍼의 레벨을 변화시키고, 웨이퍼는 다시 다른 레벨을 따라 일 프로세서 챔버로부터 다른 챔버를 통해 이동한 다음에, 시스템 밖으로 이동한다.2, there is shown a wafer processing tool having the same dwell time of wafers in the chamber for each chamber. In this embodiment, the processor 23 is linearly aligned, in which case the chambers are adjacent to one another and above each other. At its end, there is an elevator 25 that moves the wafers being processed from one level to another. At its inlet 26, the wafer enters and remains on the support to maintain a constant state even as it moves through the system. In such an embodiment of the system, the support lifts the wafer to the upper level of the processor, and then the wafer moves sequentially through the processing chamber 23 at that level. The elevator 25 changes the level of the wafer, and the wafer moves again from one processor chamber to another chamber along another level, and then out of the system.

이하, 도 3 을 참조하면, 처리 챔버 (31) 는 이송 챔버 (32) 를 따라 선형으로 위치한다. 웨이퍼는 EFEM (33; Equipment Front End Module) 또는 어떤 등가인 피딩 디바이스를 통해 시스템 (34) 으로 들어간다. EFEM (33) 은 FOUP (from front opening unified pod) 가 위치할 수도 있는 스테이션 (30) 을 포함한다. FOUP (도시생략) 는 하우징 또는 인클로져를 포함하고, 여기서, 웨이퍼는 처리 동작에 들어가는 것을 대기하면서 수용되며 청결한 상태를 유지한다. 또한, EFEM (33) 에는 피딩 메커니즘이 연관되어, 웨이퍼를 처리용 시스템 내에 배치하거나 처리 후에 시스템에서 임시 저장되는 웨이퍼를 제거할 수도 있다. 웨이퍼의 FOUP 는 EFEM 상에 배치되어, 여기서, 웨이퍼는 EFEM (33) 내의 FOUP 에서 웨 이퍼를 들어올리며 웨이퍼를 로드로크 컴파트먼트 (35) 안으로 반송하는 블레이드에 의해 하나씩 이송됨으로써 시스템으로 들어가게 된다. 웨이퍼는 로드로크 컴파트먼트 (35) 로부터 이송 챔버 (32) 를 따라 이동하며, 이송 챔버 (32) 로부터 처리 챔버 (31) 안으로 이송된다. 기판이 처리 챔버로 들어간 후에, 기판은 그 지지 암에서 떠나고, 그 대신에 챔버 내의 기판 지지체 상에 유지된다. 이때, 밸브를 닫아서 처리 챔버의 대기를 이송 챔버의 대기로부터 분리한다. 이로 인해, 다른 처리 챔버의 이송 챔버를 오염시킴 없이 처리 챔버 내의 변화가 이루어질 수 있다. 처리 후에, 처리 챔버를 이송 챔버로부터 분리하는 밸브를 개방하고, 웨이퍼를 처리 챔버에서 제거하며, EFEM (33) 상의 FOUP 로 웨이퍼가 리턴되는 로드로크 또는 추가 처리를 위한 다른 처리 챔버로 이송 챔버 (32) 를 따라 이송시킨다. 도 3 에는, 4 개의 처리 챔버 (31) 가 도시되어 있다. 또한, 도 3 에는 4 개의 처리 전원 (37) 및 전력 분배 유닛 (36) 이 도시되어 있다. 이들은 함께 그 전력 및 그 시스템에 대한 전자기기를 각각의 개별적인 처리 챔버로 제공한다. 처리 챔버 (31) 위에는, 처리 가스 캐비넷 (38) 및 정보 처리 캐비넷 (40) 이 존재한다. 이들 유닛을 통해, 이송 챔버 (32) 를 따라 기판의 시스템 제어 움직임 안으로 키잉되는 정보 및 기판이 추후 처리를 위해 처리 챔버 안으로 이송되는지 여부가 결정된다. 또한, 이들 유닛은 처리 챔버 내에서 일어난 기록을 제공한다. 처리 동안에 챔버 내에서 이용되도록 가스가 제공된다. 본 시스템의 처리 스테이션을 통해 시스템 안으로 웨이퍼를 피딩하는 로봇 핸들링 메커니즘이 2 개의 암 시스템으로서 도시되어 있지만, 사실상 3 개 이상의 암이 존재 할 수도 있고, 각각은 이송 트래블 챔버 내에서 독립적으로 또는 함께 이동하도록 설정될 수 있다.Referring now to FIG. 3, the process chamber 31 is positioned linearly along the transfer chamber 32. The wafer enters the system 34 via an EFEM 33 (Equipment Front End Module) or some equivalent feeding device. The EFEM 33 includes a station 30 from which a front opening unified pod (FOUP) may be located. The FOUP (not shown) includes a housing or enclosure, wherein the wafer is held in a clean state while waiting to enter the processing operation. Also, the EFEM 33 is associated with a feeding mechanism, which may place the wafer in the processing system or remove the wafer that is temporarily stored in the system after processing. The FOUP of the wafer is placed on the EFEM where the wafer is fed into the system by being conveyed one by one by the blade which lifts the wafers in the FOUP in the EFEM 33 and conveys the wafers into the load lock compartment 35. The wafers move along the transfer chamber 32 from the load lock compartment 35 and are transferred from the transfer chamber 32 into the process chamber 31. After the substrate enters the processing chamber, the substrate leaves the support arm and is instead held on the substrate support in the chamber. At this time, the valve is closed to separate the atmosphere of the processing chamber from the atmosphere of the transfer chamber. This allows a change in the processing chamber to occur without contaminating the transfer chamber of another process chamber. After processing, the valve separating the process chamber from the transfer chamber is opened, the wafer is removed from the process chamber, and the load chamber in which the wafer is returned to the FOUP on the EFEM 33 is transferred to another transfer chamber for further processing ). In Fig. 3, four processing chambers 31 are shown. 3, four processing power sources 37 and a power distribution unit 36 are shown. Together, they provide their power and electronics for the system to their respective processing chambers. Above the processing chamber 31, there is a processing gas cabinet 38 and an information processing cabinet 40. Through these units, information keyed into the system control movement of the substrate along the transfer chamber 32 and whether the substrate is transferred into the processing chamber for further processing is determined. These units also provide a record that occurred within the processing chamber. Gas is provided for use in the chamber during processing. Although the robot handling mechanism for feeding wafers into the system through the processing station of the present system is shown as two arm systems, there may in fact be three or more arms, each of which may move independently or together within the transfer travel chamber Can be set.

시스템 내의 처리 챔버는 웨이퍼의 제조에서 설명된 것과는 상이한 프로세스를 수행할 수도 있다. 최근, 다수의 제조자는 전체 시스템이 스퍼터 또는 에칭 프로세스만을 위한 전용 시스템을 구매한다. 본질상, 4 개 이상의 스테이지 시스템이 완전히 스퍼터링 동작에 전용될 수 있도록 웨이퍼의 제조 시 충분한 스퍼터 단계 또는 에칭 단계가 존재한다. 다른 방법으로는, 웨이퍼는 일련의 동작을 통해 반송될 수 있고, 각각의 상이하지만 각각의 필요한 일련의 동작을 통해 최종 프로세스로 된다. 예를 들어, 5 개의 처리 스테이션에서, 다음 사용 중인 시퀀스를 적당히 예상할 수 있다. 제 1 처리 스테이션에서, 웨이퍼는 가스 제거 동작을 받게 될 것이고, 제 2 스테이션은 전세정 스테이션일 수 있고, 제 3 스테이션은 예를 들어 티타늄을 퇴적하는 스퍼터링 스테이션이고, 제 4 스테이션은 예를 들어 니켈 바나듐을 퇴적하는 스퍼터 스테이션이며, 제 5 스테이션은 금의 퇴적이 일어날 수 있는 스퍼터이다.The process chamber in the system may perform a process different from that described in the manufacture of the wafer. In recent years, many manufacturers have found that the entire system purchases a dedicated system for sputtering or etching processes only. In essence, there are sufficient sputter or etching steps in the manufacture of wafers so that four or more stage systems can be fully dedicated to sputtering operations. Alternatively, the wafers may be transported through a series of operations, each resulting in a final process through a respective series of required operations. For example, at the five processing stations, the next in-use sequence can be reasonably expected. In the first processing station, the wafer will be subjected to a degassing operation, the second station may be a stationary station, the third station may be a sputtering station, for example depositing titanium, and the fourth station may be a nickel- A sputter station for depositing vanadium, and a fifth station is a sputter capable of depositing gold.

이하, 도 4 를 참조하면, 상부 커버가 제거된 3 개의 스테이션 시스템이 도시되어 있다. 도 4 와 관련한 목적은 이송 챔버 (32) 의 보다 명확한 이해를 제공하기 위한 것이다. 처리될 웨이퍼는 로드로크 (35) 에서 본 시스템으로 들어간다. 로드로크 (35) 는 듀얼 레벨 로드로크이며, 2 개의 웨이퍼를 동시에 유지 및 처리할 수 있다. 일 레벨의 로드로크는 하부 레버 상에 있고, 다른 레벨의 로드로크는 상부 레벨 상에 있다. 로드로크에서, 본 시스템으로 들어가는 웨이퍼는 진공 또는 제어된 환경으로 들어간다. 또한, 처리된 웨이퍼는 그 트래블 동안에 로드로크 (35) 를 통과하여 본 시스템을 떠나고, 진공 상태나 본 시스템의 다른 제어된 상태를 떠나고, FOUP (도 4 에는 도시생략) 안으로 리턴된다. 일단 웨이퍼가 비진공 상태로부터 진공 상태로 그 전이를 완료하면, 웨이퍼는 이송 챔버 (32) 안으로 이동하는 암 (41) 상에서 들어올려진다. 그러한 일 암을 볼 수 있지만, 다른 암은 좌측에 있는 제 1 처리 챔버의 엘리먼트에 의해 부분적으로 덮인다. 보이는 암은 이 처리 챔버 (31) 안으로 웨이퍼를 전달하는 것으로 도시되어 있다 (또는 다른 방법으로는 이 챔버에서 처리된 웨이퍼를 제거하는 것으로 도시됨). 암 (41) 은 선형 레일 (43) 을 통해 이송 챔버 안쪽을 따라 이동한다. 본 실시형태에서, 이송 챔버 (32) 내의 레일은 이송 챔버 (32) 의 플로어 위에 있는 지지 암을 유지한다. 또한, 도 4 에서 보이지 않는 구동 메커니즘은 이송 챔버 (32) 의 인클로져의 벽을 통해 진공 상태 외부에 있는 것으로서 행동한다. 이는 일반적으로 챔버 안으로 또는 로드로크 (35) 안으로 암을 연장시킬 때 회전 움직임을 제공할 뿐만 아니라, 암 (41) 에 선형 움직임을 제공한다. 따라서, 그 암들을 이용하여, 이송 챔버 (32) 안으로 또는 그 밖으로 웨이퍼를 이동시키거나, 처리 챔버 (31) 안으로 또는 그 밖으로 웨이퍼를 이동시키거나, 로드로크 챔버 (35) 안으로 또는 그 밖으로 웨이퍼를 이동시킨다. 이러한 챔버의 베이스와의 접촉을 회피함으로써, 더 적은 입자가 생성되어, 보다 불순물이 없거나 입자가 없는 상태에서 환경을 유지한다. 이러한 이송 시스템의 추가 상세는 다음에 오는 도면을 참조하여 도시 및 설명될 것이다. 또한, 도 4 에는 2 개의 암이 도시되어 있지만, 시스템이 레일 상에서 2 개보다 많거나 적은 암을 가질 수 있고 어느 때라도 3 개 이상의 웨이퍼 이송 디바이스를 처리할 수 있음을 쉽게 알 수 있다.Referring now to Figure 4, there is shown a three station system with the top cover removed. 4 is intended to provide a clearer understanding of the transfer chamber 32. [ The wafer to be processed enters the system from the load lock 35. The load lock 35 is a dual level load lock and can simultaneously hold and process two wafers. One level of load lock is on the lower lever and the other level of load lock is on the upper level. At the load lock, the wafer entering the system enters a vacuum or controlled environment. The processed wafer also leaves the present system through the load lock 35 during its travel and leaves the vacuum state or other controlled state of the system and returns to the FOUP (not shown in FIG. 4). Once the wafer has completed its transition from the non-vacuum state to the vacuum state, the wafer is lifted on the arm 41 moving into the transfer chamber 32. One such arm can be seen, but the other arm is partially covered by the element of the first processing chamber on the left side. The visible arm is shown as transferring the wafer into the processing chamber 31 (or alternatively by removing the processed wafer in this chamber). The arm 41 moves along the inside of the transfer chamber through the linear rail 43. In this embodiment, the rails in the transfer chamber 32 hold the support arms above the floor of the transfer chamber 32. 4 also act as being outside the vacuum state through the walls of the enclosure of the transfer chamber 32. This generally provides rotational movement when extending the arm into the chamber or into the load lock 35, as well as providing linear movement in the arm 41. [ The arms can be used to move a wafer into or out of the transfer chamber 32 or to move a wafer into or out of the process chamber 31 or to move a wafer into or out of the load lock chamber 35 . By avoiding contact with the base of such a chamber, fewer particles are created, maintaining the environment in a more impure or particle free state. Further details of such a transfer system will be shown and described with reference to the following drawings. Also, although two arms are shown in Fig. 4, it can be easily seen that the system can have more than two arms on the rails and handle more than two wafer transfer devices at any time.

본 발명의 방법에 따르면, 지지 암 (41) 은 웨이퍼가 직선 라인에서만 이동하는 방식으로 회전 및 선형 운동의 조합을 이용하여 동작한다. 즉, 도 4 에 도시된 바와 같이, 암 (41) 은 이중 헤드 화살표 A 로 예시된 선형 운동과 이중 헤드 화살표 B 에 의해 예시된 회전 운동의 조합을 이용하여 이동한다. 그러나, 파선 BLl, BLm 및 BL 에 의해 도시된 것과 같이, 웨이퍼의 중심이 직선 라인 운동을 따르도록 운동이 프로그래밍된다. 이로 인해, 로드로크 (35) 와 챔버 (31) 의 모든 개구가 챔버의 직경보다 단지 약간 크게 된다. 또한, 이로 인해, 임의의 상황에 대해 예를 들어, 사용자 인터페이스 UI (도 3) 를 통해, 암 (41) 의 조합된 선형 아치형 운동이 제어기에 의해 활성화되도록 프로그래밍할 수 있으므로, 임의 타입 및 임의 조합의 챔버를 이송 챔버 (32) 에 부착할 수 있게 된다.According to the method of the present invention, the support arm 41 operates using a combination of rotational and linear motion in such a way that the wafer moves only on a straight line. 4, the arm 41 moves using a combination of the linear motion exemplified by the double head arrow A and the rotational motion exemplified by the double head arrow B, as shown in FIG. However, as shown by the dashed lines BLl, BLm and BL, the motion is programmed so that the center of the wafer follows the linear line motion. This causes all the openings of the load lock 35 and the chamber 31 to be only slightly larger than the diameter of the chamber. Also, because of this, it is possible to program the combined linear arcuate motion of the arm 41 to be activated by the controller, for example, via the user interface UI (Figure 3), for any situation, To be transferred to the transfer chamber 32.

본 발명의 일 방법에 따르면, 다음 프로세스는 제어기에 의해 실행되는 조합된 선형 아치형의 암 운동을 계산하도록 구현된다. 로드로크에 위치하는 것으로서 웨이퍼의 중심 위치가 결정된다. 부착된 처리 챔버의 각각 내부에 위치하는 것으로서 웨이퍼의 중심이 결정된다. 각각의 암의 피봇 지점이 결정된다 (아래에서 설명되는 바와 같이, 몇몇 실시형태에서 양쪽 암의 피봇 지점을 일치시킬 수도 있음). 이송 순서, 즉, 로드로크와 오직 하나 이상의 챔버 사이에서 각각의 웨이퍼를 이동시킬 필요가 있는지 여부가 결정된다. 이 값은 UI 를 이 용하여 제어기로 프로그래밍될 수 있다. 그 다음에, 각각의 암 상에 위치한 웨이퍼가 로드로크와 챔버에 대해 결정된 피봇 지점과 중심 사이에서 오직 직선에서 이동하도록, 각각의 암의 선형 및 회전 운동이 계산된다.According to one method of the present invention, the following process is implemented to calculate the combined linear arctic arm motion that is executed by the controller. The center position of the wafer is determined as being located in the load lock. The center of the wafer is determined as being located inside each of the attached process chambers. The pivot point of each arm is determined (in some embodiments, the pivot points of both arms may be matched, as described below). It is determined whether the transfer order, i. E. The need to move each wafer between the load lock and only one or more chambers is determined. This value can be programmed into the controller using the UI. The linear and rotational movements of each arm are then calculated such that the wafers located on each arm move only in a straight line between the load lock and the pivot point determined relative to the chamber and the center.

부분적으로는, 암 (41) 의 조합된 선형 아치형 운동을 단순화하기 위해, 일 실시형태에서는 본 발명의 다음 특징이 구현된다. 도 4 에서, 지지 암 (41) 들 중 하나, 구체적으로는, 도 4 에서 완전히 노출되는 암 (41) 은 암 연장부 (41') 에 연결되지만, 다른 암 (41) 은 내부 구동 및 지지 메커니즘 (45; 또한 도 5 및 도 6 참조) 에 직접 연결된다. 도시된 실시형태에서, 암 연장부 (41') 는 고정되는데, 즉, 구동 및 지지 메커니즘 (45) 의 선형 운동을 따를 뿐이지만, 회전될 수는 없다. 오히려, 회전 운동은 오직 암 연장부 (41') 의 단부에 고정된 암 (41) 에게 부과된다. 또한, 도시된 실시형태에서, 양쪽 암 (41) 의 회전 또는 피봇 지점의 중심이 일치하게 되도록, 즉, 도시된 바와 같이, 직선인 파선 BLm 이 양쪽 암 (41) 의 회전 또는 피봇 지점의 중심을 통과하도록, 암 연장부 (41') 가 고정된다. 또한, 도 5 의 실시형태에 도시된 바와 같이, 양쪽 암 (41) 의 회전 중심이 다른 암 위에서 정확히 일치하도록, 암 (41) 은 선형 방향으로 이동할 수도 있다. 이러한 설계를 통해, 2 개의 암 (41) 을 동일하게 제조할 수 있는데, 그 이유는, 동일 피봇 지점 중심선으로부터 동일하게 조합된 선형 아치형 운동을 따르기 때문이다.In part, in order to simplify the combined linear arcuate motion of the arms 41, the following features of the invention are embodied in one embodiment. 4, one of the support arms 41, in particular the arm 41 fully exposed in FIG. 4, is connected to the arm extension 41 ', while the other arm 41 is connected to the internal drive and support mechanism (See also Figures 5 and 6). In the illustrated embodiment, the arm extension 41 'is fixed, i.e. it follows the linear motion of the drive and support mechanism 45, but can not be rotated. Rather, the rotational motion is imposed only on the arm 41 fixed to the end of the arm extension 41 '. Further, in the illustrated embodiment, the center line of the rotation or pivot point of both arms 41, that is, as shown, a straight broken line BLm is located at the center of the rotation or pivot point of both arms 41 So that the arm extension 41 'is fixed. Further, as shown in the embodiment of Fig. 5, the arm 41 may move in a linear direction so that the rotation centers of both arms 41 exactly coincide with each other on the other arm. With this design, two arms 41 can be manufactured identically, since they follow linear arcuate movements equally combined from the same pivot point centerline.

이하, 도 5 를 참조하면, 도 5 는 내부 엘리먼트를 폐쇄하는 커버 없이, 로드로크 (35) 에서 시작하여 이송 챔버 (32) 의 개시점으로 계속되며, 제 1 처리 챔 버 (31) 를 포함하는, 시스템 (34) 의 부분들을 도시한다. 도 5 에는, 로드로크 (35) 상의 웨이퍼 (42) 가 암 (41) 상에 유지되는 것으로 도시되어 있다. 다른 암 (41) 은 처리 챔버 (31) 안으로 연장되는 것으로 도시되어 있다. 도시된 것과 같이, 독립적으로 행동하며 상이한 레벨에 있을 수도 있는 암들은 동시에 상이한 영역으로 연장될 수 있다. 그 암들은 로드로크로부터 시스템 안으로 이송 챔버 (32) 를 따라 웨이퍼를 이동시킨 다음에, 시스템 주위에서 처리 챔버로부터 처리 챔버로 이동시킨다. 결국에는, 그 암들은 웨이퍼를 처리 후에 이송 챔버를 따라 로드로크 (35) 안으로 이동시킨 다음에, 시스템 (34) 밖으로 이동시킨다. 그 다음에, 처리 완료 시, 웨이퍼는, 처리된 웨이퍼가 수집되는 로드로크로부터 FOUP 안으로 다시 전달될 수도 있다. 로드로크 또는 처리 챔버 내의 웨이퍼는 암 (41) 과 연관된 지지체 표면 상에서 들어올려짐으로써 이송된다. 지지체 표면에 있는 리프트 핀을 통해 웨이퍼를 들어올려, 웨이퍼 아래의 암 액세스가 암으로 하여금 웨이퍼를 들어올리게 하며 시스템에서의 다음 단계를 위해 웨이퍼를 이동시키게 하는 것을 허용한다. 다른 방법으로는, 이송 동안에 웨이퍼 아래에서 슬라이드하며 웨이퍼를 지지하는 선반의 성질을 갖는 구조를 사용하여, 웨이퍼를 지지 및 유지할 수도 있고, 챔버나 컴파트먼트에서 가져오거나 꺼낼 때에 암 (41) 으로부터 웨이퍼를 수용 및 해제할 수도 있다. 그 암들은 접촉 없이 서로 위와 아래를 통과하도록 배치되고, 서로를 통과할 수 있다. 그 암들은 내부 구동 및 지지 메커니즘 (45) 에 접속된다. 구동 및 지지 메커니즘 (45) 에는, 구동 및 지지 메커니즘이 이송 챔버 (32) 내에서 이동하는 선형 구동 트랙이 제공된다. 구동 및 지지 메커니즘 (45) 의 움직임은 모터와 같은 외부 구동기에 의해 일어난다. 일 형태의 구동으로 인해, 구동 및 지지 메커니즘 (45) 이 구동 트랙 (46) 을 따라 선형으로 이동하게 된다. 다른 형태의 구동으로 인해, 암 (41) 의 회전이 웨이퍼 (42) 를 그 시스템을 통해 그 시스템 안으로 이동시키는 동안에 이송 챔버 (32) 로부터 로드로크 (35) 또는 처리 챔버 (31) 안으로 연장시킬 수 있다. 각각의 암 (41) 이 다른 암과는 독립적으로 이동하며 행동하도록 각각의 구동 및 지지 메커니즘이 포지셔닝 이네이블을 독립적으로 행하는 개별 레일 (47; 레일 (47) 은 도 6 에 명확히 도시되어 있음) 이 구동 트랙 (46) 내에 있다. 처리 챔버 안으로 웨이퍼를 이동시키는 것은 그 선형 구동 경로에서 챔버 안으로 변하는 성질을 갖는다. 이는, 바람직한 실시형태에서 웨이퍼가 2 가지 형태의 운동을 동시에 경험하고 있기 때문에 일어난다. 이는 동시에 선형으로 이동되며 회전된다. 이송 챔버 (32) 의 진공 상태에서 이러한 메커니즘을 구동하는 다른 형태의 구동 메커니즘 또는 외부 모터의 이용은 둘러싸인 진공 영역 내의 원하지 않는 입자를 줄인다.Referring now to Figure 5, Figure 5 begins with a load lock 35 and continues to the beginning of the transfer chamber 32 without a cover closing the inner element, , And system 34 of FIG. In Fig. 5, the wafer 42 on the load lock 35 is shown held on the arm 41. Fig. The other arm 41 is shown extending into the processing chamber 31. As shown, the arms, which may act independently and may be at different levels, may be extended to different regions at the same time. The arms move the wafer along the transfer chamber 32 from the load lock into the system, and then move from the process chamber to the process chamber around the system. Eventually, the arms move the wafer into the load lock 35 along the transfer chamber after processing and then move it out of the system 34. Then, upon completion of processing, the wafer may be transferred back into the FOUP from the load lock from which the processed wafer is collected. The load lock or wafer in the process chamber is transferred by being lifted on the support surface associated with the arm 41. Lifting the wafer through the lift pins on the support surface allows the arm access below the wafer to cause the arm to lift the wafer and move the wafer for the next step in the system. Alternatively, the wafer may be supported and held using a structure having the nature of a shelf that slides under the wafer and transports the wafer during transfer, and may be moved from the arm 41 to the wafer < RTI ID = 0.0 > As shown in FIG. The arms are arranged to pass above and below each other without contact, and can pass through each other. The arms are connected to an internal drive and support mechanism 45. The drive and support mechanism 45 is provided with a linear drive track in which the drive and support mechanisms move within the transfer chamber 32. The movement of the drive and support mechanism 45 occurs by an external driver such as a motor. Due to one form of actuation, the drive and support mechanism 45 moves linearly along the drive track 46. Rotation of the arm 41 may cause the wafer 42 to extend from the transfer chamber 32 into the load lock 35 or into the process chamber 31 while moving the wafer 42 into the system through the system. have. A separate rail 47 (rail 47 is clearly shown in Fig. 6), in which each drive and support mechanism independently performs a positioning enable such that each arm 41 moves and moves independently of the other arm And is in the drive track 46. Moving the wafer into the process chamber has the property of changing into the chamber in its linear drive path. This occurs because, in the preferred embodiment, the wafer is experiencing two types of motion simultaneously. Which are simultaneously moved and rotated in a linear fashion. The use of other types of drive mechanisms or external motors to drive these mechanisms in the vacuum state of the transfer chamber 32 reduces unwanted particles in the enclosed vacuum region.

이하, 도 6 을 참조하면, 본 발명의 바람직한 실시형태에서 사용되는 구동 시스템이 도시되어 있다. 도 6 에서, 구동 트랙 (46) 의 레일 (47) 은 각각 독립적으로 보일 수 있다. 또한, 지지 암 (41) 들 중 하나의 지지 암 상에 웨이퍼 (42) 가 있는 것으로 도시되어 있다. 도 6 에서 다른 지지 암은 단순히 연장되는 것으로 도시되어 있다. 구동 및 지지 메커니즘 (45) 은 각각 레일 (47) 들 중 하나의 레일을 탄다. 이로 인해, 상이한 레벨에서 암 (41) 의 포지셔닝 이 용이해 진다. 구동 및 지지 메커니즘 (45) 각각의 베이스에는 자기 헤드 또는 자기 연결 종동부 (48; magnetically-coupled follower) 가 위치한다. 자기 헤드 (48) 로부터 이격되어 자기 구동기 (50) 가 위치한다. 자기 헤드 (48) 는 이송 챔버의 진공 상태 내에 위치하고, (도 7 에 53 으로서 도시된) 진공 챔버의 벽은 자기 헤드 (48) 와 구동기 (50) 사이에서 자기 헤드 (48) 각각 아래를 통과한다. 따라서, 구동기 (50) 는 이송 챔버 (32) 의 진공 벽 외부에 있다. 상술한 바와 같이, 암 (41) 은 처리 시스템 안으로 처리 시스템을 통해 웨이퍼 (42) 를 이동시키고, 암 (41) 은 서로에 대해 독립적으로 이동한다. 이들 암 (41) 은 구동기 (50) 및 자기 헤드 (48) 를 포함한 자기 커플러 장치에 의해 구동된다. 커플러는 선형 운동과 회전 운동 모두를 암 (41) 에 부여한다. 구동기 (50) 는 진공 상태의 외부에 위치하며 레일 시스템의 양쪽에 나타나는 외부 레일 (51) 을 탄다. 일 세트는 마주보는 관계에서 보이지만, 다른 꼭 맞는 레인은 반대 측에 나타난다. 암의 회전은 자기 커플러를 통해 이송되고, 회전 모터 (52) 에 의해 구동된다. 도 6 에서 자기 연결이 선형 움직임 및 회전을 위해 이용되는 것으로서 도시되어 있지만, 별도의 자기 커플러와 구동기를 이용할 수도 있다는 것을 알 수 있다. 따라서, 동일한 커플러를 통해 선형 및 회전 움직임을 이송하는 것이 바람직하지만, 선형 움직임을 위해 별도의 커플러를 이용하고 회전 운동을 위해 다른 세트를 이용하는 것도 가능하다.Referring now to Figure 6, a drive system for use in a preferred embodiment of the present invention is shown. In Fig. 6, the rails 47 of the drive track 46 may be seen independently of each other. It is also shown that there is a wafer 42 on one of the support arms 41. In Figure 6, the other support arm is shown as simply extending. The drive and support mechanism 45 rides on one of the rails 47, respectively. This facilitates the positioning of the arms 41 at different levels. A magnetic head or magnetically-coupled follower (48) is located at the base of each of the drive and support mechanisms (45). The magnetic driver 50 is located apart from the magnetic head 48. [ The magnetic head 48 is located within the vacuum of the transfer chamber and the wall of the vacuum chamber (shown as 53 in Figure 7) passes underneath each of the magnetic heads 48 between the magnetic head 48 and the actuator 50 . Thus, the actuator 50 is outside the vacuum wall of the transfer chamber 32. As discussed above, the arms 41 move the wafers 42 through the processing system into the processing system, and the arms 41 move independently of one another. These arms 41 are driven by a magnetic coupler device including a driver 50 and a magnetic head 48. The coupler imparts both the linear motion and the rotational motion to the arm (41). The driver 50 is located outside the vacuum state and rides on the outer rails 51 which appear on both sides of the rail system. One set appears in a facing relationship, but the other matching lane appears on the opposite side. The rotation of the arm is transmitted through the magnetic coupler and is driven by the rotation motor 52. Although the magnetic connection is shown in Fig. 6 as being used for linear movement and rotation, it can be seen that a separate magnetic coupler and driver may be used. Thus, while it is desirable to deliver linear and rotary motion through the same coupler, it is also possible to use separate couplers for linear motion and use different sets for rotary motion.

처리 스테이션 (31) 에 있는 스톱을 포함한 이송 챔버 (32) 를 통해 웨이퍼를 이동 및 조작하는데 이용될 수도 있는 일 타입의 암은 스카라 (selective compliant articulated assembly robotic arm) 로서 설명된 약칭인 SCARA 로봇으로 지칭된다. SCARA 시스템은 그 시스템이 대체하게 될 데카르트 시스템보다 고속이며 명료한 경향이 있다.One type of arm that may be used to move and manipulate the wafer through the transfer chamber 32 including the stops in the processing station 31 is the SCARA robot, abbreviated as SCARA (selective compliant articulated assembly robotic arm) do. The SCARA system tends to be faster and clearer than the Cartesian system that the system will replace.

또한, 자기 구동 시스템과 관련하여 부하 (load) 팩터를 줄이고/줄이거나 제거하기 위해, 운동 연결 자석에 의해 생성된 인력을 줄이게 될 반발 자석을 포함할 수 있다. 회전 및 선형 운동을 진공 상태 안으로 결합하는 자석은 상당한 양의 인력을 갖는다. 이는 그 부분을 지지하는 기계 메커니즘에 부하를 가한다. 고부하는 보다 짧은 베어링 수명과 보다 많은 입자 생성을 의미한다. 서로 반발하는 별도의 장치에 또는 자기 커플러에 위치한 자석을 이용함으로써, 인력을 줄일 수 있다. 사실상, 자기 커플러 내부에서, 가장 안쪽의 자석은 연결 강성도 (stiffness) 를 달성하는데 있어서 중요하지 않다. 그러나, 이들 내부 자석을 이용하여, 커플러의 직경 주위의 교번하는 N-S 위치에 배치된 인력에서 이용되는 연결 자석으로 반발력을 생성할 수 있다.It may also include a rebound magnet that will reduce the force generated by the kinematic connecting magnet to reduce / reduce the load factor associated with the magnetic drive system. Magnets that combine rotational and linear motion into a vacuum have a considerable amount of attraction. Which places a load on the mechanical mechanism supporting that part. Higher bearing life means shorter bearing life and more particles. By using a magnet located in a separate device that repels each other or in a magnetic coupler, the attractive force can be reduced. In fact, inside the magnetic coupler, the innermost magnet is not critical in achieving connection stiffness. However, by using these inner magnets, it is possible to generate a repulsive force with the connecting magnet used in the attraction disposed at the alternate N-S positions around the diameter of the coupler.

물론, 둘러싸인 챔버 내의 입자 먼지에 관심이 없으면, 구동 메커니즘은 둘러싸인 챔버 내에 포함될 수도 있다는 것을 알 수 있다.Of course, it will be appreciated that if the particle dust in the enclosed chamber is not interested, then the drive mechanism may be contained within the enclosed chamber.

이하, 도 7 을 참조하면, 커버 없이 추적 및 구동 시스템의 측면도가 도시되어 있다. 도 7 에서, 진공 벽 또는 진공 파티션 (53) 은 암 (41) 의 위치를 구동 및 제어하는 자기 커플러 (48 및 50) 사이의 위치에 있는 것으로 도시되어 있다. 구동 트랙 (46) 은 레일 (47) 을 둘러싸고, 이 레일 (47) 은 외부 레일 (51) 에 의해 부여된 선형 운동을 제공하여 메커니즘 (45) 을 구동 및 지지 메커니 즘 (45) 에 제공함으로써 암 (41) 에 제공한다. 회전 운동은 회전 모터 (52) 에 의해 부여된다. 도 7 에서, Va 로 표시된 측면은 진공 상태에 있지만, At 로 표시된 측면은 대기 상태에 있다. 도 7 에 도시된 바와 같이, 자기 커플러 (50) 는 회전 모터 (52) 에 의해 구동되고, 커플러 (48) 로 하여금 진공 파티션 (53) 을 가로지르는 자기 연결로 인한 동일 회전 운동을 따르게 한다. 그러나, 자기 연결에서의 히스테리시스로 인해, 암의 회전 운동의 정확도는 열화될 수도 있다. 사실상, 암의 길이로 인해, 커플러 (48 내지 50) 내의 작은 각도 에러는 암 (41) 의 단부에 위치하는 웨이퍼의 상당한 변위를 일으킬 수도 있다. 또한, 암의 길이 및 중량으로 인해, 또한, 암이 웨이퍼를 지지하는지 여부에 따른 중량의 변화로 인해, 과도 운동이 허용 가능하지 않은 시간 길이 동안 지속될 수도 있다. 이들 문제를 회피하기 위해, 감속 기어 (55; 종종, 속도 감속기 또는 기어 감속기로 지칭됨) 는 커플러 (48) 와 회전 커플러 (56) 또는 암 (41) 사이에 개재된다. 속도 감속기는 동력에 의해 로봇 암에 부여되는 운동 속도를 줄이기 위한 것이다. 기어 감속기 (55) 는 자기 커플러 (48) 의 회전을 그 입력으로 갖고, 보다 느린 회전 속도로 출력을 제공하여 모터 (52) 의 회전 속도보다 느린 회전 속도로 암 (41) 을 작동시킨다. 본 특정 예에서, 기어 감속기는 50 : 1 의 감속 비를 갖도록 설정된다. 이는 암 (41) 의 각도 배치의 정확를 크게 증가시키고, 과도 운동을 줄이며, 당해 기술분야의 구동 어셈블리의 관성 모멘트를 줄인다.Referring now to Figure 7, a side view of the tracking and drive system is shown without a cover. In Figure 7, the vacuum wall or vacuum partition 53 is shown as being in a position between the magnetic couplers 48 and 50 that drive and control the position of the arm 41. The drive track 46 surrounds the rail 47 which provides a linear motion imparted by the outer rails 51 to provide the mechanism 45 to the drive and support mechanism 45 To the arm (41). The rotary motion is imparted by the rotary motor 52. [ In Fig. 7, the side indicated by Va is in the vacuum state, but the side indicated by At is in the standby state. As shown in FIG. 7, the magnetic coupler 50 is driven by a rotary motor 52 and causes the coupler 48 to follow the same rotational motion due to magnetic coupling across the vacuum partition 53. However, due to hysteresis in the magnetic connection, the accuracy of the rotary motion of the arm may deteriorate. In fact, due to the length of the arms, a small angular error in the couplers 48-50 may cause a significant displacement of the wafer located at the end of the arm 41. In addition, due to the length and weight of the arm, also due to the change in weight depending on whether the arm supports the wafer, transient motion may last for an unacceptable length of time. To avoid these problems, a reduction gear 55 (often referred to as a speed reducer or a gear reducer) is interposed between the coupler 48 and the rotary coupler 56 or the arm 41. The speed reducer is intended to reduce the speed of motion given to the robot arm by the power. The gear reducer 55 has the input of rotation of the magnetic coupler 48 as its input and provides an output at a slower rotational speed to operate the arm 41 at a rotational speed that is slower than the rotational speed of the motor 52. [ In this particular example, the gear reducer is set to have a deceleration ratio of 50: 1. This significantly increases the accuracy of angular placement of the arms 41, reduces transient movements, and reduces the inertial moment of the drive assembly of the art.

도 7 에서, 감속 기어 어셈블리 (55) 는 베이스 (49) 상에 탑재된다. 베이스 (49) 는 비동력이고, 선형 레일 (47) 을 자유롭게 탄다. 한편, 회전 모터 (52) 는 베이스 (54) 상에 탑재되고, 기계적 동력을 이용하여 선형 레일 (51) 을 탄다. 기계적 동력은 베이스 (54) 를 선형으로 이동시키기 때문에, 자기 커플러 (50) 과 자기 종동기 (48) 사이에서 연결되는 자기는 선형 운동을 그 자유롭게 타는 베이스 (49) 에 전달하여, 암 (41) 을 선형으로 이동시킨다. 그 결과, 이러한 구성은, 모든 동력 운동, 즉, 선형 및 회전 운동이 대기 조건에서 수행되며, 어떠한 동력 시스템도 진공 환경 내에 상주하지 않는다는 점에서 이점이 있다. 이하, 대기 상태의 동력 운동 및 진공 상태의 자유로운 비동력 운동에 대한 다양한 실시형태들을 예시로서 설명한다.In Fig. 7, the reduction gear assembly 55 is mounted on the base 49. Fig. The base 49 is non-powered and freely rides the linear rail 47. On the other hand, the rotary motor 52 is mounted on the base 54 and rides on the linear rail 51 using mechanical power. Since the mechanical power moves the base 54 in a linear manner, the magnet connected between the magnetic coupler 50 and the magnetic coupler 48 transmits the linear motion to the freely riding base 49, As shown in FIG. As a result, this arrangement is advantageous in that all power motions, i.e., linear and rotational motions, are performed in atmospheric conditions, and that no power system resides within the vacuum environment. Hereinafter, various embodiments for a power state in a standby state and a free non-powered state in a vacuum state will be described as an example.

도 7a 는 선형 운동 어셈블리의 일 예를 도시한다. 도 7a 에서, 벨트 또는 체인 드라이브는 베이스 (54) 에 연결된다. 벨트 또는 체인 (58) 은 회전자 (59) 를 타고, 그 회전자 (59) 중 하나에 동력을 공급하여, 화살표 C 에 의해 도시된 것과 같이, 양쪽 방향으로 운동을 부여한다. 선형 운동을 제어하기 위해, 인코더 (57a) 는 베이스 (54) 의 선형 운동을 식별하는 신호를 제어기에 송신한다. 예를 들어, 인코더 (57a) 는 선형 트랙 (46) 상에 제공된 인코딩을 판독하는 광학 인코더일 수도 있다. 또한, 회전 인코더 (47b) 는 모터 (52) 상에 제공되고, 또한 제어기에 회전 운동의 인코딩을 송신한다. 웨이퍼의 중심선이 직선에서만 움직이도록, 이들 회전 및 선형 운동의 판독을 이용하여, 암 (41) 의 회전 및 선형 운동을 제어할 수도 있다.7A shows an example of a linear motion assembly. In FIG. 7A, the belt or chain drive is connected to the base 54. The belt or chain 58 rides on the rotor 59 and powers one of its rotors 59 to impart motion in both directions, To control the linear motion, the encoder 57a sends a signal to the controller that identifies the linear motion of the base 54. For example, the encoder 57a may be an optical encoder that reads the encoding provided on the linear track 46. [ The rotary encoder 47b is also provided on the motor 52 and also transmits the encoding of the rotary motion to the controller. The rotation and linear motion of the arm 41 may be controlled using the reading of these rotational and linear motions so that the center line of the wafer moves only in a straight line.

도 7b 는 선형 운동 어셈블리의 다른 실시형태를 도시한 도 4 의 선 A-A 근방의 단면도이다. 도 7c 에서, 구동 트랙 (46) 은 레일 (47) 을 지지하고, 이 레일 (47) 을 휠 (61 및 62) 이 탄다. 이들 휠은 개선된 인력을 제공하도록 자화될 수도 있다. 휠 (61, 62) 은 베이스 (54) 에 연결되고, 이 베이스 (54) 상에 회전 모터 (52) 가 장착된다. 선형 모터 (63) 는 베이스 (54) 의하부에 장착되고, 구동 트랙 (46) 상에 장착되는 자석 어레이 (64) 와 상호 작용한다. 선형 모터 (63) 는 자석 (64) 과 상호 작용하여 페이지의 안쪽 및 바깥 쪽 방향으로 베이스 (54) 를 이동시키도록 선형 원동력을 부여한다. 베이스 (54) 의 선형 운동은 인코더 (57b) 에 의해 모니터링 및 리포팅되고, 이 인코더 (57b) 는 트랙 (46) 상에 제공되는 위치/운동 인코딩 (57c) 을 판독한다. 본 특정 실시형태에서, 인코더 (57b) 는 1 인치의 5000 분의 1 의 정밀도를 갖는다.Figure 7B is a cross-sectional view of the vicinity of line A-A of Figure 4 showing another embodiment of a linear motion assembly. 7C, the drive track 46 supports the rails 47 and the wheels 61 and 62 ride on the rails 47. These wheels may be magnetized to provide an improved workforce. The wheels 61 and 62 are connected to a base 54 and a rotary motor 52 is mounted on the base 54. [ The linear motor 63 is mounted at the bottom of the base 54 and interacts with the magnet array 64 mounted on the drive track 46. The linear motor 63 interacts with the magnets 64 to impart a linear driving force to move the base 54 inward and outward of the page. The linear motion of the base 54 is monitored and reported by the encoder 57b and the encoder 57b reads the position / motion encoding 57c provided on the track 46. [ In this particular embodiment, the encoder 57b has a precision of 1/5000 of an inch.

도 7c 는 대기 상태에서의 선형 추적 및 진공 상태에서의 선형 추적의 일 예를 도시하는 단면도이다. 진공 측은 VA 로 표시되지만, 대기 측은 AT 로 표시되며, 챔버 벽 (32) 과 함께 진공 파티션 (53) 은 2 개의 측면 사이에서 분리된다. 대기 측에서, 라이더 (61) 는 선형 트랙 (47) 을 탄다. 이 측이 대기 상태에 있으므로, 진공 측에서와 같이 입자 생성이 중요한 것은 아니다. 따라서, 라이더 (61) 는 휠을 포함할 수도 있고, 또는 단순히 테프론과 같은 슬라이딩 재료로 이루어질 수도 있다. 베이스 (54) 는 슬라이더 (61) 에 부착되고, 자기 커플러 (50) 를 회전시키는 회전 모터를 지지한다. 진공 측에서, 선형 트랙 (78) 은 슬라이딩 베어링 (73) 을 수용하도록 이루어지고, 이 슬라이딩 베어링 (73) 은 커플러 (72) 를 통해 베이스 (70) 에 부착된다. 이들은 스테인레스 스틸로 이루어질 수도 있고, 입자 생성을 최소화하도록 제조되어야 한다. 또한, 커버 (74 및 76) 는 베어링 어셈블리의 컨파인먼트 내에 생성된 임의의 입자를 유지하도록 제공된다. 베이스 (70) 는 베어링 어셈블리 너머로 연장되고, 기어 감속기 (55) 를 지지하는데, 이 기어 감속기 (55) 는 자기 종동부 (48) 에 연결된다.7C is a cross-sectional view showing an example of linear tracking in the atmospheric state and linear tracking in the vacuum state. The vacuum side is denoted by VA, while the atmospheric side is denoted by AT, and the vacuum partition 53 together with the chamber wall 32 is separated between the two sides. At the atmosphere side, the rider 61 rides on the linear track 47. Since this side is in the standby state, particle generation is not important as in the vacuum side. Thus, the rider 61 may comprise a wheel, or simply a sliding material such as Teflon. The base 54 is attached to the slider 61 and supports a rotating motor for rotating the magnetic coupler 50. On the vacuum side, the linear track 78 is configured to receive a sliding bearing 73, which is attached to the base 70 via a coupler 72. They may be made of stainless steel and must be manufactured to minimize particle generation. In addition, the covers 74 and 76 are provided to hold any particles created within the confinement of the bearing assembly. The base 70 extends beyond the bearing assembly and supports the gear reducer 55, which is connected to the magnetic follower 48.

도 7d 는 대기 상태에서의 선형 트랙 및 진공 상태에서의 선형 트랙의 다른 예를 도시한다. 도 7d 에서, 대기 측은 도 7c 와 동일하게 구성될 수도 있다. 그러나, 오염을 최소화하기 위해, 진공 측에서는, 슬라이더 베어링 대신에 자기 부상이 이용된다. 도 7d 에 도시된 것과 같이, 능동 전자기 어셈블리 (80) 는 영구 자석 (82) 과 협력하여 자기 부상을 형성하며 베이스 (70) 의 자유로운 선형 움직임을 허용한다. 특히, 영구 자석 (82) 은 빈 공간 (84) 을 유지하고, 전자석 어셈블리 (80) 와 접촉하지 않는다. 베이스 (54) 가 슬라이더 (61) 로 선형으로 이동함에 따라, 커플러 (50) 와 종동부 (48) 간의 자기 연결은 부상된 베이스 (70) 에 선형 운동을 부여한다. 이와 유사하게, 커플러 (50) 의 회전은 종동부 (48) 의 회전을 일으키고, 이 종동부 (48) 는 그 회전을 기어 감속기 (55) 에 전달한다.7D shows another example of a linear track in a standby state and a linear track in a vacuum state. In Fig. 7D, the standby side may be configured similarly to Fig. 7C. However, in order to minimize contamination, on the vacuum side, a magnetic levitation is used instead of a slider bearing. 7D, the active electromagnetic assembly 80 cooperates with the permanent magnets 82 to form a magnetic levitation and allows for a free linear movement of the base 70. As shown in FIG. In particular, the permanent magnet 82 holds the void 84 and does not contact the electromagnet assembly 80. As the base 54 moves linearly to the slider 61, the magnetic connection between the coupler 50 and the follower 48 imparts a linear motion to the lifted base 70. [ Similarly, rotation of the coupler 50 causes rotation of the follower 48, which transmits its rotation to the gear reducer 55.

이하, 도 8 을 참조하면, 본 발명에 따른 처리 시스템이 도시되어 있다. 도 3 의 경우에서와 같이, EFEM (33) 은 처리 챔버 (31) 를 포함한 시스템 (34) 에 대한 프리젠테이션을 위해 웨이퍼를 수용 및 저장하고, 본 실시형태에서, 이 처리 챔버 (31) 는 먼저 로드로크 (35) 로 웨이퍼를 이송한 다음에 전송 또는 이송 챔버 (32) 를 따라 이송함으로써 스퍼터 퇴적이 일어나는 챔버를 도시하기 위한 것이다. 그 다음에, 처리된 웨이퍼는 이송 챔버 (32) 를 따라 로드로크 (35) 로 다시 피 딩되고, 그 다음에, EFEM (33) 으로 시스템 밖으로 피딩된다.Referring now to Figure 8, a processing system according to the present invention is shown. 3, the EFEM 33 receives and stores wafers for presentation to the system 34, including the processing chamber 31, and in this embodiment, the processing chamber 31 is first Is intended to show the chamber in which sputter deposition takes place by transferring the wafer to the load lock 35 and then transferring along the transfer or transfer chamber 32. The processed wafer is then fed back to the load lock 35 along the transfer chamber 32 and then fed to the EFEM 33 out of the system.

이하, 도 9 를 참조하면, 본 발명에 따른 8 개의 스테이션 처리 시스템이 도시되어 있다. EFEM (33) 은 웨이퍼를 로드로크 (35) 에 피딩한다. 그 다음에, 웨이퍼는 이송 챔버 (32) 를 따라 이송 챔버 (32) 로부터 처리 챔버 (31) 로 이동한다. 도 9 에서, 이송 챔버의 양쪽 세트는 중심 영역에 위치하고, 처리 챔버 (31) 는 그 외부 측에 위치한다. 도 10 에서, 일 세트의 처리 챔버가 다음 세트의 복제본이 되도록 처리 섹션 모두가 정렬된다. 이와 같이, 본 시스템의 처리 챔버는 병렬로 정렬된다.Referring now to Figure 9, there is shown an eight station processing system in accordance with the present invention. The EFEM 33 feeds the wafer to the load lock 35. The wafer is then transferred from the transfer chamber 32 to the process chamber 31 along the transfer chamber 32. In Figure 9, both sets of transfer chambers are located in the central region and the processing chamber 31 is located on the outer side thereof. In Figure 10, all of the processing sections are aligned such that a set of processing chambers is the next set of replicas. As such, the process chambers of the present system are aligned in parallel.

다른 변형예가 용이하게 가능하며 쉽게 고안된다. 예를 들어, 도 9 및 도 10 에 도시된 것과 같이 처리 챔버를 정렬하는 대신에, 처리 챔버는 일 세트가 다른 세트 위에 위치하거나 일 세트가 다른 세트 다음에 위치할 수 있다. 일 세트가 다른 세트 다음에 정렬되면, 제 2 세트가 제 1 세트 다음 라인에 계속되거나 제 2 세트가 제 1 세트와 일정 형태의 각도로 설정될 수 있도록, 그 세트들을 정렬할 수 있다. 이송 챔버가 챔버의 각 측에 웨이퍼를 피딩할 수 있으므로, 2 개 세트의 프로세서는 단일 이송 챔버 주위에 설정되어 동일 이송 챔버에 의해 피딩될 수 있다 (이전 도면들을 참조하여 설명된 것과 같이 참조부호가 동일 항목을 지정하는 도 11a 참조. 도 11a 및 도 11b 에는 상술한 것과 같이 이송 챔버 (32) 에서 처리 챔버 (31) 를 분리하는 밸브 (39) 의 표시가 부가되어 있다는 것에 주목하자). 제 2 세트의 프로세서가 제 1 세트의 프로세서의 연속이면, 종종 시스템을 따라 부가적인 로드로크를 포지셔닝하는 것에 대한 이점이 존재할 수 있다. 물론, 멀리 있는 단부에 EFEM 을 부가하고 EFEM 앞에 로드로크를 배치하여, 웨이퍼가 일 단부로 들어가며 다른 단부에서 나가는 직선으로 이동할 수 있도록 하는 것이 가능하다 (도 11b 참조, 또한 참조부호는 이전 도면들에서와 같이 동일 항목을 지정함). 후자의 경우에, 어느 한쪽 또는 양쪽 단부(들)로 들어가거나 나가도록 웨이퍼를 프로그래밍할 수 있다. 또한, 처리 챔버 사이에서 간격을 두고 또는 불규칙한 간격으로 이송 챔버를 따라 처리 챔버를 배치하는 것도 가능하다. 본 형태에 있어서, 그 주요 특징은, 시스템에 대한 컴퓨터 제어에 의해 지시된 것과 같이 필요에 따라 개별 처리 챔버로 웨이퍼를 피딩할 수 있도록 처리 챔버를 포지셔닝하는 것이 될 것이다.Other variations are readily possible and easily devised. For example, instead of aligning the process chambers as shown in FIGS. 9 and 10, the process chambers may be located on one set or on one set after the other. If one set is aligned after another set, the second set may be continued to the next line of the first set or the second set may be set to an angle with the first set at an angle. Because the transfer chamber can feed wafers to each side of the chamber, the two sets of processors can be set around a single transfer chamber and fed by the same transfer chamber (as described with reference to previous figures, See FIG. 11A designating the same item. Note that in FIGS. 11A and 11B, an indication of the valve 39 separating the process chamber 31 from the transfer chamber 32 is added as described above). If the second set of processors is a continuation of the first set of processors, there may often be an advantage in positioning additional load locks along the system. Of course, it is possible to add the EFEM to the far end and place the load lock in front of the EFEM so that the wafer can go into one end and move to the outgoing straight line at the other end (see also Fig. 11b, And specify the same items as in FIG. In the latter case, the wafer can be programmed to enter or exit either or both ends (s). It is also possible to arrange the process chambers along the transfer chamber at intervals or at irregular intervals between the process chambers. In this aspect, the main feature will be to position the process chamber so that it can feed the wafer into the individual process chambers as required, as indicated by computer control of the system.

종래 기술에는 각 챔버가 나란히 두 개의 웨이퍼를 처리하도록 구성되어 있는 탠덤 처리 챔버를 갖는 것이 공지되어 있다. 그러나, 이러한 종래 기술 시스템은 서로로부터 설정된 거리만큼 떨어져 있는 2 개의 웨이퍼를 항상 로딩하기 위해 구성되는 메인프레임과 로봇을 이용한다. 즉, 종래 기술의 탠덤 로딩 로봇의 2 개의 암은 개별적으로 제어될 수 없으며, 서로로부터 고정된 거리만큼 떨어져 설정된다. 결과적으로, 메인프레임, 로드로크 및 챔버 구성은 이 동일한 거리만큼 떨어져 있는 2 개의 웨이퍼를 수용하도록 제한된다. 더욱이, 시스템 내의 모든 구성요소, 즉 로드로크, 로봇 암, 챔버의 척 등이 정확하게 동일한 거리로 떨어져 있도록 조정되는 것이 확실하도록 주의해야 한다. 이는 시스템 설계, 조정, 및 유지보수에 큰 제한을 두게 되며, 부담을 주게 된다. It is known in the prior art to have a tandem process chamber in which each chamber is configured to process two wafers side by side. However, this prior art system uses a main frame and a robot, which are configured to always load two wafers separated by a predetermined distance from each other. That is, the two arms of the prior art tandem loading robot can not be controlled individually and are set a fixed distance apart from each other. As a result, the mainframe, loadlock, and chamber configurations are limited to accommodate two wafers that are the same distance apart. Furthermore, care should be taken to ensure that all components in the system, such as load locks, robot arms, chucks, etc., of the chamber are precisely offset to the same distance. This places great constraints on system design, tuning, and maintenance, which is a burden.

혁신적인 메인프레임 시스템은 증가된 설계의 자유와, 조정 및 유지보수를 위한 감소된 필요조건으로 탠덤 챔버를 수용하는 것이 용이하도록 구성될 수 있다. 도 12 는 탠덤형 처리 챔버에 적용되는 바와 같은 혁신적인 메인프레임 시스템의 예를 도시하고 있다. 그 메인프레임은 서로 독립적으로 이동하는 로봇 암 (1241, 1243) 을 갖는 선형 이송 챔버 (1232) 및, 단일 적층 로드로크 챔버 (1235) 를 포함한다. 이 혁신적인 메인프레임의 다기능을 설명하기 위해 이 예에서, 단일 적층, 즉 비탠덤 로드로크 챔버 (1235) 가 도시되어 있다. 명백히, 탠덤 챔버를 위해 설계된 메인프레임이 탠덤 로드로크를 가져야만 하는 종래 기술과는 달리, 여기서는 로봇 암이 독립적으로 작동되기 때문에, 로봇 암은 단일 적층 로드로크로부터 탠덤 처리 챔버 상에 웨이퍼를 로딩할 수 있다. 예컨대, 2 개의 웨이퍼가 로드로크 (1235) 내에서 상하로 위치될 수 있어, 한 암은 하부 웨이퍼를 취하고, 다른 암은 상부 웨이퍼를 취하게 된다. 그 후 각 암은 탠더 챔버의 한 측에 그 웨이퍼를 위치시킨다. 이 예의 혁신적인 특징에 따라서, 각 로봇은 탠덤 처리 챔버의 임의의 측에 기판을 위치시킬 수 있다. 즉, 로봇 암과 챔버 사이의 1 대 1 대응, 즉 로봇의 오른쪽 암만이 탠덤 챔버의 오른쪽에 로딩할 수 있는 종래 기술과는 달리, 여기서는 어떤 쪽 암도 탠덤 챔버의 어떠한 쪽에도 로딩할 수 있다. The innovative mainframe system can be configured to facilitate increased design freedom and accommodate the tandem chamber with reduced requirements for adjustment and maintenance. Figure 12 shows an example of an innovative mainframe system as applied to a tandem-type processing chamber. The mainframe includes a linear transport chamber 1232 having robot arms 1241 and 1243 moving independently of each other, and a single stacked load lock chamber 1235. To illustrate the versatility of this innovative mainframe, in this example, a single stack, i. E., A tandem load lock chamber 1235 is shown. Obviously, unlike the prior art, where the mainframe designed for the tandem chamber must have a tandem load lock, since the robot arm is operated independently here, the robot arm loads the wafers from the single stacked load lock onto the tandem processing chamber . For example, two wafers may be positioned up and down within the load lock 1235 such that one arm takes the lower wafer and the other arm takes the upper wafer. Each arm then places the wafer on one side of the tinder chamber. According to an innovative feature of this example, each robot can position the substrate on any side of the tandem processing chamber. In other words, unlike the prior art, in which a one-to-one correspondence between the robot arm and the chamber, that is, only the right arm of the robot can be loaded to the right of the tandem chamber, here one arm can be loaded on either side of the tandem chamber.

도 12 의 예에서, 5 개의 챔버 (1201, 1203, 1205, 1207, 1209) 는 이송 챔버 (1232) 상에 탑재된다. 각 챔버 (1201, 1203, 1205) 는 2 개의 기판을 동시에 처리하기 위해 구성된 탠덤 챔버를 형성한다. 챔버 (1201, 1205) 는 상부 커버가 있는 상태로 도시되어 있으며, 챔버 (1203) 는 상부 커버가 제거된 상태로 도시되어 있다. 혁신적인 메인프레임의 한 장점은,각 탠덤 처리 챔버의 피치, 즉 중심 간 거리가 서로 일치될 필요가 없다는 것이다. 예컨대, 거리 (X) 로 나타낸 챔버 (1205) 의 피치는 거리 (Y) 로 나타낸 챔버 (1203) 의 피치와 동일할 필요가 없다. 더욱이, 각 로봇은 메인프레임 상에 탑재된 각 챔버의 각 처리 영역의 중심을 인지하도록 훈련될 수 있으므로, 각 로봇 암은 임의의 처리 영역에 웨이퍼를 전달할 수 있고, 그 중심에 정확하게 웨이퍼를 위치시킬 수 있다. 더욱이, 종래 기술의 시스템에서는 단일 밸브가 탠덤 챔버와 로드로크를 위해 제공되어야만 하지만, 여기서는 로봇 암이 독립적이므로, 각 처리 구역은 챔버 (1201) 를 위해 그 독립적인 고립 밸브 (1251, 1253 으로 나타냄) 를 가질 수 있으며, 또는 단일 밸브 (1255로 나타냄) 가 챔버 (1203) 를 위해 사용될 수 있다. In the example of FIG. 12, five chambers 1201, 1203, 1205, 1207, and 1209 are mounted on the transfer chamber 1232. Each chamber 1201, 1203, and 1205 forms a tandem chamber configured to process two substrates simultaneously. The chambers 1201 and 1205 are shown with the top cover, and the chamber 1203 is shown with the top cover removed. One advantage of the innovative mainframe is that the pitch of each tandem process chamber, i.e., the center-to-center distance, need not match each other. For example, the pitch of the chamber 1205 indicated by the distance X need not be the same as the pitch of the chamber 1203 indicated by the distance Y. [ Furthermore, each robot can be trained to recognize the center of each processing region of each chamber mounted on the mainframe, so that each robot arm can transfer the wafer to any processing region and accurately position the wafer at its center . Moreover, in the prior art system, a single valve must be provided for the tandem chamber and the load lock, but since the robot arm is independent here, each processing zone has its own isolation valves 1251, 1253 for the chamber 1201, Or a single valve (denoted 1255) may be used for the chamber 1203.

탠덤 챔버를 이용하는 한 장점은 각 2 개의 탠덤 처리 영역 사이에서 공급원을 공유할 수 있다는 것이다. 예컨대, 챔버 (1201) 의 2 개의 처리 구역은 처리 가스원 (1210) 및 진공 펌프 (1212) 를 공유한다. 즉, 각 처리 구역이 그 자신의 가스 분배 기구 (1214, 1216), 예컨대 샤워헤드 및 관련 요소를 가지며, 한편으로 2 개의 처리 구역의 가스 분배 기구는 동일한 가스원 (1210), 예컨대 가스 스틱에 연결된다. 진공 펌프 (1212) 는 두 처리 구역에 이르는 배기 매니폴더에 연결될 수 있으므로, 두 구역은 동일한 압력으로 유지된다. 다른 요소, 즉 RF 원은 두 처리 구역에 공통될 수 있으며, 또는 각 구역에 독립적으로 제공될 수 있다. One advantage of using a tandem chamber is that it can share the source between each of the two tandem processing regions. For example, two processing zones of the chamber 1201 share a process gas source 1210 and a vacuum pump 1212. That is, each processing zone has its own gas distribution mechanism 1214, 1216, e.g., a showerhead and associated elements, while the gas distribution mechanisms of the two processing zones are connected to the same gas source 1210, do. Since the vacuum pump 1212 can be connected to the exhaust manifold leading to the two processing zones, the two zones are maintained at the same pressure. Other elements, i.e. RF sources, may be common to the two processing zones, or may be provided independently for each zone.

챔버 (1207, 1209) 는 하이브리드 단일 탠덤 프로세스 챔버를 함께 형성한 다. 즉, 각 챔버 (1207, 1209) 는 단일 웨이퍼를 처리하기 위해 구성된다. 그러나, 탠덤 처리 챔버의 일부 특징은 이 실시형태에서 실행된다. 예컨대, 처리 가스 공급부 (1211) 및 진공 펌프 (1213) 는 두 챔버에 공통될 수 있다. 근원 에너지 및 바이어스 에너지가 동일한 또는 별도의 전원으로부터 공급될 수 있다. 선택적으로, 더 큰 탠덤 처리 챔버를 제조하는 복잡함과 비용 없이, 2 개의 챔버가 정렬되고, 메인프레임 상에 탑재되며, 표준 탠덤 챔버로서 기능하도록 키 (1202) 가 제공된다. The chambers 1207 and 1209 together form a hybrid single tandem process chamber. That is, each chamber 1207, 1209 is configured to process a single wafer. However, some features of the tandem processing chamber are implemented in this embodiment. For example, the process gas supply unit 1211 and the vacuum pump 1213 may be common to both chambers. The source energy and the bias energy may be supplied from the same or separate sources. Optionally, the key 1202 is provided so that the two chambers are aligned, mounted on the mainframe, and functioning as a standard tandem chamber, without the complexity and expense of manufacturing larger tandem processing chambers.

도 13 은 2 개의 탠덤 챔버 (1301, 1305), 2 개의 독립적인 단일 웨이프 챔버 (1303, 1304), 및 챔버 (1307, 1309) 를 포함하는 하나의 하이브리드 단일 탠덤 챔버를 갖는 혁신적인 메인프레임의 다른 예가 도시되어 있다. 즉, 로봇 (1341, 1343) 이 독립적인 혁신적인 메인프레임 (1332) 을 이용함으로써 모든 챔버에서 피치를 동일하게 보장할 필요가 없기 때문에, 여기서 당업자는 동일하거나 다른 피치를 갖는 탠덤 챔버와 단일 웨이퍼 챔버를 혼합할 수 있다. 로봇 (1341, 1343) 은 서로 넘어갈 수 있으므로, 그 로봇들은 동시에 각각의 탠덤 챔버를 로딩할 수 있다. 또한, 로봇들은 각각의 단일 웨이퍼 챔버를 독립적으로 또는 공동으로 로딩할 수 있으므로, 복잡한 탠덤 챔버를 이용할 필요 없이 탠덤 챔버 장치의 처리량을 갖는다. Figure 13 is a side view of another innovative mainframe with one hybrid single tandem chamber including two tandem chambers 1301 and 1305, two independent single wafer chambers 1303 and 1304, and chambers 1307 and 1309, An example is shown. That is, since robots 1341 and 1343 do not need to guarantee the same pitch in all chambers by using an independent innovative mainframe 1332, those skilled in the art will appreciate that a tandem chamber and a single wafer chamber Can be mixed. Since the robots 1341 and 1343 can cross each other, they can simultaneously load each tandem chamber. In addition, robots can load each single wafer chamber independently or collectively, thus having the throughput of a tandem chamber device without the need to use a complex tandem chamber.

도 13 에 도시된 다른 특징은 탠덤 챔버 (1305) 를 로딩하기 위해 단일 중심 고립 밸브 (1357) 를 이용하는 것이다. 도시된 바와 같이, 밸브 (1357) 는 단지 단일 웨이퍼의 통과만을 허용하는 크기이다. 그러나, 2 개의 웨이퍼가 곡선 화살표로 도시된 바와 같이 탠덤 챔버 (1305) 내로 로딩된다. 이는 종래 기술 시스템에서는 이루어질 수 없다. Another feature shown in Figure 13 is the use of a single center isolation valve 1357 to load the tandem chamber 1305. [ As shown, the valve 1357 is sized to allow passage of only a single wafer. However, the two wafers are loaded into the tandem chamber 1305 as shown by the curved arrows. This can not be done in the prior art system.

도 14 는 다른 유형의 처리 챔버가 선형 이송 챔버 (1432) 에 부착되어 있는 다른 예를 도시하고 있다. 이 예에서, 다중 웨이퍼 처리 챔버 (1405), 트리플 탠덤 챔버 (1401), 단일 챔버 (1404), 및 하이브리드 단일 탠덤 챔버 (1407, 1409) 가 혁신적인 메인프레임에 부착되어 있다. 챔버 (1405) 는 4 개의 웨이퍼 스테이션 (즉, 챔버에서 규정된 4 개의 원형으로 배치된 처리 영역) 을 갖는, 통상적인 일괄 처리 챔버, 예컨대 열 또는 플라즈마 강화 CVD 챔버일 수 있다. 그 스테이션은 한번에 1 개 또는 2 개가 로딩될 수 있다. 단일 챔버 (1404) 는 단일 기판 처리 챔버 또는 적층된 다중 웨이퍼 냉각 스테이션일 수 있다. 예컨대, 그 스테이션은 다중, 예컨대 25 개의 웨이퍼가 적층되는 냉각 스테이션일 수 있다. 더욱이, 본 발명에서 로봇 암은 독립적이므로, 탠덤 처리는 한번에 2 개의 웨이퍼로 제한되지는 않는다. 이 예에서는, 3 개의 웨이퍼를 동시에 처리할 수 있는 트리플 기판 탠덤 처리 챔버가 도시되어 있다. 여기서 단지 2 개의 암이 도시되어 있어, 챔버 (1401) 를 완전하게 로딩하기 위해 하나의 암의 제 2 의 트립이 필요하지만, 도 15 에 도시된 바와 같이 2 개 이상의 암을 갖는 장치가 이용될 수 있다. 도 14 에 도시된 다른 선택적인 특징은, 본 발명의 다른 실시예에서 처럼 선형 레일을 타는, 프로그 레그 (일반적으로 SCARA (Selective Compliance Assembly Robot Arm) 라 부름) 로봇 암 (1441, 1443) 의 사용이다.Fig. 14 shows another example in which another type of processing chamber is attached to the linear transfer chamber 1432. Fig. In this example, a multiple wafer processing chamber 1405, a triple tandem chamber 1401, a single chamber 1404, and a hybrid single tandem chamber 1407, 1409 are attached to the innovative mainframe. The chamber 1405 can be a conventional batch processing chamber, such as a thermal or plasma enhanced CVD chamber, having four wafer stations (i.e., four circularly arranged processing regions defined in the chamber). The station can be loaded one or two at a time. The single chamber 1404 can be a single substrate processing chamber or a stacked multiple wafer cooling station. For example, the station may be a cooling station in which multiple, e.g., 25, wafers are stacked. Moreover, since the robot arm is independent in the present invention, tandem processing is not limited to two wafers at a time. In this example, a triple substrate tandem processing chamber is shown in which three wafers can be processed simultaneously. Only two arms are shown so that a second trip of one arm is required to fully load the chamber 1401, but an apparatus with more than two arms as shown in Figure 15 can be used have. Another optional feature shown in Figure 14 is the use of a frog leg (generally called a Selective Compliance Assembly Robot Arm) robotic arm 1441, 1443, which fires a linear rail as in other embodiments of the present invention .

도 14 의 실시형태는 또한 나란한 2 개의 웨이퍼 적층을 갖는 탠덤 적층 로 드로크 챔버 (1435) 를 이용한다. 로드로크 (1435) 는 통상의 탠덤 로드로크일 수 있지만, 혁신적인 메인프레임은 이전에는 이용할 수 없는 특징을 갖는 로드로크를 가능케 한다. 예컨대, 로드로크는 탠덤이지만, 파티션 (1438) 을 갖는 2 개의 개별적인 챔버로 형성될 수 있다. 그리고, 2 개의 고립 게이트 (1437, 1439) 가 각각의 탠덤 웨이퍼 마다 하나씩 제공될 수 있다. 이러한 장치를 이용하면, 탠덤 로드로크의 양측이 함께 개방되도록 단지 단일 게이트가 사용될 수 있는 종래 기술과는 달리, 여기서는 각 측이 다른 측과는 독립적으로 개방되거나 폐쇄될 수 있다. 이렇게 해서, 로봇이 2 개의 웨이퍼를 동시에 로딩하면, 2 개의 고립 밸브 모두가 개방될 수 있다. 그러나, 단일 웨이퍼가 로딩되면, 단지 하나의 고립 게이트만이 개방되면 된다. The embodiment of Figure 14 also utilizes a tandem stacked load lock chamber 1435 with two wafer stacks side by side. The load lock 1435 may be a conventional tandem load lock, but the innovative mainframe enables load locks with features that were previously unavailable. For example, the load lock may be tandem, but may be formed of two separate chambers with partitions 1438. [ Then, two isolation gates 1437 and 1439 may be provided, one for each tandem wafer. With this arrangement, each side can be opened or closed independently of the other side, unlike the prior art, where only a single gate can be used so that both sides of the tandem load lock are open together. In this way, when the robot simultaneously loads two wafers, both of the two isolation valves can be opened. However, when a single wafer is loaded, only one isolating gate needs to be opened.

도 15 는 높은 처리량의 기판 처리를 위해 혁신적인 메인프레임이 사용되는 다른 예가 도시되어 있다. 이 장치는 예컨대 태양전지의 제조를 위한 기판의 처리와 같이, 높은 처리량으로 기판을 반복해서 처리하데 유리하다. 이 예에서, 2 개의 선형 레일 (1543, 1543') 은 이송 챔버 (1532) 내측에 위치되고, 그 선형 레일 각각은 2 개의 선형 로봇 암 (1541) 을 지지한다. 일 예에서, 선형 트랙 (1543) 상의 로봇 암은 이송 챔버 (1532) 의 왼 측의 처리 챔버 (1501) 를 담당하며, 다른 로봇 암은 오른 측에 챔버를 제공한다. 그러나, 로봇 암은 이송 챔버 (1532) 의 어느 측의 챔버라도 담당하도록 구성될 수 있다.  Figure 15 shows another example in which an innovative mainframe is used for high throughput substrate processing. This device is advantageous for repeatedly treating substrates with high throughput, such as for example the processing of substrates for the manufacture of solar cells. In this example, two linear rails 1543 and 1543 'are located inside the transfer chamber 1532, and each of the linear rails supports two linear robot arms 1541. In one example, the robot arm on the linear track 1543 is responsible for the processing chamber 1501 on the left side of the transfer chamber 1532, while the other robot arm provides the chamber on the right side. However, the robot arm may be configured to take charge of any chamber of the transfer chamber 1532. [

도 15 의 예의 다른 선택적인 특징은 2 개의 로드로크의 제공이다. 로드로크 (1535) 는 처리될 기판을 로딩하기 위해 사용되며, 로드로크 (1537) 는 처리 완료 후 기판을 언로딩하기 위해 사용된다. 이 예에서 탠덤 로드로크가 도시되어 있지만, 단일 기판 또는 적층 로드로크가 또한 사용될 수 있다. 로딩 로드로크의 반대 측에 언로딩 로드로크를 구비하여, 필요한 경우 다른 시스템이 파선 실루엣으로 나타낸 바와 같이 언로딩 로드로크에 직접 연결될 수 있다. 이렇게 해서, 시스템은 특별한 상황에서 요구될 때 다수의 처리 챔버를 수용하도록 모듈형으로 이루어질 수 있다. Another optional feature of the example of FIG. 15 is the provision of two load locks. A load lock 1535 is used to load the substrate to be processed, and a load lock 1537 is used to unload the substrate after the process is completed. Although a tandem load lock is shown in this example, a single substrate or laminated load lock may also be used. The unloading load lock may be provided on the opposite side of the loading rod lock so that other systems can be connected directly to the unloading load lock, as indicated by the dashed line silhouette. In this way, the system can be modularized to accommodate multiple processing chambers when required in a particular situation.

본 발명의 다른 실시형태에 따라서, 혁신적인 메인프레임이 적층된다. 도 16 에 도시된 바와 같이, 상부 선형 이송 챔버 (1633) 는 하부 선형 이송 챔버 (1632) 위에 위치된다. 각 선형 이송 챔버는 처리 챔버를 연결하기 위한 적절한 탑재 장치를 갖는 복수의 개구부 (1601) 를 갖는다. 승강기 (1662) 는 하부 및 상부 선형 이송 챔버 사이에서 기판을 이동시킨다. 이 특별한 예에서, 기판은 로딩 챔버 (1671) 로부터 로딩되고, 언로딩 챔버 (1673) 을 통해 제거되지만, 원하는 경우, 다른 승강기가 또한 시스템의 전방에 제공될 수 있으며, 따라서 챔버는 동일한 레벨에서 로딩 또는 언로딩될 수 있다. According to another embodiment of the present invention, an innovative mainframe is stacked. As shown in FIG. 16, upper linear transfer chamber 1633 is located above lower linear transfer chamber 1632. Each linear transfer chamber has a plurality of openings 1601 with suitable mounting devices for connecting the process chambers. The elevator 1662 moves the substrate between the lower and upper linear transfer chambers. In this particular example, the substrate is loaded from the loading chamber 1671 and removed through the unloading chamber 1673, but other elevators may also be provided in front of the system, if desired, Or may be unloaded.

도 17 은 유도 전류가 로봇 암에 동력을 제공하기 위해 사용되는 혁신적인 메인프레임 시스템의 예를 나타낸다. 이 예는 한가지 주요한 차이점 외에는 도 7D 에 도시된 예와 유사하다. 구체적으로, 이전의 실시형태에서 자기력이 로봇 암에 선형 및 회전 운동을 부여하기 위해 이용되었지만, 이 실시형태에서는 유도 전류가 동력을 공급하기 위해 사용된다. 예컨대, 로봇 암 조립체는 회전 운동, 선형 운동 또는 회전 운동과 선형 운동 모두를 위해 스텝퍼 모터를 포함할 수 있다. 이 실시형태에서, 이송 챔버의 배출부에서 어떠한 전기 배선이라도 구비하는 것을 회피하기 위해, 유도 전류를 이용하여 스텝퍼 모터가 통전된다. 각 스텝퍼 모터는 진공 환경에 있는 전도성 코일 예컨대 코일 (48) 에 연결된다. 구동 코일 (50) 은 코일 (48) 의 반대편 위치에서 진공 환경 밖에 위치된다. 스텝퍼 모터를 통전시켜야 하는 경우, 적절한 코일 (50) 에서 전류가 흐르게 되고, 이에따라 대응 코일 (48) 에 전류가 유도되어 모터가 통전된다.Figure 17 shows an example of an innovative mainframe system in which an inductive current is used to power a robotic arm. This example is similar to the example shown in Figure 7D with one major difference. Specifically, in the previous embodiment, although the magnetic force is used to impart linear and rotary motion to the robot arm, an induction current is used in this embodiment to supply power. For example, the robotic arm assembly may include a stepper motor for both rotational, linear, or rotational and linear motion. In this embodiment, the stepper motor is energized using an induction current to avoid having any electrical wiring in the discharge chamber of the transfer chamber. Each stepper motor is connected to a conductive coil, such as coil 48, in a vacuum environment. The drive coil 50 is located outside the vacuum environment at a position opposite the coil 48. [ When the stepper motor is to be energized, a current flows through the appropriate coil 50, so that a current is induced in the corresponding coil 48 to energize the motor.

챔버들이 진공 상태 하에 있는 것으로서 설명되었지만, 사실상, 몇몇 경우에는, 제한된 영역에 일정 가스 또는 다른 유체를 포함하는 것에 대한 이점이 존재할 수 있다. 따라서, 본원에서 이용되는 용어 진공은, 예를 들어, 전체 시스템에서 이용될 수도 있는 특수 가스를 포함하도록 자기 제한된 환경으로서 해석되어야 한다.Although the chambers have been described as being in a vacuum, in fact, in some cases, there may be advantages to including a constant gas or other fluid in a confined area. Thus, the term vacuum used herein should be interpreted as a self-limiting environment to include, for example, special gases that may be used in the overall system.

도 1 에서, 클러스터 툴은 7 개의 처리 챔버를 포함한다. 도 9 에서, 개시된 시스템은 8 개의 챔버를 포함한다. 주변 장치를 갖는 도 1 의 툴의 전체 풋프린트는 대략 38 m2 이다. (추가 처리 챔버 및 주변 장치를 갖는) 도 9 의 툴의 전체 풋프린트는 23 m2 이다. 이와 같이, 보다 많은 챔버를 갖는 시스템에 대한 풋프린트는 본 발명에 따른 선형 배치가 이용되는 경우에 상당히 작다. 대규모 측정에서, 이러한 개선은 도 1 에 도시된 타입의 시스템에서 행해지는 것과 같이 중심 섹션을 이용하는 것에 비해 도 9 에서 이송 챔버 (32) 로서 도시되어 있는 개선된 피딩 시스템을 이용하여 달성된다.In Figure 1, the cluster tool comprises seven processing chambers. In Figure 9, the disclosed system includes eight chambers. The overall footprint of the tool of Fig. 1 with peripherals is approximately 38 m 2 . The overall footprint of the tool of Figure 9 (with additional processing chamber and peripherals) is 23 m 2 . As such, the footprint for a system with more chambers is significantly smaller when a linear arrangement according to the present invention is used. In large scale measurements, this improvement is achieved using an improved feeding system, shown as the transfer chamber 32 in Fig. 9, as compared to using the center section as done in the type of system shown in Fig.

본 발명의 선형 구조는 매우 유연하며, 다수의 기판 사이즈 및 형상에 도움이 된다. 통상, 반도체의 제조에 이용되는 웨이퍼는 둥글고, 직경이 약 200 내지 300 mm 이다. 반도체 산업은 항상 웨이퍼당 보다 많은 디바이스를 얻기 위해 노력하고 있고, 75 mm 에서, 100 mm, 200 mm, 또한 300 mm 로 보다 대규모의 웨이퍼 사이즈로 꾸준히 이동하고 있으며, 450 mm 직경의 웨이퍼로 이동하려는 노력이 진행 중에 있다. 그 고유 아키텍처로 인해, 세정실 웨이퍼 팹 (fab) 에서 필요한 플로어 공간은 그 주위에 위치한 프로세스를 갖는 통상의 클러스터 툴에서와 같이 크게 성장하지는 않을 것이다.The linear structure of the present invention is very flexible and aids in a large number of substrate sizes and shapes. Typically, wafers used in the manufacture of semiconductors are round and have a diameter of about 200 to 300 mm. The semiconductor industry is always striving to get more devices per wafer, moving steadily to larger wafer sizes at 75 mm, 100 mm, 200 mm, and even 300 mm, and trying to move to 450 mm diameter wafers Is underway. Due to its unique architecture, the floor space required in a clean room wafer fab (fab) will not grow as large as in a conventional cluster tool with processes located around it.

또한, 클러스터 툴 타입의 크기 (도 1) 를 증가시켜 출력을 증가시키는 것이 바람직한 경우에, 전체 측정치에 대한 애드 온은 증가한 전력에 대한 것이지만, 본원에서 설명된 시스템의 크기 증가는 단일 방향으로 이루어지고, 즉, 시스템의 폭을 동일하게 유지하면서 길이에 대해 이루어진다. 알루미늄 프로세스와 같은 유사한 프로세스에서, 도 1 에 도시된 장비보다 작은 공간을 차지하는 도 9 에 도시된 타입의 시스템을 이용하는 동일 시간 기간 동안의 스루풋과 관련하여, 도 9 의 장비는 도 1 과 같은 시스템보다 거의 2 배 많은 웨이퍼 (빠른 계산으로는 약 170%) 를 생산한다. 따라서, 종래 유닛에 비해 개시된 시스템을 이용하면, 측정된 세정실 면적당 웨이퍼 출력이 상당히 개선된다. 이는 웨이퍼의 제조 비용을 줄이기 위한 목적을 달성한다는 것이 명확해진다.Also, in the case where it is desirable to increase the size of the cluster tool type (FIG. 1) to increase the output, the add-on for the entire measurement is for increased power, but the size increase of the system described herein occurs in a single direction , That is, with respect to length while keeping the width of the system the same. In a similar process, such as an aluminum process, with regard to throughput during the same time period using a system of the type shown in FIG. 9 occupying a smaller space than the equipment shown in FIG. 1, It produces almost twice as many wafers (about 170% by quick calculation). Thus, using the disclosed system compared to conventional units, the wafer output per measured cleaning chamber area is significantly improved. It is clear that this achieves the purpose of reducing the manufacturing cost of the wafers.

이러한 장비의 설계는 원형 기판에 한정되는 것은 아니다. 원호로 설명된 경로로 웨이퍼를 이동시키는 클러스터 툴은, 실제 기판의 직사각형 형상을 새긴 원형 기판을 처리하도록 툴을 크기 조정할 필요가 있으므로 기판이 직사각형인 경우에, 특별히 결점을 갖지만, 선형 툴은 실제 형상을 통과하는데 필요한 것보다 어떤 방향으로든 더 클 필요가 없다. 예를 들어, 300 mm2 의 기판에서 작업하는 경우에, 클러스터 툴은 424 mm 원형 기판을 처리하도록 크기 조정될 필요가 있지만, 선형 툴은 300 mm 원형 기판에 필요한 것보다 더 클 필요가 없다.The design of such equipment is not limited to a circular substrate. A cluster tool that moves a wafer to a path described by an arc has particular drawbacks when the substrate is rectangular because it needs to resize the tool to process a circular substrate engraved with a rectangular shape of the actual substrate, Need not be larger in any direction than is necessary to pass through. For example, when working on a 300 mm 2 substrate, the cluster tool needs to be scaled to handle a 424 mm circular substrate, but the linear tool need not be larger than needed for a 300 mm circular substrate.

또한, 이송 챔버 (32) 의 크기는, 어떤 다른 부재의 웨이퍼에 관계없이, 입구 챔버를 따라 처리 챔버 안으로 또한 처리 챔버로부터 시스템 밖으로 기판을 이동시키는데 필요한 그 공간만을 제공하면 된다. 따라서, 이러한 챔버의 폭은 처리될 기판의 크기보다 약간 커야한다. 그러나, 그 시스템에서 작은 부재가 처리될 수도 있고, 기판 홀더 내에 복수로서 함께 처리될 수도 있다.In addition, the size of the transfer chamber 32, regardless of the wafer of any other member, provides only the space required to move the substrate along the entry chamber into and out of the process chamber. Thus, the width of such a chamber must be slightly larger than the size of the substrate to be processed. However, small members may be processed in the system, and may be processed together as a plurality in the substrate holder.

이상, 특정 재료 및 특정 단계의 예시적인 실시형태의 관점에서 본 발명을 설명하였지만, 당업자라면, 이들 특정 실시형태의 변형이 실시되고/되거나 이용될 수도 있다는 것을 알 수 있고, 첨부된 청구항에 의해 정의된 본 발명의 범위로부터 일탈함이 없이 이루어질 수도 있는 변경을 용이하게 하기 위해, 동작의 설명뿐만 아니라 설명되고 도시된 실시형태에 의해 부여된 이해로부터 그러한 구조 및 방법을 얻을 수 있다는 것을 알 수 있다.While the invention has been described in terms of specific materials and specific embodiments thereof, those skilled in the art will appreciate that variations of these specific embodiments may be practiced and / or utilized and may be defined by the appended claims It will be appreciated that those structures and methods may be derived from an understanding of the operation as well as from the understanding set forth by the embodiments described and illustrated, in order to facilitate modifications that may be made without departing from the scope of the present invention.

도 1 은 PVD 애플리케이션을 위한 종래의 클러스터 툴의 개략도.Figure 1 is a schematic diagram of a conventional cluster tool for PVD applications.

도 2 는 종래 시스템의 특성이며, 전술한 특허 출원 (2006/0102078 A1) 에 개시된 시스템의 개략도.Figure 2 is a schematic of a system disclosed in the aforementioned patent application (2006/0102078 A1), which is a characteristic of a prior art system.

도 3 은 본 발명에 따른 처리 시스템의 개략도.3 is a schematic diagram of a processing system according to the present invention;

도 4 는 이송 챔버를 더 명확하게 도시하기 위한 개략적 상면도 (이 도면에서는 3 개의 프로세스 스테이션 구조로 도시되어 있지만, 스테이션의 수는 오직 예시를 위해서만 사용됨).FIG. 4 is a schematic top view (more clearly illustrating the three process station structures in this figure, although the number of stations is used for illustrative purposes only) to more clearly illustrate the transfer chamber.

도 5 는 로드로크로부터 이송 또는 전송 챔버로의 시스템의 세그먼트에 대한 개략도.5 is a schematic view of a segment of a system from a load lock to a transfer or transfer chamber;

도 6 은 이 시스템에 대한 인케이스먼트 외부에 도시된 웨이퍼 이동 메커니즘의 개략도.6 is a schematic diagram of the wafer transfer mechanism shown outside the casing for this system.

도 7 은 바람직한 실시형태에서 이용되는 트랙 및 구동 시스템의 개략도.7 is a schematic diagram of a track and drive system used in a preferred embodiment;

도 7a 는 선형 운동 어셈블리의 일 예를 나타내는 도면.Figure 7a illustrates an example of a linear motion assembly.

도 7b 는 선형 운동 어셈블리의 또 다른 실시형태를 나타내는, 도 4 의 라인 A-A 에 대한 단면도.7B is a cross-sectional view taken along line A-A of FIG. 4, illustrating another embodiment of a linear motion assembly.

도 7c 는 대기중의 선형 트랙 및 진공 상태의 선형 트랙의 일 예를 나타내는 단면도.7C is a cross-sectional view showing an example of a linear track in the atmosphere and a linear track in a vacuum state.

도 7d 는 대기중의 선형 트랙 및 진공 상태의 선형 트랙의 또 다른 예를 나타내는 도면.7D shows another example of a linear track in the atmosphere and a linear track in a vacuum state.

도 8 은 본 발명에 따른 4 개 스테이션의 물리 증착 (PVD) 또는 스퍼터링 시스템의 개략도.8 is a schematic diagram of a physical vapor deposition (PVD) or sputtering system at a four station in accordance with the present invention.

도 9 는 본 발명에 따른 8 개 스테이션 시스템의 개략도.Figure 9 is a schematic diagram of an eight station system in accordance with the present invention;

도 10 은 본 발명에 따른 6 개 챔버 시스템의 개략도.10 is a schematic diagram of a six chamber system in accordance with the present invention;

도 11a 및 도 11b 는 본 발명의 2 개의 서로 다른 실시형태의 개략도.11A and 11B are schematic diagrams of two different embodiments of the present invention.

도 12 는 탠덤형 처리 챔버에 적용되는 바와 같이, 혁신적인 메인프레임 시스템의 예를 나타내는 도면.12 shows an example of an innovative mainframe system, as applied to a tandem-type processing chamber;

도 13 은 다른 처리 챔버의 조합을 갖는 혁신적인 메인프레임의 다른 예를 나타내는 도면.13 shows another example of an innovative mainframe having a combination of different processing chambers;

도 14 는 다른 유형의 처리 챔버가 선형 이송 챔버에 부착된 다른 예를 나타내는 도면.14 shows another example in which another type of processing chamber is attached to the linear transfer chamber;

도 15 는 높은 처리량으로 기판을 처리하는데 혁신적인 메인프레임이 이용되는 다른 예를 나타내는 도면.15 shows another example in which an innovative mainframe is used to process substrates at high throughputs;

도 16 은 2 개의 선형 이송 시스템이 수직으로 적층되는 예를 나타내는 도면.16 shows an example in which two linear transport systems are vertically stacked.

도 17 은 유도된 전류가 로봇 암에 동력을 제공하기 위해 사용되는 혁신적인 메인프레임 시스템의 예를 나타내는 도면.Figure 17 shows an example of an innovative mainframe system in which an induced current is used to power a robotic arm.

*도면의 주요 부분에 대한 부호의 설명*Description of the Related Art [0002]

21, 31 : 처리 챔버 22 : 중심 챔버21, 31: processing chamber 22: central chamber

25 : 승강기 26 : 입구25: elevator 26: entrance

30 : 스테이션 32 : 이송 챔버30: station 32: transfer chamber

33 : EFEM 35 : 컴파트먼트33: EFEM 35: Compartment

37 : 처리 전원 38 : 처리 가스 캐비넷37: processing power source 38: processing gas cabinet

40 : 정보 처리 캐비넷 41 : 암40: Information processing cabinet 41: Cancer

43 : 선형 레일 45 : 구동 및 지지 메커니즘43: linear rail 45: drive and support mechanism

46 : 구동 트랙 47 : 레일46: drive track 47: rail

48 : 자기 연결 종동부 50 : 자기 구동기48: magnetic connection follower 50: magnetic drive

51 : 레일 52 : 회전 모터51: rail 52: rotary motor

1241, 1243 : 로봇 암 1232 : 이송 챔버1241, 1243: Robot arm 1232: Transfer chamber

Claims (20)

기다란 기판 이송 챔버;An elongated substrate transfer chamber; 상기 이송 챔버 내측에 부착된 선형 트랙;A linear track attached inside the transfer chamber; 상기 선형 트랙을 선형으로 타는 적어도 2 개의 로봇 암; At least two robotic arms linearly firing the linear track; 상기 기다란 기판 이송 챔버의 벽들 내에 위치한 연결 포트들을 포함하고, And connection ports located within the walls of the elongate substrate transfer chamber, 상기 연결 포트들은 적어도 하나의 다중 기판 처리 챔버와 상기 기다란 기판 이송 챔버의 연결을 허용하도록 구성되고, Wherein the connection ports are configured to allow connection of the at least one multiple substrate processing chamber and the elongated substrate transfer chamber, 상기 적어도 하나의 다중 기판 처리 챔버는, 상기 연결 포트들이 다수의 프로세싱 영역들 중 각각의 프로세싱 영역에 엑세스를 제공하고, 각각의 연결 포트는 상기 적어도 하나의 다중 기판 처리 챔버의 각각에 대하여 다수의 처리 영역들 간의 상이한 피치들을 수용하도록 상기 기다란 기판 이송 챔버 상에 배열되는 다수의 규정된 처리 영역을 갖는 다중 기판 처리 챔버를 포함하고, Wherein the at least one multiple substrate processing chamber is configured to allow the connection ports to provide access to respective ones of the plurality of processing regions and each connection port to perform a plurality of processes for each of the at least one multiple substrate processing chambers Substrate processing chamber having a plurality of defined processing regions arranged on the elongate substrate transfer chamber to receive different pitches between regions, 상기 로봇 암의 각각은 상기 적어도 하나의 다중 기판 처리 챔버의 각각의 처리 영역으로 기판을 로딩하도록 되어 있고, 상기 기다란 기판 이송 챔버는 상기 적어도 하나의 다중 기판 처리 챔버의 각각으로부터 분리되어 구분되고, 상기 적어도 하나의 다중 기판 처리 챔버의 각각은 상기 기판 이송 챔버로부터 상기 적어도 하나의 다중 기판 처리 챔버의 각각에 대해 상기 다수의 처리 영역들을 고립시키는 상기 연결 포트들 중 상이한 포트에 의해 상기 기판 이송 챔버의 벽들로 그리고 상기 기판 이송 챔버의 벽들에 의해 연결되는, 기판 처리 시스템.Each of the robot arms being adapted to load a substrate into a respective processing region of the at least one multiple substrate processing chamber, wherein the elongate substrate transfer chamber is separated from each of the at least one multiple substrate processing chamber, Wherein each of the at least one multiple substrate processing chambers is coupled to the walls of the substrate transfer chamber by a different one of the connection ports isolating the plurality of processing regions for each of the at least one multiple substrate processing chamber from the substrate transfer chamber. And by the walls of the substrate transfer chamber. 제 1 항에 있어서,The method according to claim 1, 단일 적층 로드로크 챔버를 더 포함하는, 기판 처리 시스템.Further comprising a single stacked load lock chamber. 제 1 항에 있어서,The method according to claim 1, 탠덤 적층 로드로크 챔버를 더 포함하는, 기판 처리 시스템.Further comprising a tandem stacked load lock chamber. 제 1 항에 있어서,The method according to claim 1, 상기 다중 기판 처리 챔버는 선형으로 정렬된 다수의 규정된 처리 영역을 갖는 단일 챔버 본체를 포함하는, 기판 처리 시스템.Wherein the multiple substrate processing chamber comprises a single chamber body having a plurality of defined processing regions aligned in a linear manner. 제 1 항에 있어서, The method according to claim 1, 상기 다중 기판 처리 챔버는 서로 정렬되어 탑재된 2 개의 챔버 본체를 포함하며, 각 챔버 본체는 규정된 단일 처리 영역을 가지며, 상기 2 개의 챔버 본체는 처리 가스 공급부 또는 진공 펌프 중 적어도 하나를 공유하는, 기판 처리 시스템.Wherein the multiple chamber processing chambers include two chamber bodies mounted in alignment with each other, each chamber body having a defined single processing region, the two chamber bodies sharing at least one of a process gas supply or a vacuum pump, Substrate processing system. 제 1 항에 있어서,The method according to claim 1, 상기 다중 기판 처리 챔버는 원형으로 배열된 다수의 규정된 처리 영역을 갖는 단일 챔버 본체를 포함하는, 기판 처리 시스템.Wherein the multiple substrate processing chamber comprises a single chamber body having a plurality of defined processing regions arranged in a circle. 제 1 항에 있어서,The method according to claim 1, 상기 로봇 암 중 적어도 1 개는 SCARA 로봇 암을 포함하는, 기판 처리 시스템.Wherein at least one of the robot arms comprises a SCARA robot arm. 제 1 항에 있어서,The method according to claim 1, 상기 기다란 기판 이송 챔버와 전달가능하게 연결되는 로딩 로드로크 및A loading rod lock communicatively coupled to the elongated substrate transfer chamber, and 상기 로딩 로드로크의 반대측에서 실질적으로 선형으로 상기 선형 트랙을 따라 상기 기다란 기판 이송 장치와 전달가능하게 연결되는 언로딩 로드로크를 더 포함하는, 기판 처리 시스템.Further comprising an unloading load lock that is communicatively coupled with the elongate substrate transfer device along the linear track substantially linearly on the opposite side of the loading rod lock. 제 8 항에 있어서,9. The method of claim 8, 상기 언로딩 로드로크는 상기 기다란 기판 이송 챔버 및 다른 이송 챔버에 동시에 탑재되도록 구성되어 있는, 기판 처리 시스템.Wherein the unloading load lock is configured to be simultaneously mounted on the elongated substrate transfer chamber and another transfer chamber. 제 1 항에 있어서,The method according to claim 1, 적어도 1 개의 로봇 암이 선형으로 타는 제 2 선형 트랙을 더 포함하는, 기판 처리 시스템.Further comprising a second linear track in which at least one robot arm linearly rides. 제 1 항에 있어서,The method according to claim 1, 선형 이송 챔버 상에 탑재된 다중 기판 냉각 스테이션을 더 포함하는, 기판 처리 시스템.Further comprising a multiple substrate cooling station mounted on the linear transfer chamber. 제 1 항에 있어서, 이송 챔버 위에 탑재된 상부 선형 이송 챔버, 및 선형 이송 챔버와 상부 이송 챔버에 연결되어 이들 챔버 사이에서 기판을 이송하도록 구성된 승강기를 더 포함하는, 기판 처리 시스템.2. The substrate processing system of claim 1, further comprising an upper linear transfer chamber mounted above the transfer chamber, and an elevator coupled to the linear transfer chamber and the upper transfer chamber and configured to transfer the substrate between the chambers. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020090017051A 2009-02-27 2009-02-27 Apparatus and methods for transporting and processing substrates KR101718540B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090017051A KR101718540B1 (en) 2009-02-27 2009-02-27 Apparatus and methods for transporting and processing substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090017051A KR101718540B1 (en) 2009-02-27 2009-02-27 Apparatus and methods for transporting and processing substrates

Publications (2)

Publication Number Publication Date
KR20100098061A KR20100098061A (en) 2010-09-06
KR101718540B1 true KR101718540B1 (en) 2017-03-21

Family

ID=43005085

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090017051A KR101718540B1 (en) 2009-02-27 2009-02-27 Apparatus and methods for transporting and processing substrates

Country Status (1)

Country Link
KR (1) KR101718540B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109244186B (en) * 2018-09-19 2024-02-27 通威太阳能(安徽)有限公司 Novel back passivation back film positive film machine station film plating conjoined feeding and discharging device and method
CN113811427A (en) * 2018-12-18 2021-12-17 因特瓦克公司 Hybrid system architecture for thin film deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028179A (en) * 2006-07-21 2008-02-07 Yaskawa Electric Corp Carrying mechanism and processing apparatus provided therewith
US20080066678A1 (en) * 2006-09-19 2008-03-20 Intevac Inc. Apparatus and methods for transporting and processing substrates

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
KR100904392B1 (en) * 2007-06-18 2009-06-26 세메스 주식회사 Apparatus for processing a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028179A (en) * 2006-07-21 2008-02-07 Yaskawa Electric Corp Carrying mechanism and processing apparatus provided therewith
US20080066678A1 (en) * 2006-09-19 2008-03-20 Intevac Inc. Apparatus and methods for transporting and processing substrates

Also Published As

Publication number Publication date
KR20100098061A (en) 2010-09-06

Similar Documents

Publication Publication Date Title
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
US10204810B2 (en) Linear vacuum robot with Z motion and articulated arm
US8293066B2 (en) Apparatus and methods for transporting and processing substrates
US7901539B2 (en) Apparatus and methods for transporting and processing substrates
KR101829186B1 (en) Linear vacuum robot with z motion and articulated arm
US9177842B2 (en) Degassing apparatus adapted to process substrates in multiple tiers with second actuator
TWI394224B (en) Apparatus and methods for transporting and processing substrates
JP2008516457A (en) Substrate processing equipment
JP2015043457A (en) Substrate processing apparatus
JP5247094B2 (en) Substrate processing system
JP5388279B2 (en) Substrate transfer processing apparatus and method
KR101718540B1 (en) Apparatus and methods for transporting and processing substrates
TWI488247B (en) Apparatus and method for transporting and processing substrates
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
CN101740439B (en) Device and method for transmitting and processing substrate
KR20110049751A (en) Apparatus and methods for transporting and processing substrates
JP5578539B2 (en) Substrate transfer processing apparatus and method
KR20100052321A (en) Apparatus and methods for transporting and processing substrates
US20240153803A1 (en) Semiconductor process equipment

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant