KR101249999B1 - Apparatus for chemical vapor deposition - Google Patents

Apparatus for chemical vapor deposition Download PDF

Info

Publication number
KR101249999B1
KR101249999B1 KR1020100077982A KR20100077982A KR101249999B1 KR 101249999 B1 KR101249999 B1 KR 101249999B1 KR 1020100077982 A KR1020100077982 A KR 1020100077982A KR 20100077982 A KR20100077982 A KR 20100077982A KR 101249999 B1 KR101249999 B1 KR 101249999B1
Authority
KR
South Korea
Prior art keywords
shower head
vapor deposition
chemical vapor
deposition apparatus
coupling member
Prior art date
Application number
KR1020100077982A
Other languages
Korean (ko)
Other versions
KR20120021679A (en
Inventor
허윤성
박승일
Original Assignee
주식회사 디엠에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 디엠에스 filed Critical 주식회사 디엠에스
Priority to KR1020100077982A priority Critical patent/KR101249999B1/en
Priority to TW100128953A priority patent/TWI425109B/en
Priority to CN201110234249.5A priority patent/CN102373440B/en
Publication of KR20120021679A publication Critical patent/KR20120021679A/en
Application granted granted Critical
Publication of KR101249999B1 publication Critical patent/KR101249999B1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학기상증착 장치가 개시된다. 상기 화학기상증착 장치는, 반응 공간을 구획하는 공정챔버; 상기 반응 공간의 상측에 위치하며, 중앙에 가스 도입부가 구비되는 백 플레이트(back plate); 상기 가스 도입부의 하측에 이격되어 배치되며, 상기 가스 도입부를 통해 공급되는 공정가스를 확산시키는 가스확산부재; 상기 백 플레이트 및 상기 가스확산부재의 하측에 이격되어 배치되며, 복수 개의 분사홀이 천공된 샤워헤드; 상기 샤워헤드의 하측에 이격되어 배치되며, 기판을 지지하는 서셉터(susceptor)를 포함한다. 이 때, 상기 가스확산부재는 제1 결합부재에 의해 상기 백 플레이트에 결합되고, 상기 샤워헤드의 중앙부는 제2 결합부재에 의해 상기 가스확산부재에 결합된다.A chemical vapor deposition apparatus is disclosed. The chemical vapor deposition apparatus, the process chamber for partitioning the reaction space; A back plate positioned above the reaction space and having a gas introduction part at a center thereof; A gas diffusion member disposed below the gas introduction unit to diffuse the process gas supplied through the gas introduction unit; A shower head spaced apart from the back plate and the gas diffusion member, and having a plurality of injection holes formed therein; Spaced apart from the lower side of the showerhead, and includes a susceptor (susceptor) for supporting the substrate. At this time, the gas diffusion member is coupled to the back plate by a first coupling member, and the central portion of the shower head is coupled to the gas diffusion member by a second coupling member.

Description

화학기상증착 장치{Apparatus for chemical vapor deposition}Chemical vapor deposition apparatus {Apparatus for chemical vapor deposition}

본 발명은 화학기상증착 장치에 관한 것이다.
The present invention relates to a chemical vapor deposition apparatus.

일반적으로 물질에 박막을 형성하는 방법은 스퍼터링(Sputtering)법과 같이 물리적인 충돌을 이용하여 박막을 형성하는 PVD(Physical Vapor Deposition)법과 화학반응을 이용하여 박막을 형성하는 CVD(Chemical Vapor Deposition)법으로 구분할 수 있다. 그러나 PVD법은 CVD법에 비하여 조성이나 두께의 균일도 및 계단도포성(step coverage)이 좋지 못하므로 일반적으로 CVD법이 흔히 사용된다. CVD법에는 APCVD(Atmospheric Pressure CVD)법, LPCVD(Low Pressure CVD)법, PECVD(Plasma Enhanced CVD)법 등이 있다.In general, a method of forming a thin film on a material includes a physical vapor deposition (PVD) method, which forms a thin film using physical collisions, such as sputtering, and a chemical vapor deposition (CVD) method, which forms a thin film using a chemical reaction. Can be distinguished. However, the CVD method is commonly used because the PVD method is poor in composition and thickness uniformity and step coverage compared to the CVD method. CVD methods include APCVD (Atmospheric Pressure CVD), LPCVD (Low Pressure CVD), PECVD (Plasma Enhanced CVD).

CVD법 중에서도, 저온증착이 가능하고 박막형성속도가 빠른 장점 때문에 최근에는 PECVD법이 많이 이용되고 있다. PECVD법은 반응챔버 내로 주입된 반응가스에 고주파전력(RF Power)을 인가하여 반응가스를 플라즈마 상태로 만들고, 플라즈마 내에 존재하는 레디칼(radical)들을 웨이퍼나 유리 기판 상에 증착되도록 하는 방법을 말한다.Among the CVD methods, the PECVD method has been widely used in recent years because of the advantages of low temperature deposition and fast film formation speed. PECVD is a method of applying a high frequency power (RF Power) to the reaction gas injected into the reaction chamber to make the reaction gas into a plasma state, and the radicals present in the plasma are deposited on a wafer or a glass substrate.

박막증착공정은 그것이 어떠한 방법을 채택하든지 간에 균일한 박막증착이 최대의 관건이라 할 수 있으므로, 이를 위해 수많은 개선방안들이 제안되고 있으며, 균일한 박막증착을 위해서는 반응가스나 플라즈마의 균일한 분포가 아주 중요한 역할을 하게 된다.In the thin film deposition process, uniform thin film deposition is the key to whatever method is adopted. Therefore, a number of improvements have been proposed for this purpose. In order to achieve a uniform thin film deposition, a uniform distribution of reaction gas or plasma is very important. It will play an important role.

PECVD 장치는 박막화 공정에서 빼놓을 수 없는 매우 중요한 장비이며, 대규모 요구 산출량과 더불어 장치 규모도 점차 초대형화로 커지고 있다. 최근에 평판형 디스플레이 제조공정에 작용되는 PECVD 장치는 기판 한 변의 크기가 2 미터를 훌쩍 넘는 초 대형 크기이기 때문에 원하는 품질의 박막을 얻기 위해서는 장비의 세부 기능도 보다 정밀하게 구성되어 있어야 한다. 본 발명은 대면적 박막 제조용 PECVD 장치 내부에서 박막 두께 균일도를 확보하기 위한 방안으로 가스 분사기능을 향상시킬 수 있는 아이디어와 가스 분사면의 열팽창으로 인한 뒤틀림 현상을 최소화할 수 있는 방안을 제시하고 있다.PECVD equipment is an indispensable equipment in the thinning process, and along with the large-scale required output, the device scale is gradually increasing in size. In recent years, the PECVD apparatus used in the flat panel display manufacturing process is a very large size of more than 2 meters on one side of the substrate, so the detailed functions of the equipment must be more precisely configured to obtain a thin film of desired quality. The present invention proposes an idea of improving the gas spraying function and a method of minimizing the distortion caused by thermal expansion of the gas spraying surface as a method for securing the film thickness uniformity inside the PECVD apparatus for manufacturing a large area thin film.

도 1은 일반적인 PECVD장치의 개략적인 구성도이며, 도면을 참조하여 공정순서대로 간략히 설명하면 다음과 같다.1 is a schematic configuration diagram of a general PECVD apparatus, which will be briefly described in the process order with reference to the drawings.

먼저 로봇암(미도시)에 의해 기판(3)이 반응챔버(1)의 내부에 설치된 서셉터(2)의 상면에 안착되면, 박막공정을 위한 가스가 가스유입관(7)을 통해 샤워헤드(4)의 상부에 위치한 버퍼공간(5)으로 들어와서 넓게 확산된다. 버퍼공간(5)에서 확산된 가스는 샤워헤드(4)의 분사구(4a)를 통해 기판(3) 위로 균일하게 분사되며, 상기 분사된 가스는 플라즈마 전극(6)을 통해 공급된 RF(Radio Frequency)파워에 의해 플라즈마(8)상태로 변화된다. 상기 플라즈마(8)상태의 반응가스는 상기 기판(3)에 증착되며, 박막증착공정이 완료된 후 남은 반응가스는 진공펌프(미도시)에 의해 배기관(9)으로 배출된다.First, when the substrate 3 is seated on the upper surface of the susceptor 2 installed inside the reaction chamber 1 by a robot arm (not shown), the gas for the thin film process passes through the gas inlet pipe 7. It enters the buffer space 5 located at the upper part of (4) and diffuses widely. The gas diffused in the buffer space 5 is uniformly sprayed onto the substrate 3 through the spray hole 4a of the shower head 4, and the sprayed gas is supplied through the plasma electrode 6. Power changes to the plasma 8 state. The reaction gas in the plasma 8 state is deposited on the substrate 3, and the remaining reaction gas is discharged into the exhaust pipe 9 by a vacuum pump (not shown) after the thin film deposition process is completed.

그런데, 이러한 PECVD장치에 있어서, 샤워헤드(4)는 도 2에 도시된 바와 같이 자체 하중과 열적 변형으로 인해 중심부분에서 아래방향으로 처짐 현상이 나타나는 문제점이 있다. 열적 변형은 고온 플라즈마와 서셉터(2)에 내장된 히터(미도시)로부터의 열전달로 인한 열팽창 때문에 발생하는데, 열팽창은 두께방향(상하방향)보다 수평방향의 열팽창이 더 크게 된다.By the way, in such a PECVD apparatus, the shower head 4 has a problem of sagging downward in the central portion due to its own load and thermal deformation as shown in FIG. 2. Thermal deformation occurs due to thermal expansion due to heat transfer from the hot plasma and heat transfer from a heater (not shown) embedded in the susceptor 2, and thermal expansion becomes larger in the horizontal direction than in the thickness direction (up and down direction).

샤워헤드(4)의 중심부가 하부로 처지는 굽힘현상이 발생하는 경우에는 샤워헤드(4)와 서셉터(2) 간의 간격이 중심부에서 가깝고 주변부에서는 멀어지게 되므로, 분사된 공정가스의 분포밀도가 불균일해지며 결과적으로 공정 균일도가 저하되는 요인이 되고 있다.
In the case where the central part of the shower head 4 sags downward, the spacing between the shower head 4 and the susceptor 2 is closer to the center and farther from the periphery, so that the distribution density of the injected process gas is uneven. As a result, process uniformity is deteriorated.

본 발명은 공정 가스의 원활한 흐름을 가능케 하고, 샤워헤드의 열팽창 왜곡 현상을 최소화 시킬 수 있는 화학기상증착 장치를 제공하는 것이다.
The present invention is to provide a chemical vapor deposition apparatus that enables a smooth flow of the process gas, and minimize the thermal expansion distortion of the showerhead.

본 발명의 일 측면에 따르면, 반응 공간을 구획하는 공정챔버; 상기 반응 공간의 상측에 위치하며, 중앙에 가스 도입부가 구비되는 백 플레이트(back plate); 상기 가스 도입부의 하측에 이격되어 배치되며, 상기 가스 도입부를 통해 공급되는 공정가스를 확산시키는 가스확산부재; - 이 때, 상기 가스확산부재는 제1 결합부재에 의해 상기 백 플레이트에 결합됨 - 상기 백 플레이트 및 상기 가스확산부재의 하측에 이격되어 배치되며, 복수 개의 분사홀이 천공된 샤워헤드; - 이 때, 상기 샤워헤드의 중앙부는 제2 결합부재에 의해 상기 가스확산부재에 결합됨 - 상기 샤워헤드의 하측에 이격되어 배치되며, 기판을 지지하는 서셉터(susceptor)를 포함하는 화학기상증착 장치가 제공된다.According to one aspect of the invention, the process chamber for partitioning the reaction space; A back plate positioned above the reaction space and having a gas introduction part at a center thereof; A gas diffusion member disposed below the gas introduction unit to diffuse the process gas supplied through the gas introduction unit; At this time, the gas diffusion member is coupled to the back plate by a first coupling member; a shower head spaced apart from the back plate and the gas diffusion member, the plurality of injection holes being drilled; Wherein a central portion of the showerhead is coupled to the gas diffusion member by a second coupling member.-A chemical vapor deposition comprising a susceptor for supporting a substrate, wherein the central portion of the showerhead is coupled to the gas diffusion member. An apparatus is provided.

이 때, 상기 제1 결합부재와 상기 제2 결합부재 중 적어도 어느 하나는 나사일 수 있다.In this case, at least one of the first coupling member and the second coupling member may be a screw.

한편, 상기 백 플레이트의 하측 단부에는 상기 가스 도입부 보다 큰 단면적을 갖는 확장홈이 형성되고, 상기 가스확산부재의 적어도 일부는 상기 확장홈 내에 위치할 수 있다.On the other hand, the lower end of the back plate is formed with an expansion groove having a larger cross-sectional area than the gas introduction portion, at least a portion of the gas diffusion member may be located in the expansion groove.

한편, 상기 공정챔버는 직육면체 형상이고, 상기 가스확산부재는 원판 형태의 지지 플레이트; 및 상기 지지 플레이트의 상면에 형성되는 사각뿔을 포함하며, 상기 사각뿔의 각 측면은 상기 공정챔버의 모서리를 향하여 배치될 수도 있다. 이 때, 상기 제1 결합부재는, 상기 사각뿔의 중심과 상기 사각뿔의 모서리를 통과하는 직선 경로 상에 위치할 수 있다.On the other hand, the process chamber is a rectangular parallelepiped shape, the gas diffusion member is a disk-shaped support plate; And a quadrangular pyramid formed on an upper surface of the support plate, and each side surface of the quadrangular pyramid may be disposed toward an edge of the process chamber. In this case, the first coupling member may be positioned on a straight path passing through the center of the square pyramid and the corner of the square pyramid.

다른 한편, 상기 가스확산부재는 사각판 형태의 지지 플레이트; 및 상기 지지 플레이트의 상면에 형성되는 원뿔을 포함하며, 상기 지지 플레이트의 각 측면은 상기 공정챔버의 모서리를 향하여 배치될 수도 있다. 이 때, 상기 제1 결합부재는, 상기 원뿔의 중심과 상기 지지 플레이트의 모서리를 통과하는 직선 경로 상에 위치할 수 있다.상기 서셉터의 내부에는 열선이 내장될 수도 있으며, 상기 샤워헤드는 알루미늄 재질로 이루어질 수 있다.On the other hand, the gas diffusion member is a support plate in the form of a square plate; And a cone formed on an upper surface of the support plate, wherein each side of the support plate may be disposed toward an edge of the process chamber. In this case, the first coupling member may be positioned on a straight path passing through the center of the cone and the edge of the support plate. A heating wire may be built in the susceptor, and the shower head may be made of aluminum. It may be made of a material.

한편, 상기 샤워헤드의 가장자리를 지지하도록 제3 결합부재를 통해 상기 백 플레이트와 결합될 수 있으며, 이 때, 상기 샤워헤드의 측면에 소정의 갭을 두고 위치하는 클램프 부재를 더 포함할 수 있다. 여기서, 상기 클램프 부재는, 상기 샤워헤드의 하면을 지지하기 위한 수평부분과, 상기 샤워헤드의 측면을 지지하기 위한 수직부분을 포함할 수 있으며, 상기 샤워헤드의 가장자리 하부에는, 상기 클램프 부재의 수평부분과 맞물리도록 홈이 형성될 수도 있다.On the other hand, it may be coupled to the back plate through a third coupling member to support the edge of the shower head, and at this time, it may further include a clamp member positioned with a predetermined gap on the side of the shower head. Here, the clamp member may include a horizontal portion for supporting the bottom surface of the shower head and a vertical portion for supporting the side surface of the shower head, and below the edge of the shower head, the horizontal portion of the clamp member Grooves may be formed to engage the portion.

한편, 상기 클램프 부재와 상기 백 플레이트 사이에 개재되며, 일측은 상기 백 플레이트의 하면과 접촉하고, 타측은 상기 샤워헤드의 상면과 접촉하는 열저항 부재를 더 포함할 수 있다. 이 때, 상기 열저항 부재는 금속박판일 수 있다.On the other hand, it is interposed between the clamp member and the back plate, one side may further include a heat resistance member in contact with the lower surface of the back plate, the other side in contact with the upper surface of the shower head. In this case, the heat resistance member may be a metal thin plate.

상기 샤워헤드의 가장자리에는 타원형 장공홀이 형성될 수 있으며, 제4 결합부재가 상기 클램프 부재의 수평부분을 관통하여 상기 장공홀에 삽입될 수 있다.An oval long hole may be formed at an edge of the shower head, and a fourth coupling member may be inserted into the long hole through the horizontal portion of the clamp member.

여기서, 상기 샤워헤드는 사각형의 판재 형상인 경우, 상기 클램프 부재, 상기 타원형 장공홀 및 상기 제4 결합부재는 상기 샤워헤드의 각 측면마다 마련될 수 있다. 또한, 상기 장공홀 및 상기 제4 결합부재는, 상기 샤워헤드의 각 측면마다 각각 한 쌍씩 마련될 수도 있다.
Here, when the shower head has a rectangular plate shape, the clamp member, the elliptical long hole and the fourth coupling member may be provided at each side surface of the shower head. The long hole and the fourth coupling member may be provided in pairs for each side surface of the shower head.

본 발명의 바람직한 실시예에 따르면, 샤워헤드의 열팽창 왜곡 현상을 최소화 시켜, 양질의 균일한 대면적 박막을 얻을 수 있다.
According to a preferred embodiment of the present invention, by minimizing the thermal expansion distortion phenomenon of the shower head, it is possible to obtain a high quality uniform large area thin film.

도 1은 종래기술에 따른 PECVD 장치를 나타내는 단면도.
도 2는 종래기술에 따른 샤워헤드의 휨 현상을 나타내는 도면.
도 3은 본 발명의 일 실시예에 따른 화학기상증착 장치를 나타내는 단면도.
도 4는 도 3의 'A' 부분을 확대하여 나타내는 도면.
도 5는 도 3의 'B' 부분을 확대하여 나타내는 도면.
도 6은 장공홀이 형성된 샤워헤드를 나타내는 평면도.
도 7은 종래기술에 따른 PECVD 장치에서 가스 도입부를 통해 진공용기 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면.
도 8은 본 발명의 일 실시예에 따른 가스 확산부재를 나타내는 사시도.
도 9는 도 8의 가스 확산부재가 적용된 PECVD 장치에서 가스 도입부를 통해 진공용기 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면.
도 10은 본 발명의 다른 실시예에 따른 가스 확산부재를 나타내는 사시도.
도 11은 도 10의 가스 확산부재가 적용된 PECVD 장치에서 가스 도입부를 통해 진공용기 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면.
1 is a cross-sectional view showing a PECVD apparatus according to the prior art.
Figure 2 is a view showing the bending phenomenon of the showerhead according to the prior art.
Figure 3 is a cross-sectional view showing a chemical vapor deposition apparatus according to an embodiment of the present invention.
4 is an enlarged view of a portion 'A' of FIG. 3.
5 is an enlarged view illustrating a portion 'B' of FIG. 3.
6 is a plan view illustrating a shower head in which a long hole is formed.
7 is a view showing a process gas is introduced into the vacuum vessel through the gas inlet diffusion in the PECVD apparatus according to the prior art.
8 is a perspective view showing a gas diffusion member according to an embodiment of the present invention.
FIG. 9 is a view illustrating a process gas diffused into a vacuum container through a gas introduction unit in a PECVD apparatus to which the gas diffusion member of FIG. 8 is applied. FIG.
10 is a perspective view showing a gas diffusion member according to another embodiment of the present invention.
FIG. 11 is a view illustrating a process gas diffused into a vacuum container through a gas introduction unit in a PECVD apparatus to which the gas diffusion member of FIG. 10 is applied. FIG.

본 발명은 다양한 변환을 가할 수 있고 여러 가지 실시예를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 상세한 설명에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 실시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변환, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 본 발명을 설명함에 있어서 관련된 공지 기술에 대한 구체적인 설명이 본 발명의 요지를 흐릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.BRIEF DESCRIPTION OF THE DRAWINGS The present invention is capable of various modifications and various embodiments, and specific embodiments are illustrated in the drawings and described in detail in the detailed description. It is to be understood, however, that the invention is not to be limited to the specific embodiments, but includes all modifications, equivalents, and alternatives falling within the spirit and scope of the invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. The terms first, second, etc. may be used to describe various components, but the components should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of the present invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprise" or "have" are intended to indicate that there is a feature, number, step, operation, component, part, or combination thereof described in the specification, and one or more other features. It is to be understood that the present invention does not exclude the possibility of the presence or the addition of numbers, steps, operations, components, components, or a combination thereof.

이하, 본 발명에 따른 화학기상증착 장치의 바람직한 실시예를 첨부도면을 참조하여 상세히 설명하기로 하며, 첨부 도면을 참조하여 설명함에 있어, 동일하거나 대응하는 구성 요소는 동일한 도면번호를 부여하고 이에 대한 중복되는 설명은 생략하기로 한다.Hereinafter, preferred embodiments of the chemical vapor deposition apparatus according to the present invention will be described in detail with reference to the accompanying drawings, in the description with reference to the accompanying drawings, the same or corresponding components are given the same reference numerals and Duplicate explanations will be omitted.

도 3은 본 발명의 일 실시예에 따른 PECVD 장치를 나타내는 단면도이고, 도 4는 도 3의 'A' 부분을 확대하여 나타내는 도면이며, 도 5는 도 3의 'B' 부분을 확대하여 나타내는 도면이다. 도 3 내지 도 5를 참조하면, 공정챔버(100), 반응공간(150), 백 플레이트(200), 가스 도입부(210), 제1 결합부재(250), 가스확산부재(300), 샤워헤드(400), 분사홀(410), 제2 결합부재(450), 장공홀(460), 서셉터(500), 클램프 부재(600), 제3 결합부재(650), 제4 결합부재(670), 열저항 부재(700), 기판(800) 등이 도시되어 있다.3 is a cross-sectional view illustrating a PECVD apparatus according to an embodiment of the present invention, FIG. 4 is an enlarged view of portion 'A' of FIG. 3, and FIG. 5 is an enlarged view of portion 'B' of FIG. 3. to be. 3 to 5, the process chamber 100, the reaction space 150, the back plate 200, the gas introduction unit 210, the first coupling member 250, the gas diffusion member 300, and the shower head 400, the injection hole 410, the second coupling member 450, the long hole 460, the susceptor 500, the clamp member 600, the third coupling member 650, and the fourth coupling member 670. ), Thermal resistance member 700, substrate 800, and the like.

도 3에 도시된 바와 같이, 본 실시예에 따른 CVD 장치는, 반응공간(150)을 구획하는 공정챔버(100); 상기 반응공간(150)의 상측에 위치하며, 중앙에 가스 도입부(210)가 구비되는 백 플레이트(200, back plate); 상기 가스 도입부(210)의 하측에 이격되어 배치되며, 상기 가스 도입부(210)를 통해 공급되는 공정가스를 확산시키는 가스확산부재(300); 상기 백 플레이트(200) 및 상기 가스확산부재(300)의 하측에 이격되어 배치되며, 복수 개의 분사홀(410)이 천공된 샤워헤드(400); 상기 샤워헤드(400)의 하측에 이격되어 배치되며, 기판(800)을 지지하는 서셉터(500, susceptor)를 포함한다.As shown in FIG. 3, the CVD apparatus according to the present embodiment includes a process chamber 100 that partitions the reaction space 150; A back plate 200 located at an upper side of the reaction space 150 and having a gas introduction part 210 at the center thereof; A gas diffusion member 300 disposed below the gas introduction part 210 to diffuse the process gas supplied through the gas introduction part 210; A shower head 400 spaced apart from the lower side of the back plate 200 and the gas diffusion member 300 and having a plurality of injection holes 410 perforated therein; Spaced below the shower head 400, and includes a susceptor (500, susceptor) for supporting the substrate (800).

이 때, 상기 가스확산부재(300)는 제1 결합부재(250)에 의해 상기 백 플레이트(200)에 결합되고, 상기 샤워헤드(400)의 중앙부는 제2 결합부재(450)에 의해 상기 가스확산부재(300)에 결합된다. 즉, 가스확산부재(300)를 매개로 하여 샤워헤드(400)의 중앙부가 백 플레이트(200)에 체결되는 것이다. 이러한 본 실시예에 따르면, 열팽창에 의해 샤워헤드(400)의 중앙부가 하부로 처지는 문제를 해결할 수 있게 된다.At this time, the gas diffusion member 300 is coupled to the back plate 200 by the first coupling member 250, the central portion of the shower head 400 by the second coupling member 450 It is coupled to the diffusion member 300. That is, the central portion of the shower head 400 is fastened to the back plate 200 through the gas diffusion member 300. According to this embodiment, it is possible to solve the problem that the central portion of the shower head 400 sagging due to thermal expansion.

보다 구체적으로, 도 4에 도시된 바와 같이, 가스확산부재(300)는 자신의 가장자리를 관통하는 나사와 같은 제1 결합부재(250)에 의해 백 플레이트(200)로부터 소정 거리만큼 이격된 상태에서 백 플레이트(200)에 체결된다. 또한, 가스확산부재(300)는 나사와 같은 제2 결합부재(450)에 의해 샤워헤드(400)로부터도 소정 거리만큼 이격된 상태에서 샤워헤드(400)와 체결된다. 이 때, 제2 결합부재(450)는 샤워헤드(400)를 관통하여 단부가 가스확산부재(300)의 중앙에 삽입될 수 있다.More specifically, as shown in FIG. 4, the gas diffusion member 300 is spaced apart from the back plate 200 by a first coupling member 250 such as a screw passing through its edge. It is fastened to the back plate 200. In addition, the gas diffusion member 300 is fastened to the shower head 400 in a state spaced apart from the shower head 400 by a second coupling member 450 such as a screw. At this time, the second coupling member 450 may penetrate the shower head 400 and an end thereof may be inserted into the center of the gas diffusion member 300.

한편, 본 실시예에서는 제1 결합부재(250) 및 제2 결합부재(450)로 나사를 제시하였으나, 반드시 이에 한정되는 것은 아니며, 가스확산부재(300)가 백 플레이트(200)와 샤워헤드(400)로부터 이격된 상태에서 고정될 수만 있다면 어느 부재(예를 들면, 핀)를 이용하더라도 무방하다.Meanwhile, in the present embodiment, the screw is provided as the first coupling member 250 and the second coupling member 450, but is not necessarily limited thereto. The gas diffusion member 300 may include the back plate 200 and the shower head ( Any member (eg, a pin) may be used as long as it can be fixed while being spaced apart from 400.

공정챔버(100)는 진공상태의 반응공간(150)을 구획한다. 이러한 공정챔버(100)는 크게 상부커버(120)와 챔버몸체(110)로 구분되며, 그 사이에는 오-링과 같은 실링부재(미도시)가 개재되어 공정챔버(100) 내의 반응공간(150)을 외부로부터 밀폐시킨다.The process chamber 100 partitions the reaction space 150 in a vacuum state. The process chamber 100 is largely divided into an upper cover 120 and a chamber body 110, and a sealing member (not shown) such as an o-ring is interposed therebetween so that the reaction space 150 in the process chamber 100 is provided. ) Is sealed from the outside.

상기 반응공간(150)의 상측, 보다 구체적으로 상부커버(120)가 구획하는 공간에는 백 플레이트(200)가 위치한다. 백 플레이트(200)는 알루미늄과 같은 금속 재질로 이루어질 수 있으며, 그 중앙에는 공정가스의 주입을 위한 가스 도입부(210)가 구비된다. 가스 도입부(210)는 백 플레이트(200)를 관통하는 홀일 수 있으며, 또는 홀에 삽입되는 관일 수도 있다. 외부의 가스공급원(미도시)으로부터 공급되는 공정가스는 이러한 가스 도입부(210)를 거쳐 백 플레이트(200)의 하부로 주입될 수 있게 된다.The back plate 200 is positioned above the reaction space 150, more specifically, in the space defined by the upper cover 120. The back plate 200 may be made of a metal material such as aluminum, and a gas introduction part 210 for injecting process gas is provided at the center thereof. The gas introduction part 210 may be a hole penetrating the back plate 200 or a tube inserted into the hole. Process gas supplied from an external gas supply source (not shown) may be injected into the lower portion of the back plate 200 via the gas introduction portion 210.

백 플레이트(200)의 하측, 보다 구체적으로 백 플레이트(200)에 구비된 가스 도입부(210)의 하측에는, 도 4에 도시된 바와 같이, 공급된 공정가스를 확산시키기 위한 가스확산부재(300)가 위치한다. 전술한 바와 같이, 가스확산부재(300)는 제1 결합부재(250)에 의해 백 플레이트(200)로부터 이격된 상태에서 고정된다.The gas diffusion member 300 for diffusing the supplied process gas, as shown in FIG. 4, below the back plate 200, more specifically, below the gas introduction unit 210 provided in the back plate 200. Is located. As described above, the gas diffusion member 300 is fixed in a state spaced apart from the back plate 200 by the first coupling member 250.

이러한 가스확산부재(300)는 공정챔버(100) 내부, 보다 구체적으로는 백 플레이트(200)와 샤워헤드(400) 사이의 공간(220, 이하 버퍼공간이라 칭함)에서 유입된 공정가스가 효과적으로 확산되도록 하기 위한 것으로서, 이를 위해서는 유입되는 공정가스들의 라미나 흐름(Laminar flow)을 만들어 주는 것이 중요하다. 가스확산부재(300)의 구체적인 형상 및 기능 등에 대해서는 후술하도록 한다.The gas diffusion member 300 effectively diffuses the process gas introduced in the process chamber 100, more specifically, in the space 220 (hereinafter, referred to as a buffer space) between the back plate 200 and the shower head 400. For this purpose, it is important to create a laminar flow of the incoming process gases. Specific shapes and functions of the gas diffusion member 300 will be described later.

한편, 도 4에 도시된 바와 같이, 상기 백 플레이트(200)의 하측 단부에는 상기 가스 도입부(210) 보다 큰 단면적을 갖는 확장홈(230)이 형성될 수 있으며, 상기 가스확산부재(300)의 일부 또는 전부는 상기 확장홈(230) 내에 위치할 수 있다. 이 때, 확장홈(230)은 가스확산부재(300)와 닮은꼴일 수 있다.On the other hand, as shown in Figure 4, the lower end of the back plate 200 may be formed with an expansion groove 230 having a larger cross-sectional area than the gas introduction portion 210, the gas diffusion member 300 Some or all may be located in the expansion groove 230. At this time, the expansion groove 230 may be similar to the gas diffusion member 300.

백 플레이트(200) 및 가스확산부재(300)의 하측에는 샤워헤드(400)가 이격되어 배치된다. 샤워헤드(400)는 주입된 공정가스를 확산시켜, 공정가스가 서셉터(500) 상에 위치할 기판(800)의 전면에 고르게 분사되도록 하는 수단으로서, 공정챔버(100)의 단면 형상과 닮은 꼴인 형상을 가질 수 있다. 예를 들어, 공정챔버(100)가 직육면체 형상으로 이루어져 그 단면이 직사각형을 갖는 경우, 샤워헤드(400)는 직사각형의 판재 형상일 수 있는 것이다. 또한, 샤워헤드(400)는 알루미늄 등과 같은 금속 재질의 판재 형상인 몸체에 고른 간격으로 천공되는 분사홀(410)을 포함할 수 있다. 이 때, 분사홀(410)은 아래로 갈수록 단면적이 점차 커지는 콘 형상일 수 있다.The shower head 400 is spaced apart from the back plate 200 and the gas diffusion member 300. The showerhead 400 is a means for diffusing the injected process gas so that the process gas is evenly sprayed on the front surface of the substrate 800 to be positioned on the susceptor 500, and similar to the cross-sectional shape of the process chamber 100. It may have a shape shaped. For example, when the process chamber 100 has a rectangular parallelepiped shape and has a rectangular cross section, the shower head 400 may have a rectangular plate shape. In addition, the shower head 400 may include a spray hole 410 perforated at even intervals in the body of the plate shape of a metal material such as aluminum. At this time, the injection hole 410 may have a cone shape in which the cross-sectional area is gradually increased toward the bottom.

이상과 같은 구조로 인하여, 본 실시예에 따르면, 주입된 공정가스가 백 플레이트(200)의 하부에 형성된 가스확산부재(300)에 의해 1차로 확산된 뒤, 샤워헤드(400)에 의해 2차로 확산되어 서셉터(500) 상면에 안착된 기판(800)의 상면으로 균일하게 분사될 수 있게 된다.Due to the structure as described above, according to the present embodiment, the injected process gas is first diffused by the gas diffusion member 300 formed in the lower portion of the back plate 200, and then secondly by the shower head 400. The diffusion may be uniformly sprayed onto the upper surface of the substrate 800 seated on the upper surface of the susceptor 500.

이 때, RF 전원(900)이 백 플레이트(200) 및 샤워헤드(400)와 연결되어, 분사된 공정가스를 여기시키는데 필요한 에너지를 공급함으로써, 샤워헤드(400)를 통해 분사된 공정가스를 플라즈마화시키게 된다. 즉, 백 플레이트(200) 및 샤워헤드(400)는 상부 전극으로서의 기능을 수행할 수 있게 된다.At this time, the RF power source 900 is connected to the back plate 200 and the shower head 400 to supply energy required to excite the injected process gas, thereby plasma processing the process gas injected through the shower head 400. Get mad. In other words, the back plate 200 and the shower head 400 may function as the upper electrode.

한편, 공정챔버(100), 보다 구체적으로는 상부커버(120)는 접지로서의 기능을 수행하게 되므로, 도 5에 도시된 바와 같이, 상부 전극으로서 기능하는 백 플레이트(200) 및 샤워헤드(400)과 상부커버(120) 사이에는 절연체들(160, 170, 180)이 개재되어 이들 사이의 전기적인 절연이 유지되게 한다. 이 때, 절연체(160)의 소정의 위치에는 오링(190)이 배치되어 반응공간(도 3의 150)의 진공상태가 유지되도록 할 수 있다.Meanwhile, since the process chamber 100, more specifically, the upper cover 120 performs a function as a ground, as shown in FIG. 5, the back plate 200 and the showerhead 400 functioning as the upper electrode. Insulators 160, 170, and 180 are interposed between the upper cover 120 and the upper cover 120 to maintain electrical insulation therebetween. In this case, the O-ring 190 may be disposed at a predetermined position of the insulator 160 to maintain the vacuum state of the reaction space (150 in FIG. 3).

결정질 실리콘 태양전지 제조공정에서는 반사 방지막으로 질화 실리콘(SiNx) 막을 주로 사용하며, 이러한 반사 방지막을 형성하기 위해서는 공정가스로 SiH4와 NH3를 주입하여 공정을 시행할 수 있다.In the crystalline silicon solar cell manufacturing process, a silicon nitride (SiNx) film is mainly used as an anti-reflection film, and in order to form such an anti-reflection film, SiH 4 and NH 3 may be injected into the process gas to perform the process.

한편, 도 5에 도시된 바와 같이 샤워헤드(400)의 가장자리는 샤워헤드(400)의 하면을 지지하기 위한 수평부분(610)과, 샤워헤드(400)의 측면을 지지하기 위한 수직부분(620)으로 구분되는 클램프 부재(600)에 의해 지지될 수 있다. 이 때, 샤워헤드(400)의 가장자리 하부에는, 클램프 부재(600)의 하부, 즉 수평부분(610)과 맞물리도록 홈(430)이 형성될 수 있다.Meanwhile, as shown in FIG. 5, the edge of the shower head 400 includes a horizontal portion 610 for supporting the lower surface of the shower head 400 and a vertical portion 620 for supporting the side of the shower head 400. It may be supported by the clamp member 600 is divided into. In this case, a groove 430 may be formed below the edge of the shower head 400 to engage the lower portion of the clamp member 600, that is, the horizontal portion 610.

클램프 부재(600), 예를 들어 클램프 부재의 수직부분(620)은 나사 등과 같은 제3 결합부재(650)를 통해 백 플레이트(200)에 결합될 수 있으며, 클램프 부재의 수평부분(610)은 샤워헤드(400)의 하면과 맞물려 이를 지지할 수 있다.The clamp member 600, for example, the vertical portion 620 of the clamp member, may be coupled to the back plate 200 via a third coupling member 650 such as a screw, and the horizontal portion 610 of the clamp member may be The lower surface of the showerhead 400 may be engaged with and support the same.

한편, 샤워헤드(400)의 측면과 클램프 부재(600) 사이에는 소정의 갭(420)이 형성될 수 있다. 이러한 갭(420)은 샤워헤드(400)의 열팽창을 고려한 여유공간이다. Meanwhile, a predetermined gap 420 may be formed between the side surface of the shower head 400 and the clamp member 600. The gap 420 is a free space considering the thermal expansion of the shower head 400.

다른 한편, 도 5에 도시된 바와 같이, 상기 샤워헤드(400)의 가장자리에는 타원형 장공홀(460)이 형성되고, 제4 결합부재(670)가 상기 클램프 부재(600)의 수평부분(610)을 관통하여 상기 장공홀(460)에 삽입될 수도 있다. 제4 결합부재(670)는 클램프 부재(600)와 샤워헤드(400)를 결합시켜, 샤워헤드(400)의 가장자리 부분이 지지될 수 있도록 하는 수단이다. 이러한 제4 결합부재(670)로는 나사, 핀 등 다양한 체결수단을 이용할 수 있음은 물론이다.On the other hand, as shown in FIG. 5, an oval long hole 460 is formed at the edge of the shower head 400, and the fourth coupling member 670 is a horizontal portion 610 of the clamp member 600. It may be inserted into the long hole 460 through the. The fourth coupling member 670 is a means for coupling the clamp member 600 and the shower head 400 so that the edge portion of the shower head 400 can be supported. As the fourth coupling member 670, various fastening means such as screws and pins may be used.

이 때, 샤워헤드(400)에는 도 6에 도시된 바와 같이 장공홀(460)이 형성된다. 이러한 장공홀(460)을 형성함으로써, 샤워헤드(400)의 열팽창에도 불구하고, 샤워헤드(400)에 제4 결합부재(670)의 존재로 의한 스트레스가 과도하게 부가되는 것을 방지할 수 있게 된다. 장공홀(460) 내의 잔여공간이 샤워헤드(400)의 열팽창을 고려한 여유공간으로서의 기능을 수행할 수 있게 되기 때문이다.At this time, the shower head 400 is formed with a long hole 460 as shown in FIG. By forming such a long hole 460, in spite of thermal expansion of the shower head 400, it is possible to prevent excessive stress due to the presence of the fourth coupling member 670 to the shower head 400. . This is because the remaining space in the long hole 460 can function as a free space considering the thermal expansion of the shower head 400.

한편, 샤워헤드(400)가 사각형의 판재 형상인 경우, 상기 클램프 부재(600), 상기 타원형 장공홀(460) 및 상기 제4 결합부재(670)는 상기 샤워헤드(400)의 각 측면마다 마련될 수 있다. 즉, 클램프 부재(600)와 제4 결합부재(670)가 샤워헤드(400)의 각 측면마다 모두 형성되어, 샤워헤드(400)의 가장자리를 보다 충실히 지지할 수 있도록 하는 것이다.On the other hand, when the shower head 400 is a rectangular plate shape, the clamp member 600, the elliptical long hole 460 and the fourth coupling member 670 is provided for each side of the shower head 400 Can be. That is, the clamp member 600 and the fourth coupling member 670 are formed on each side of the shower head 400 so that the edge of the shower head 400 can be more faithfully supported.

이 때, 도 6에 도시된 바와 같이, 상기 장공홀(460) 및 상기 제4 결합부재(670)는, 상기 샤워헤드(400)의 각 측면마다 각각 한 쌍씩 마련되어, 샤워헤드(400)를 보다 더 충실히 지지할 수도 있다.At this time, as shown in Figure 6, the long hole 460 and the fourth coupling member 670, each pair is provided for each side of the shower head 400, the shower head 400 You may be more loyal.

또한, 상기 클램프 부재(600)와 상기 백 플레이트(200) 사이에는 열저항 부재(700)가 개재될 수도 있다. 이러한 열저항 부재(700)는, 도 5에 도시된 바와 같이, 일측은 상기 백 플레이트(200)의 하면과 접촉하고, 타측은 상기 샤워헤드(400)의 상면과 접촉하게 되어, 샤워헤드(400)와 백 플레이트(200) 간의 열전달에 있어서 저항으로서의 기능을 수행하게 된다. 이러한 열저항 부재(700)의 저항 역할에 의해 샤워헤드(400)의 열이 백 플레이트(200)로 전달되는 현상을 줄일 수 있게 된다. 이러한 열저항 부재(700)로는 알루미늄과 같은 재질의 금속박판을 이용할 수 있다. 열저항 부재(700)의 두께는 대략 1.5 ~ 3.0 mm 정도일 수 있다.In addition, a heat resistance member 700 may be interposed between the clamp member 600 and the back plate 200. As shown in FIG. 5, the heat resistance member 700 is in contact with the bottom surface of the back plate 200, and the other side is in contact with the top surface of the shower head 400. And a heat transfer between the back plate 200 and the back plate 200. By the resistance role of the heat resistance member 700 it is possible to reduce the phenomenon that the heat of the shower head 400 is transferred to the back plate 200. As the heat resistance member 700, a metal thin plate made of a material such as aluminum may be used. The thickness of the heat resistance member 700 may be about 1.5 to 3.0 mm.

샤워헤드(400)의 하측에는 기판(800)이 안착되는 서셉터(500)가 이격되어 배치된다. 서셉터(500)의 내부에는 히터(510)가 매설될 수 있으며, 이 경우 박막 증착 과정에서 서셉터(500)의 상부에 안착된 기판(800)을 증착에 적합한 온도(예를 들면 약 400℃)로 상승시킬 수 있다. 또한, 서셉터(500)는 전기적으로 접지되어 하부 전극으로서의 기능을 수행할 수 있게 되며, 기판(800)의 로딩 및 언로딩을 위해 별도의 승강수단(520)에 의해 상하로 승강할 수도 있다.The susceptor 500 on which the substrate 800 is seated is spaced apart from the shower head 400. The heater 510 may be embedded in the susceptor 500, and in this case, a temperature suitable for depositing the substrate 800 seated on the upper part of the susceptor 500 during the thin film deposition process (for example, about 400 ° C.). Can be raised. In addition, the susceptor 500 may be electrically grounded to perform a function as a lower electrode, and may be lifted up and down by separate lifting means 520 for loading and unloading the substrate 800.

한편, 증착 반응이 완료된 후에 공정챔버(100) 내부에 잔류하는 공정가스가 외부로 배출될 수 있도록 공정챔버(100)의 하측, 보다 구체적으로 서셉터(500)의 하방에는 배기구(160)가 구비될 수 있다.Meanwhile, an exhaust port 160 is provided below the process chamber 100 and more specifically below the susceptor 500 so that the process gas remaining in the process chamber 100 may be discharged to the outside after the deposition reaction is completed. Can be.

이하에서는 전술한 가스확산부재(300)의 형상 및 기능에 대해 보다 구체적으로 설명하도록 한다. 도 7은 종래기술에 따른 PECVD 장치에서 가스 도입부(210)를 통해 공정챔버(100) 내, 보다 구체적으로는 버퍼공간(220) 내로 유입된 공정가스가 확산되는 모습을 나타내는 도면이다. 여기서 화살표는 확산되는 공정가스를 나타낸다.Hereinafter, the shape and function of the above-described gas diffusion member 300 will be described in more detail. 7 is a view illustrating a process gas diffused into the process chamber 100, more specifically, into the buffer space 220 through the gas introduction unit 210 in the PECVD apparatus according to the related art. The arrow here represents the process gas to be diffused.

기판(800) 상에 균일한 박막을 형성하기 위해서는, 기판(800) 전면에 걸쳐 균일한 공정가스가 공급되는 것이 중요하다. 이를 위해서는 가스 도입부(210)를 통해 샤워헤드(400) 상측으로 공급되는 공정가스가 샤워헤드(400) 전면에 걸쳐 고르게 분포될 필요가 있는데, 도 7에 도시된 바와 같이, 종래기술에 따르면, 직육면체 형상을 갖는 공정챔버(100)에 있어서, 중앙부에 위치한 가스 도입부(210)부터 공정챔버(100)의 모서리 부분(102)까지의 거리가 멀기 때문에, 공정챔버(100) 전체에 걸쳐 고른 공정가스의 분포를 갖기에는 한계가 존재하였다.In order to form a uniform thin film on the substrate 800, it is important to supply a uniform process gas over the entire surface of the substrate 800. To this end, the process gas supplied to the upper side of the shower head 400 through the gas introduction unit 210 needs to be evenly distributed over the entire shower head 400. As shown in FIG. 7, according to the related art, a rectangular parallelepiped is required. In the process chamber 100 having a shape, since the distance from the gas introduction portion 210 located at the center portion to the corner portion 102 of the process chamber 100 is far, the process gas is evenly distributed throughout the process chamber 100. There was a limit to having a distribution.

이러한 점을 고려하여, 본 실시예에서는, 도 8에 도시된 바와 같이, 사각판 형태의 지지 플레이트(310A)와, 지지 플레이트(310A)의 중앙부 상면에 형성되는 원뿔(320A)을 포함하는 가스확산부재(300A)를 제시한다. 이 때, 지지 플레이트(310A)의 각 측면(312A)은 상기 공정챔버(100)의 모서리(102)를 향하여 배치된다.In view of this point, in this embodiment, as shown in FIG. 8, the gas diffusion includes a support plate 310A in the form of a square plate and a cone 320A formed on the upper surface of the central portion of the support plate 310A. Present member 300A. At this time, each side 312A of the support plate 310A is disposed toward the edge 102 of the process chamber 100.

이러한 가스확산부재(300A)를 이용하게 되면, 도 9에 도시된 바와 같이, 가스 도입부(210)를 통해 공급된 공정가스는 일단 원뿔(320A)의 측면을 따라 사방으로 고르게 하강하게 되고, 이 후 지지 플레이트(310A)의 상면을 따라 이동하게 된다. 이 때, 지지 플레이트(310A)의 상면은 공정가스의 이동에 있어서 저항으로 작용하게 되므로, 중앙으로부터 상대적으로 거리가 짧은(즉, 저항이 작은) 지지 플레이트의 측면(312A) 방향으로는 공정가스의 흐름이 원활하게 되고, 중앙으로부터 상대적으로 거리가 긴(즉, 저항이 큰) 지지 플레이트의 모서리(314A) 방향으로는 공정가스의 흐름이 원활하지 못하게 되는 결과가 나타날 수 있다.When the gas diffusion member 300A is used, as shown in FIG. 9, the process gas supplied through the gas introduction part 210 is first lowered evenly in all directions along the side surface of the cone 320A. It moves along the upper surface of the support plate 310A. At this time, since the upper surface of the support plate 310A acts as a resistance in the movement of the process gas, the process gas is directed toward the side surface 312A of the support plate having a relatively short distance from the center (that is, the resistance is small). The flow may be smooth, and the flow of the process gas may not be smooth in the direction of the edge 314A of the support plate having a relatively long distance (i.e., high resistance) from the center.

이 때, 도 9에 도시된 바와 같이, 제1 결합부재(250)를 원뿔(320A)의 중심과 지지 플레이트(310A)의 모서리(314A)를 통과하는 직선 경로 상에 위치시키면, 제1 결합부재(250)가 공정가스의 이동에 대해 저항으로서 작용하게 되어, 지지 플레이트의 측면(312A) 방향으로의 공정가스의 흐름을 보다 더 원활하게 할 수도 있다.이와 같이, 가스확산부재의 형상을 변경하여 상대적으로 거리가 긴 공정챔버(100)의 모서리 방향(102)으로 공정가스의 흐름을 원활하게 하면, 공정챔버(100)의 모서리 부분(102)에 대한 공정가스의 보상이 이루어질 수 있게 되고, 그 결과 버퍼공간(220), 나아가 공정챔버(100) 내의 전체적인 공정가스의 균일도를 향상시킬 수 있게 된다.In this case, as shown in FIG. 9, when the first coupling member 250 is positioned on a straight path passing through the center of the cone 320A and the corner 314A of the support plate 310A, the first coupling member The 250 may act as a resistance to the movement of the process gas, thereby making it possible to smoothly flow the process gas toward the side surface 312A of the support plate. In this way, the shape of the gas diffusion member may be changed by When the process gas flows smoothly in the edge direction 102 of the process chamber 100 having a relatively long distance, the process gas can be compensated for the edge portion 102 of the process chamber 100. As a result, the uniformity of the overall process gas in the buffer space 220 and further, the process chamber 100 can be improved.

다른 실시예로, 도 10에 도시된 바와 같이, 원판 형태의 지지 플레이트(310B)와, 지지 플레이트(310B)의 상면에 형성되는 사각뿔(320B)을 포함하는 가스확산부재(300B)를 이용할 수도 있다. 이 때, 사각뿔(320B)의 각 측면(322B)은 공정챔버(100)의 모서리(102)를 향하여 배치된다.In another embodiment, as shown in FIG. 10, a gas diffusion member 300B including a disc-shaped support plate 310B and a square pyramid 320B formed on an upper surface of the support plate 310B may be used. . At this time, each side surface 322B of the square pyramid 320B is disposed toward the edge 102 of the process chamber 100.

본 실시예에서는 사각뿔(320B)의 모서리 부분(324B)이 공정가스의 흐름을 저해하는 저항으로서의 기능을 할 수 있게 되어, 도 11에 도시된 바와 같이, 상대적으로 공정가스의 흐름이 원활한 사각뿔의 측면(322B)이 공정챔버(100)의 모서리(102)를 향하도록 함으로써, 공정챔버(100)의 모서리 부분(102)에 대한 공정가스의 보상이 이루어질 수 있게 되는 것이다.In this embodiment, the corner portion 324B of the quadrangular pyramid 320B can function as a resistance that inhibits the flow of the process gas. As shown in FIG. 11, the side surface of the square pyramid has a relatively smooth process gas flow. By directing 322B toward the edge 102 of the process chamber 100, compensation of the process gas for the edge portion 102 of the process chamber 100 can be achieved.

이 때, 도 11에 도시된 바와 같이, 제1 결합부재(250)를 사각뿔(320B)의 중심과 사각뿔(320B)의 모서리(324B)를 통과하는 직선 경로 상에 위치시키면, 제1 결합부재(250)가 공정가스의 이동에 대해 저항으로서 작용하게 되어, 공정챔버(100)의 모서리 부분(102) 방향으로의 공정가스의 흐름을 보다 더 원활하게 할 수도 있다.In this case, as shown in FIG. 11, when the first coupling member 250 is positioned on a straight path passing through the center of the square pyramid 320B and the corner 324B of the square pyramid 320B, the first coupling member ( 250 may act as a resistance to the movement of the process gas, thereby allowing a more smooth flow of the process gas toward the corner portion 102 of the process chamber 100.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야에서 통상의 지식을 가진 자라면 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention as defined in the appended claims. It will be understood that the invention may be varied and varied without departing from the scope of the invention.

전술한 실시예 외의 많은 실시예들이 본 발명의 특허청구범위 내에 존재한다.
Many embodiments other than the above-described embodiments are within the scope of the claims of the present invention.

100: 공정챔버
110: 챔버몸체
120: 상부커버
150: 반응공간
200: 백 플레이트
210: 가스 도입부
220: 버퍼공간
230 : 확장홈
250: 제1 결합부재
300A, 300B: 가스확산부재
400: 샤워헤드
410: 분사홀
450: 제2 결합부재
460: 장공홀
500: 서셉터
600: 클램프 부재
610: 수평부분
620: 수직부분
650: 제3 결합부재
670: 제4 결합부재
700: 열저항 부재
800: 기판
100: process chamber
110: chamber body
120: top cover
150: reaction space
200: back plate
210: gas inlet
220: buffer space
230: expansion groove
250: first coupling member
300A, 300B: gas diffusion member
400: shower head
410: injection hole
450: second coupling member
460: long hole
500: susceptor
600: clamp member
610: horizontal portion
620: vertical part
650: third coupling member
670: fourth coupling member
700: heat resistance member
800: substrate

Claims (17)

반응 공간을 구획하는 공정챔버;
상기 반응 공간의 상측에 위치하며, 중앙에 가스 도입부가 구비되는 백 플레이트(back plate);
상기 가스 도입부의 하측에 이격되어 배치되며, 상기 가스 도입부를 통해 공급되는 공정가스를 확산시키는 가스확산부재; - 이 때, 상기 가스확산부재는 제1 결합부재에 의해 상기 백 플레이트에 결합됨 -
상기 백 플레이트 및 상기 가스확산부재의 하측에 이격되어 배치되며, 복수 개의 분사홀이 천공된 샤워헤드; - 이 때, 상기 샤워헤드의 중앙부는 제2 결합부재에 의해 상기 가스확산부재에 결합됨 -
상기 샤워헤드의 하측에 이격되어 배치되며, 기판을 지지하는 서셉터(susceptor)를 포함하는 화학기상증착 장치.
A process chamber partitioning the reaction space;
A back plate positioned above the reaction space and having a gas introduction part at a center thereof;
A gas diffusion member disposed below the gas introduction unit to diffuse the process gas supplied through the gas introduction unit; Wherein the gas diffusion member is coupled to the back plate by a first coupling member.
A shower head spaced apart from the back plate and the gas diffusion member, and having a plurality of injection holes formed therein; Wherein the central portion of the showerhead is coupled to the gas diffusion member by a second coupling member.
And a susceptor disposed on the lower side of the shower head, the susceptor supporting the substrate.
제1항에 있어서,
상기 제1 결합부재와 상기 제2 결합부재 중 적어도 어느 하나는 나사인 것을 특징으로 하는 화학기상증착 장치.
The method of claim 1,
At least one of the first coupling member and the second coupling member is a chemical vapor deposition apparatus, characterized in that the screw.
제1항에 있어서,
상기 백 플레이트의 하측 단부에는 상기 가스 도입부 보다 큰 단면적을 갖는 확장홈이 형성되고, 상기 가스확산부재의 적어도 일부는 상기 확장홈 내에 위치하는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 1,
An extended groove having a larger cross-sectional area than the gas introduction portion is formed at the lower end of the back plate, and at least a portion of the gas diffusion member is located in the extended groove.
제1항에 있어서,
상기 공정챔버는 직육면체 형상이고,
상기 가스확산부재는 원판 형태의 지지 플레이트; 및 상기 지지 플레이트의 상면에 형성되는 사각뿔을 포함하며,
상기 사각뿔의 각 측면은 상기 공정챔버의 모서리를 향하여 배치되는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 1,
The process chamber is rectangular parallelepiped,
The gas diffusion member includes a support plate having a disc shape; And it comprises a square pyramid formed on the upper surface of the support plate,
Each side of the square pyramid is a chemical vapor deposition apparatus, characterized in that disposed toward the corner of the process chamber.
제4항에 있어서,
상기 제1 결합부재는, 상기 사각뿔의 중심과 상기 사각뿔의 모서리를 통과하는 직선 경로 상에 위치하는 것을 특징으로 하는 화학기상증착 장치.
5. The method of claim 4,
The first coupling member, the chemical vapor deposition apparatus, characterized in that located on a straight path passing through the center of the square pyramid and the corner of the square pyramid.
제1항에 있어서,
상기 공정챔버는 직육면체 형상이고,
상기 가스확산부재는 사각판 형태의 지지 플레이트; 및 상기 지지 플레이트의 상면에 형성되는 원뿔을 포함하며,
상기 지지 플레이트의 각 측면은 상기 공정챔버의 모서리를 향하여 배치되는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 1,
The process chamber is rectangular parallelepiped,
The gas diffusion member includes a support plate having a rectangular plate shape; And a cone formed on an upper surface of the support plate,
Each side of the support plate is a chemical vapor deposition apparatus, characterized in that disposed toward the corner of the process chamber.
제6항에 있어서,
상기 제1 결합부재는, 상기 원뿔의 중심과 상기 지지 플레이트의 모서리를 통과하는 직선 경로 상에 위치하는 것을 특징으로 하는 화학기상증착 장치.
The method according to claim 6,
The first coupling member is a chemical vapor deposition apparatus, characterized in that located on a straight path passing through the center of the cone and the edge of the support plate.
제1항에 있어서,
상기 서셉터의 내부에는 열선이 내장되는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 1,
Chemical vapor deposition apparatus, characterized in that the heating wire is built in the susceptor.
제1항에 있어서,
상기 샤워헤드는 알루미늄 재질로 이루어지는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 1,
The shower head is a chemical vapor deposition apparatus, characterized in that made of aluminum.
제1항에 있어서,
상기 샤워헤드의 가장자리를 지지하도록 제3 결합부재를 통해 상기 백 플레이트와 결합되며, 상기 샤워헤드의 측면에 소정의 갭을 두고 위치하는 클램프 부재를 더 포함하는 화학기상증착 장치.
The method of claim 1,
And a clamp member coupled to the back plate through a third coupling member to support an edge of the shower head, the clamp member being positioned with a predetermined gap on the side of the shower head.
제10항에 있어서,
상기 클램프 부재와 상기 백 플레이트 사이에 개재되며, 일측은 상기 백 플레이트의 하면과 접촉하고, 타측은 상기 샤워헤드의 상면과 접촉하는 열저항 부재를 더 포함하는 화학기상증착 장치.
The method of claim 10,
Interposed between the clamp member and the back plate, one side of the chemical vapor deposition apparatus further comprises a heat resistance member in contact with the lower surface of the back plate, the other side in contact with the upper surface of the shower head.
제10항에 있어서,
상기 클램프 부재는, 상기 샤워헤드의 하면을 지지하기 위한 수평부분과, 상기 샤워헤드의 측면을 지지하기 위한 수직부분을 포함하며,
상기 샤워헤드의 가장자리 하부에는, 상기 클램프 부재의 수평부분과 맞물리도록 홈이 형성되는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 10,
The clamp member includes a horizontal portion for supporting the lower surface of the shower head and a vertical portion for supporting the side of the shower head,
Chemical vapor deposition apparatus, characterized in that the groove is formed in the lower portion of the edge of the shower head to be engaged with the horizontal portion of the clamp member.
제11항에 있어서,
상기 열저항 부재는 금속박판인 것을 특징으로 하는 화학기상증착 장치.
The method of claim 11,
Chemical vapor deposition apparatus, characterized in that the heat resistance member is a metal thin plate.
제13항에 있어서,
상기 열저항 부재는 알루미늄 재질로 이루어지는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 13,
Chemical vapor deposition apparatus, characterized in that the heat resistance member is made of aluminum.
제12항에 있어서,
상기 샤워헤드의 가장자리에는 타원형 장공홀이 형성되고,
상기 클램프 부재의 수평부분을 관통하여 상기 장공홀에 삽입되는 제4 결합부재를 더 포함하는 것을 특징으로 하는 화학기상증착 장치.
The method of claim 12,
An oval long hole is formed at the edge of the shower head,
And a fourth coupling member penetrating the horizontal portion of the clamp member and inserted into the long hole.
제15항에 있어서,
상기 샤워헤드는 사각형의 판재 형상이고,
상기 클램프 부재, 상기 타원형 장공홀 및 상기 제4 결합부재는 상기 샤워헤드의 각 측면마다 마련되는 것을 특징으로 하는 화학기상증착 장치.
16. The method of claim 15,
The showerhead is a rectangular plate shape,
The clamp member, the elliptical long hole and the fourth coupling member is a chemical vapor deposition apparatus, characterized in that provided for each side of the shower head.
제16항에 있어서,
상기 장공홀 및 상기 제4 결합부재는, 상기 샤워헤드의 각 측면마다 각각 한 쌍씩 마련되는 것을 특징으로 하는 화학기상증착 장치.
17. The method of claim 16,
The long hole and the fourth coupling member, each chemical vapor deposition apparatus, characterized in that provided in each pair of each side of the shower head.
KR1020100077982A 2010-08-12 2010-08-12 Apparatus for chemical vapor deposition KR101249999B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020100077982A KR101249999B1 (en) 2010-08-12 2010-08-12 Apparatus for chemical vapor deposition
TW100128953A TWI425109B (en) 2010-08-12 2011-08-12 Apparatus for chemical vapor deposition
CN201110234249.5A CN102373440B (en) 2010-08-12 2011-08-12 Chemical vapor deposition device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100077982A KR101249999B1 (en) 2010-08-12 2010-08-12 Apparatus for chemical vapor deposition

Publications (2)

Publication Number Publication Date
KR20120021679A KR20120021679A (en) 2012-03-09
KR101249999B1 true KR101249999B1 (en) 2013-04-03

Family

ID=45792648

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100077982A KR101249999B1 (en) 2010-08-12 2010-08-12 Apparatus for chemical vapor deposition

Country Status (3)

Country Link
KR (1) KR101249999B1 (en)
CN (1) CN102373440B (en)
TW (1) TWI425109B (en)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101443792B1 (en) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 Gas Phase Etcher Apparatus
CN104178747B (en) * 2013-05-24 2016-08-24 理想晶延半导体设备(上海)有限公司 Split type gas shower assembly and metal organic chemical vapor deposition device
JP6573892B2 (en) * 2013-09-30 2019-09-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Transfer chamber gas purge apparatus, electronic device processing system, and purge method.
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102558925B1 (en) * 2016-02-15 2023-07-24 삼성디스플레이 주식회사 The plasma deposition device
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10119191B2 (en) 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN107477351A (en) * 2017-08-10 2017-12-15 安徽亚格盛电子新材料有限公司 A kind of special cylinder for being used to load solid metallic organic source
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10883174B2 (en) * 2018-11-27 2021-01-05 Applied Materials, Inc. Gas diffuser mounting plate for reduced particle generation
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
CN113490765A (en) * 2019-03-08 2021-10-08 应用材料公司 Multi-orifice showerhead for processing chamber
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100653442B1 (en) 2005-11-28 2006-12-04 주식회사 유진테크 Supporthng apparatus for showerhead
KR20060129341A (en) * 2004-01-16 2006-12-15 동경 엘렉트론 주식회사 Treatment device
KR100866912B1 (en) * 2007-05-31 2008-11-04 주식회사 마이크로텍 Chemical vapor deposition apparatus
KR20100004640A (en) * 2008-07-04 2010-01-13 주식회사 테스 Showerhead and apparatus for manufacturing semiconductor having the showerhead

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
KR100688838B1 (en) * 2005-05-13 2007-03-02 삼성에스디아이 주식회사 Apparatus for catalyst enhanced chemical vapor deposition and the catalyst enhanced chemical vapor deposition method
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060129341A (en) * 2004-01-16 2006-12-15 동경 엘렉트론 주식회사 Treatment device
KR100653442B1 (en) 2005-11-28 2006-12-04 주식회사 유진테크 Supporthng apparatus for showerhead
KR100866912B1 (en) * 2007-05-31 2008-11-04 주식회사 마이크로텍 Chemical vapor deposition apparatus
KR20100004640A (en) * 2008-07-04 2010-01-13 주식회사 테스 Showerhead and apparatus for manufacturing semiconductor having the showerhead

Also Published As

Publication number Publication date
CN102373440A (en) 2012-03-14
KR20120021679A (en) 2012-03-09
TWI425109B (en) 2014-02-01
CN102373440B (en) 2014-07-16
TW201211299A (en) 2012-03-16

Similar Documents

Publication Publication Date Title
KR101249999B1 (en) Apparatus for chemical vapor deposition
KR101306315B1 (en) Apparatus for chemical vapor deposition
US20100000684A1 (en) Dry etching apparatus
KR101957832B1 (en) Substrate processing apparatus
KR101100284B1 (en) Thin film deposition apparatus
KR101420709B1 (en) Substrate supporting apparatus and substrate processing apparatus having the same
WO2024027294A1 (en) Hot wire chemical vapor deposition apparatus, silicon-based thin film deposition method and solar cell
KR20130142972A (en) Apparatus and method of processing substrate
KR101046910B1 (en) Vacuum processing equipment
KR102460503B1 (en) Plasma atomic layer deposition apparatus and horizontal guide type electrode
KR20120016955A (en) Apparatus for processing substrate using plasma
US20130004681A1 (en) Mini blocker plate with standoff spacers
KR100457455B1 (en) Chemical Vapor Deposition Apparatus which deposition-speed control is possible
TW202044452A (en) Substrate processing apparatus and method using the same
KR101351399B1 (en) Apparatus and method of processing substrate
US20230104088A1 (en) Substrate processing apparatus
KR20150066309A (en) Substrate process apparatus
KR20110061667A (en) Suscepter grounding unit, method for altering a ground of a suscepter using the same and process chamber having the same
KR20130048304A (en) Substrate processing apparatus
KR20110049988A (en) Substrate processing apparatus
KR101994768B1 (en) Substrate processing apparatus
KR20100004194A (en) Tary and dry etching apparatus using the same
US20230374662A1 (en) Substrate processing device
KR101239694B1 (en) Substrate support plate improving sag phenomenon of edgy
TW202410259A (en) Gas injection device, apparatus for processing substrate and method for depositing thin film

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160216

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170313

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180305

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee