KR100989107B1 - Method and apparatus for multilayer photoresist dry development - Google Patents

Method and apparatus for multilayer photoresist dry development Download PDF

Info

Publication number
KR100989107B1
KR100989107B1 KR1020057018198A KR20057018198A KR100989107B1 KR 100989107 B1 KR100989107 B1 KR 100989107B1 KR 1020057018198 A KR1020057018198 A KR 1020057018198A KR 20057018198 A KR20057018198 A KR 20057018198A KR 100989107 B1 KR100989107 B1 KR 100989107B1
Authority
KR
South Korea
Prior art keywords
gas
plasma
period
substrate
time
Prior art date
Application number
KR1020057018198A
Other languages
Korean (ko)
Other versions
KR20050112115A (en
Inventor
바이디아나탄 발라수브라마니암
고이치로 이나자와
리치 와이즈
알팬 피. 마호로왈라
시드하르타 판다
Original Assignee
인터내셔널 비지니스 머신즈 코포레이션
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/640,577 external-priority patent/US7344991B2/en
Application filed by 인터내셔널 비지니스 머신즈 코포레이션, 도쿄엘렉트론가부시키가이샤 filed Critical 인터내셔널 비지니스 머신즈 코포레이션
Publication of KR20050112115A publication Critical patent/KR20050112115A/en
Application granted granted Critical
Publication of KR100989107B1 publication Critical patent/KR100989107B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은, 암모니아(NH3) 및 패시베이션 가스를 포함하는 처리 가스를 도입하는 단계; 처리 가스로부터 플라즈마를 형성하는 단계; 및 기판을 플라즈마에 노출시키는 단계를 포함하여 이루어지는 플라즈마 처리 시스템 중에서 기판 상의 유기 반사 방지 코팅(ARC) 층을 에칭하는 방법에 관한 것이다. 처리 가스는 예를 들어, NH3 및 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상과 같은 탄화수소 가스로 구성될 수 있다. 또한, 처리 화학물질은 또한 헬륨 첨가물을 더 포함할 수 있다. 본 발명은 또한: 기판 상에 박막을 형성하는 단계; 박막 상에 ARC 층을 형성하는 단계; ARC 층 상에 포토레지스트 패턴을 형성하는 단계; 및 암모니아(NH3) 및 패시베이션 가스를 포함하는 처리 가스를 사용하는 에칭 처리로 포토레지스트 패턴을 ARC 층으로 전사하는 단계를 포함하여 이루어지는 것을 특징으로 하는, 기판 상의 박막을 에칭하기 위한 이중층 마스크의 형성 방법에 대한 것이다. The present invention includes the steps of introducing a process gas comprising ammonia (NH 3 ) and the passivation gas; Forming a plasma from the processing gas; And a method of etching an organic antireflective coating (ARC) layer on a substrate in a plasma processing system comprising exposing the substrate to a plasma. Process gases are, for example, NH 3 and C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 Hydrocarbon gas, such as one or more of H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 and C 6 H 12 . In addition, the treatment chemical may further comprise a helium additive. The invention also includes: forming a thin film on a substrate; Forming an ARC layer on the thin film; Forming a photoresist pattern on the ARC layer; And transferring the photoresist pattern to the ARC layer by an etching process using a processing gas comprising ammonia (NH 3 ) and a passivation gas. It's about how.

Description

다층 포토레지스트 건식 현상을 위한 방법 및 장치{METHOD AND APPARATUS FOR MULTILAYER PHOTORESIST DRY DEVELOPMENT}METHOD AND APPARATUS FOR MULTILAYER PHOTORESIST DRY DEVELOPMENT}

본 출원은, 2003년 3월 31일자로 출원된 미국 가특허출원 제 60/458,430호, 2003년 5월 5일자로 출원된 미국 가특허출원 제 60/484,225호 및 2003년 8월 14일자로 출원된 미국 특허출원(non-provisional patent application) 제 10/640,577호(이들의 전체 내용은 본 명세서에 참조 병합되어 있다)와 관련이 있으며, 이에 대한 우선권을 주장한다. 본 출원은 공동-계류중인, 2002년 12월 23일자로 출원되고 제목이 "이중층 포토레지스트 건식 현상을 위한 방법 및 장치"인 출원 제 60/435,286호(이의 전체 내용은 본 명세서에 참조 병합되어 있다)와 관련이 있다. This application is directed to US Provisional Patent Application No. 60 / 458,430, filed March 31, 2003, US Provisional Application No. 60 / 484,225, filed May 5, 2003, and August 14, 2003. And non-provisional patent application No. 10 / 640,577, the entire contents of which are hereby incorporated by reference. This application is filed on December 23, 2002, co-pending, entitled "Methods and Apparatus for Double Layer Photoresist Dry Development," Application No. 60 / 435,286, the entire contents of which are incorporated herein by reference. Related to).

본 발명은, 기판을 플라즈마 처리하기 위한 방법 및 장치, 및 보다 특히 다층 포토레지스트 건식 현상을 위한 방법에 관한 것이다. The present invention relates to a method and apparatus for plasma treating a substrate, and more particularly to a method for dry development of multilayer photoresists.

반도체 처리 동안, (건식) 플라즈마 에칭 처리((dry) plasma etch process)를 통해, 미세한 선을 따르거나 실리콘 기판 상에 패터닝된, 비어(vias) 또는 콘택트(contacts) 내에서 재료를 제거하거나 에칭할 수 있다. 플라즈마 에칭 처리는 일반적으로, 처리 챔버 내에서, 상부(overlying) 패터닝된 보호 층(예를 들어 포토레지스트층)을 반도체 기판에 위치시키는 것을 포함한다. 일단 기판이 챔버 내에 위치되면, 이온화가능한 분해성 가스 혼합물이, 미리 지정된(pre-specified) 유속으로 챔버 내에 도입되고, 주변 처리 압력을 얻기 위해 진공 펌프를 조절한다(throttle). 이어서, 존재하는 가스 종의 일부(faction)가, 유도성(inductively) 또는 용량성(capacitively) 라디오 주파수(RF) 동력, 또는 예를 들어 전자 사이클로트론 공명(ECR)을 사용한 마이크로파 동력의 전달을 통해 가열된 전자에 의해 이온화되면, 플라즈마가 형성된다. 또한, 가열된 전자는 주변 가스 종의 일부 종을 분해하고, 노출된 표면 에칭 화학작용(chemistry)에 적합한 반응 종(들)을 생성한다. 일단 플라즈마가 형성되면, 기판의 선택된 표면은 플라즈마에 의해 에칭된다. 원하는 반응물 및 이온 집단(populations)의 적당한 농도를 포함하는 적당한 조건을 얻도록 이 처리를 조절하여, 기판의 선택된 영역 내 다양한 형상(features)(예를 들어, 트렌치, 비어, 콘택트 등)을 에칭한다. 에칭이 필요한 이러한 기판 재료에는, 이산화규소(SiO2), 저-k 유전 재료(low-k dielectric materials), 폴리-규소 및 질화규소가 포함된다. During semiconductor processing, a (dry) plasma etch process is used to remove or etch material within vias or contacts, which are either fine lines or patterned on a silicon substrate. Can be. Plasma etching processing generally involves positioning an overly patterned protective layer (eg, a photoresist layer) on a semiconductor substrate in a processing chamber. Once the substrate is located in the chamber, an ionizable decomposable gas mixture is introduced into the chamber at a pre-specified flow rate and throttles the vacuum pump to obtain ambient processing pressure. Subsequently, a fraction of the gas species present is heated through the transmission of inductively or capacitively radio frequency (RF) power, or microwave power using, for example, electron cyclotron resonance (ECR). When ionized by the electrons, the plasma is formed. In addition, the heated electrons decompose some species of ambient gas species and produce reactive species (s) suitable for exposed surface etching chemistry. Once the plasma is formed, the selected surface of the substrate is etched by the plasma. This treatment is adjusted to achieve the appropriate conditions, including the desired concentration of reactants and ion populations, to etch various features (e.g., trenches, vias, contacts, etc.) within selected regions of the substrate. . Such substrate materials which require etching include silicon dioxide (SiO 2 ), low-k dielectric materials, poly-silicon and silicon nitride.

본 발명은, 기판을 플라즈마 처리하기 위한 방법 및 장치, 및 다층 포토레지스트 건식 현상을 위한 방법 및 장치에 관한 것이다. 본 발명은 또한 다층 마스크 자체에 관한 것이다.The present invention relates to a method and apparatus for plasma treating a substrate, and to a method and apparatus for multilayer photoresist dry development. The invention also relates to the multilayer mask itself.

본 발명의 한 측면에서, 플라즈마 처리 시스템 내에서 기판 상에 반사 방지 코팅(anti-reflective coating;ARC) 층을 에칭하기 위한 방법 및 장치가 기재된다. 암모니아(NH3) 및 패시베이션 가스를 집합적으로(collectively) 포함하는 하나 이상의 가스 포함하여 이루어지는 처리 가스가 도입된다. 플라즈마 처리 시스템 내에서 처리 가스로부터 플라즈마가 형성된다. 기판이 플라즈마에 노출된다. In one aspect of the invention, a method and apparatus for etching an anti-reflective coating (ARC) layer on a substrate in a plasma processing system is described. A process gas is introduced which comprises one or more gases which collectively comprise ammonia (NH 3 ) and a passivation gas. Plasma is formed from the processing gas in the plasma processing system. The substrate is exposed to the plasma.

본 발명의 또다른 측면에서, 기판상에 박막을 에칭하기 위한 이중층 마스크를 형성하기 위한 방법 및 장치가 기재된다. 박막은 기판 상에 형성된다. 반사 방지 코팅(ARC) 층이 박막 상에 형성된다. 포토레지스트 패턴이 ARC 층 상에 형성된다. 암모니아(NH3) 및 패시베이션 가스를 집합적으로 포함하는 하나 이상의 가스를 포함하여 이루어지는 처리 가스를 사용하여 ARC 층을 에칭함으로써, 포토레지스트 패턴이 ARC 층이 전사된다(transfer).In another aspect of the present invention, a method and apparatus for forming a bilayer mask for etching a thin film on a substrate are described. The thin film is formed on the substrate. An antireflective coating (ARC) layer is formed on the thin film. A photoresist pattern is formed on the ARC layer. By etching the ARC layer using a process gas comprising one or more gases collectively comprising ammonia (NH 3 ) and a passivation gas, the photoresist pattern is transferred to the ARC layer.

또한, 플라즈마 처리 시스템 중에서 기판 상의 다층 마스크 내 측벽을 매끄럽게 하는 방법은: 암모니아(NH3) 및 패시베이션 가스를 집합적으로 포함하는 하나 이상의 가스를 포함하여 이루어지는 처리 가스를 도입하는 단계; 플라즈마 처리 시스템 내에서 처리 가스로부터 플라즈마를 형성시키는 단계; 및 플라즈마에 기판을 노출시키는 단계를 포함하여 이루어지며, 패시베이션 가스는 다층 마스크의 측벽 상에 패시베이션막의 형성을 용이하게 하여, 측벽의 거친(roughness) 표면을 매끄럽게 한다. In addition, a method of smoothing sidewalls in a multilayer mask on a substrate in a plasma processing system includes: introducing a processing gas comprising one or more gases collectively comprising ammonia (NH 3 ) and a passivation gas; Forming a plasma from the processing gas in the plasma processing system; And exposing the substrate to the plasma, wherein the passivation gas facilitates the formation of a passivation film on the sidewalls of the multilayer mask, thereby smoothing the roughness surface of the sidewalls.

도 1a, 1b 및 1c는, 박막을 패턴 에칭하기 위한 일반적인 절차의 개략도를 나타내고;1A, 1B and 1C show schematic diagrams of a general procedure for pattern etching a thin film;

도 2는 본 발명의 실시형태에 따른 플라즈마 처리 시스템의 단순 개략도를 나타내고;2 shows a simple schematic diagram of a plasma processing system according to an embodiment of the present invention;

도 3은 본 발명의 또다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 나타내고;3 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;

도 4는 본 발명의 또다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 나타내고;4 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;

도 5는 본 발명의 또다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 나타내고;5 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;

도 6은 본 발명의 또다른 실시형태에 따른 플라즈마 처리 시스템의 개략도를 나타내고;6 shows a schematic diagram of a plasma processing system according to another embodiment of the present invention;

도 7은 본 발명의 실시형태에 따라 플라즈마 처리 시스템에서 기판 상의 반사 방지 코팅(ARC) 층을 에칭하는 방법을 나타내고;7 illustrates a method of etching an antireflective coating (ARC) layer on a substrate in a plasma processing system in accordance with an embodiment of the present invention;

도 8은 본 발명의 또다른 실시형태에 따라 기판 상의 박막을 에칭하기 위한 이중층 마스크의 형성 방법을 나타내고;8 illustrates a method of forming a bilayer mask for etching a thin film on a substrate in accordance with another embodiment of the present invention;

도 9a 및 도 9b는 다중층 마스크의 개략도를 나타낸다.9A and 9B show schematic diagrams of multilayer masks.

몇가지 실시형태에 대한 상세한 설명Detailed Description of Some Embodiments

재료 처리 방법에서, 패턴 에칭은, 포토레지스트와 같은 감광 재료의 박층을 기판의 상부 표면에 적용하고, 에칭 동안 이 패턴을 기판 상의 하부(underlying) 박막에 전사하기 위한 마스크를 제공하기 위해, 후속하여 이를 패터닝하는 단계를 포함하여 이루어진다. 감광 재료의 패터닝은 일반적으로, 예를 들어 마이크로리소그라피 시스템을 사용하여, 감광 재료를 레티클(및 관련 광학)을 통해 방사원에 의해 노출시킨 후, 현상 용매를 사용하여 감광 재료의 조사 영역(포지티브 포토레지스트의 경우와 같음) 또는 비-조사 영역(네거티브 레지스트의 경우와 같음)을 제거하는 것을 포함한다. 다층 마스크로, 박막에 형상을 에칭할 수 있다. 예를 들어, 도 1a-c에서와 같이, 통상적인 리소그라피 기술을 사용하여 형성된 패턴(2)을 갖는 감광층(3) 및 유기 반사 방지 코팅(ARC) 층(7)을 포함하는 이중층 마스크(6)를, 기판(5) 상의 박막(4)을 에칭하기 위한 마스크로서 사용할 수 있으며, 감광층(3)의 마스크 패턴(2)은, 박막(4)의 주 에칭 단계에 앞서, 개별 에칭 단계를 이용하여 ARC 층(7)에 전사된다. In the material processing method, the pattern etching is subsequently applied to apply a thin layer of photosensitive material such as photoresist to the upper surface of the substrate and to provide a mask for transferring the pattern to the underlying thin film on the substrate during etching. Patterning is done. Patterning of the photosensitive material generally involves exposing the photosensitive material by means of a radiation source through a reticle (and associated optics), for example using a microlithography system, and then using a developing solvent to irradiate the photosensitive material (positive photoresist). As in the case of) or non-irradiation regions (as in the case of negative resist). With a multilayer mask, the shape can be etched in the thin film. For example, as shown in FIGS. 1A-C, a bilayer mask 6 comprising a photosensitive layer 3 with a pattern 2 formed using conventional lithography techniques and an organic antireflective coating (ARC) layer 7. ) May be used as a mask for etching the thin film 4 on the substrate 5, and the mask pattern 2 of the photosensitive layer 3 may be subjected to an individual etching step prior to the main etching step of the thin film 4. Is transferred to the ARC layer 7.

일실시형태에서, 암모니아(NH3) 및 패시베이션 가스를 포함하는 처리 가스를, 이중층 포토레지스트 건식 현상 방법에 사용한다. 예를 들어, 패시베이션 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10, C6H12 등 중 하나 이상과 같은 탄화수소 가스를 포함하여 이루어질 수 있다. In one embodiment, a processing gas comprising ammonia (NH 3 ) and a passivation gas is used in a double layer photoresist dry development method. For example, the passivation gas may be C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , Hydrocarbon gas, such as one or more of C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 , C 6 H 12 , and the like.

상기 실시형태가 기판(5) 상의 박막(4)의 에칭을 기재한다고 할지라도, 박막(4)이 있거나 없이, 기판(5) 자체에 에칭될 수 있다. Although the above embodiment describes the etching of the thin film 4 on the substrate 5, it can be etched into the substrate 5 itself, with or without the thin film 4.

일실시형태에 따르면, 플라즈마 처리 챔버(10), 플라즈마 처리 챔버(10)에 커플링된 진단 시스템(12), 및 진단 시스템(12) 및 플라즈마 처리 챔버(10)에 커플링된 컨트롤러(14)를 포함하여 이루어지는 플라즈마 처리 시스템(1)이 도 2에 도시된다. 컨트롤러(14)는, 유기 ARC 층을 에칭하기 위해 상기-확인된 화학작용 중 하나 이상을 포함하는 처리 방법을 수행하도록 배치된다.According to one embodiment, a plasma processing chamber 10, a diagnostic system 12 coupled to the plasma processing chamber 10, and a controller 14 coupled to the diagnostic system 12 and the plasma processing chamber 10. A plasma processing system 1 comprising a is shown in FIG. 2. The controller 14 is arranged to perform a processing method comprising one or more of the above-identified chemistries to etch the organic ARC layer.

또한, 컨트롤러(14)는, 공정의 종점을 정확히 결정하기 위하여, 진단 시스템(12)으로부터의 하나 이상의 종점 신호를 수용하고, 하나 이상의 종점 신호를 후-처리하도록 배치된다. 설명되고 있는 실시형태에서, 도 2에 도시된 플라즈마 처리 시스템(1)은, 재료 처리를 위해 플라즈마를 사용한다. 플라즈마 처리 시스템(1)은 에칭 챔버를 포함하여 이루어질 수 있다.In addition, the controller 14 is arranged to receive one or more endpoint signals from the diagnostic system 12 and post-process one or more endpoint signals to accurately determine the endpoint of the process. In the embodiment being described, the plasma processing system 1 shown in FIG. 2 uses plasma for material processing. The plasma processing system 1 may comprise an etching chamber.

도 3의 실시형태에 따르면, 플라즈마 처리 시스템(1a)은 플라즈마 처리 챔버(10), 처리될 기판(25)이 상부에 부착되는 기판 홀더(20), 및 진공 펌핑 시스템(30)을 포함하여 이루어질 수 있다. 기판(25)은 예를 들어 반도체 기판, 웨이퍼 또는 액정 디스플레이가 될 수 있다. 플라즈마 처리 챔버(10)는 예를 들어, 기판(25) 표면에 인접한 처리 영역(15) 내에서 플라즈마를 발생시키기 쉽도록 배치될 수 있다. 이온화가능한 가스 또는 가스 혼합물을, 가스 주입 시스템(도시하지 않음)을 통해 도입하고, 처리 압력을 조절한다. 예를 들어 조절 메커니즘(도시하지 않음)을 사용하여, 진공 펌핑 시스템(30)을 조절할 수 있다. 소정 재료 처리에 특이적인 재료를 만들고, 및/또는 기판(25)의 노출면으로부터 재료의 제거를 돕기 위하여, 플라즈마를 사용할 수 있다. 플라즈마 처리 시스템(1a)은, 200mm 기판, 300mm 기판, 또는 그 이상의 기판을 처리하기 위하여 배치될 수 있다. According to the embodiment of FIG. 3, the plasma processing system 1a comprises a plasma processing chamber 10, a substrate holder 20 to which the substrate 25 to be processed is attached, and a vacuum pumping system 30. Can be. Substrate 25 may be, for example, a semiconductor substrate, a wafer or a liquid crystal display. The plasma processing chamber 10 may be arranged, for example, to easily generate a plasma in the processing region 15 adjacent to the substrate 25 surface. An ionizable gas or gas mixture is introduced through a gas injection system (not shown) and the processing pressure is adjusted. For example, an adjustment mechanism (not shown) can be used to adjust the vacuum pumping system 30. Plasma may be used to make a material specific to a given material treatment and / or to assist in the removal of material from the exposed surface of the substrate 25. The plasma processing system 1a may be arranged to process a 200 mm substrate, a 300 mm substrate, or more substrates.

기판(25)은 예를 들어, 정전기적 클램핑(clamping) 시스템을 통해 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는 예를 들어, 기판 홀더(20)로부터의 열을 수용하고, 열을 열 교환기 시스템(도시하지 않음)으로 전달하거나, 가열시에 열 교환기 시스템으로부터의 열을 전달하는 재-순환 냉각제 흐름을 포함하는 냉각 시스템을 더 포함할 수 있다. 또한, 가스는 예를 들어, 이면(backside) 가스 시스템을 통해 기판(25)의 이면에 전달되어, 기판(25) 및 기판 홀더(20) 간의 갭-갭(gap-gap) 열 컨덕턴스를 향상시킬 수 있다. 승온 또는 감온(reduced temperatures)시에 기판의 온도 제어가 요구되는 경우에, 이러한 시스템을 사용할 수 있다. 예를 들어, 이면 가스 시스템은 두개-구역(two-zone) 가스 분배 시스템을 포함하여 이루어질 수 있으며, 그 구역 가스 분배 시스템은 기판(25)의 중심 및 가장자리 간에 헬륨 가스 갭 압력을 독립적으로 변화시킬 수 있다. 다른 실시형태에서, 저항성 가열 소자와 같은 가열/냉각 소자, 또는 열-전기 가열기/냉각기를, 기판 홀더(20)와, 플라즈마 처리 챔버(10)의 챔버 벽 및 플라즈마 처리 시스템(1a) 내의 임의의 다른 컴포넌트에 포함시킬 수 있다. Substrate 25 may be attached to substrate holder 20 via, for example, an electrostatic clamping system. The substrate holder 20 also receives, for example, heat from the substrate holder 20, transfers heat to a heat exchanger system (not shown), or transfers heat from the heat exchanger system upon heating. It may further comprise a cooling system comprising a recirculating coolant stream. In addition, gas may be delivered to the backside of the substrate 25 via a backside gas system, for example, to improve the gap-gap thermal conductance between the substrate 25 and the substrate holder 20. Can be. Such a system can be used when temperature control of the substrate is required at elevated or reduced temperatures. For example, the backside gas system can comprise a two-zone gas distribution system, which can independently change the helium gas gap pressure between the center and the edge of the substrate 25. Can be. In another embodiment, a heating / cooling element, such as a resistive heating element, or a thermo-electric heater / cooler, the substrate holder 20, the chamber wall of the plasma processing chamber 10, and any in the plasma processing system 1a. Can be included in other components

도 3에 도시된 실시형태에서, 기판 홀더(20)는, RF 동력(power)이 처리 공간(15) 내의 처리 플라즈마에 커플링되어 있는 전극을 포함하여 이루어질 수 있다. 예를 들어, 기판 홀더(20)는, 임피던스 매치 네트워크(50)를 통한 RF 발생기(40)로부터의 RF 동력을 기판 홀더(20)에 전달함으로써, RF 전압에서 전기적으로 바이어스될 수 있다. RF 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지되도록 할 수 있다. 이러한 배치에서, 시스템은 반응성 이온 에칭(RIE) 반응기로서 작동할 수 있으며, 챔버 및 상부 가스 주입 전극은 접지면 역할을 한다. RF 바이어스의 일반적인 주파수는 0.1MHz 내지 100MHz 범위가 될 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 주지되어 있다. In the embodiment shown in FIG. 3, the substrate holder 20 may comprise an electrode whose RF power is coupled to the processing plasma in the processing space 15. For example, substrate holder 20 may be electrically biased at RF voltage by transferring RF power from RF generator 40 through impedance match network 50 to substrate holder 20. The RF bias can heat the electrons to form and maintain the plasma. In this arrangement, the system can operate as a reactive ion etching (RIE) reactor, with the chamber and the top gas injection electrode serving as a ground plane. Typical frequencies of RF bias can range from 0.1 MHz to 100 MHz. RF systems for plasma processing are well known to those skilled in the art.

선택적으로, RF 동력이 다수의 주파수에서 기판 홀더 전극에 인가된다. 또한, 임피던스 매치 네트워크(50)는, 반사 동력을 줄임으로써, 플라즈마 처리 챔버(10) 내에서 플라즈마로의 RF 동력의 전달을 증진시키는 역할을 한다. 매치 네트워크 접속형태(topologies)(예를 들어, L-타입, π-타입, T-타입 등) 및 자동 조절 방법이 당업자에게 주지되어 있다. Optionally, RF power is applied to the substrate holder electrode at multiple frequencies. The impedance match network 50 also serves to enhance the transfer of RF power to the plasma in the plasma processing chamber 10 by reducing the reflected power. Match network topologies (e.g., L-type, [pi] -type, T-type, etc.) and automatic adjustment methods are well known to those skilled in the art.

진공 펌프 시스템(30)은 예를 들어, 초당 5000리터(및 그 이상)까지의 펌핑 속도가 가능한 터보-분자 진공 펌프(TMP), 및 챔버 압력을 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용된 통상적인 플라즈마 처리 장치에서, 초당 1000 내지 3000리터 TMP가 일반적으로 사용된다. TMPs는 저압 처리, 일반적으로 50mTorr 미만에 유용하다. 고압 처리(즉, 100mTorr 이상)를 위해서는, 기계적 부스터(booster) 펌프 및 건식 러핑(dry roughing) 펌프를 사용할 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시하지 않음)를, 플라즈마 처리 챔버(10)에 커플링할 수 있다. 압력 측정 장치는, 예를 들어 MKS 인스트루먼트 사(Andover, MA)에서 시판중인 Type 628B Baratron 절대 커패시턴스 압력계가 사용될 수 있다.The vacuum pump system 30 may include, for example, a turbo-molecular vacuum pump (TMP) capable of pumping speeds of up to 5000 liters per second (and more), and a gate valve for regulating chamber pressure. In a conventional plasma processing apparatus used for dry plasma etching, 1000 to 3000 liters TMP per second are generally used. TMPs are useful for low pressure treatments, generally less than 50 mTorr. For high pressure treatment (ie, 100 mTorr or more), mechanical booster pumps and dry roughing pumps can be used. In addition, an apparatus (not shown) for monitoring chamber pressure may be coupled to the plasma processing chamber 10. As the pressure measuring device, for example, a Type 628B Baratron absolute capacitance manometer available from MKS Instruments, Inc. (Andover, MA) may be used.

컨트롤러(14)는, 마이크로프로세서, 메모리, 및 플라즈마 처리 시스템(1a)으로의 입력신호(input)를 전달 및 활성화하고 플라즈마 처리 시스템(1a)으로부터의 출력신호(outputs)를 모니터링하기에 충분한 조절 전압을 생성할 수 있는 디지털 I/O 포트를 포함하여 이루어진다. 또한, 컨트롤러(14)는 RF 발생기(40), 임피던스 매치 네트워크(50), 가스 주입 시스템(도시하지 않음), 진공 펌프 시스템(30)과 이면 가스 전달 시스템(도시하지 않음), 기판/기판 홀더 온도 측정 시스템(도시하지 않음), 및/또는 정전기적 플램핑 시스템(도시하지 않음)에 커플링시키고, 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램을 사용하여, 플라즈마 처리 시스템(1a)의 상기된 성분으로의 입력신호를 활성화함으로써, 유기 ARC 층의 에칭 방법을 수행할 수 있다. 컨트롤러(14)의 일례는, 델 코포레이션(Dell Corporation, Austin, Texas)에서 시판하는 DELL PRECISION WORKSTATION 610TM이다. The controller 14 is provided with a regulated voltage sufficient to deliver and activate inputs to the microprocessor, memory, and plasma processing system 1a and to monitor the outputs from the plasma processing system 1a. It consists of a digital I / O port that can generate a. The controller 14 also includes an RF generator 40, an impedance match network 50, a gas injection system (not shown), a vacuum pump system 30 and a backside gas delivery system (not shown), a substrate / substrate holder. It may be coupled to and exchange information with a temperature measurement system (not shown), and / or an electrostatic flapping system (not shown). For example, by using a program stored in a memory, the method of etching an organic ARC layer can be performed by activating an input signal to the above-described component of the plasma processing system 1a. One example of the controller 14 is DELL PRECISION WORKSTATION 610 , available from Dell Corporation, Austin, Texas.

진단 시스템(12)은 광학 진단 시스템(도시하지 않음)을 포함할 수 있다. 광학 진단 서브시스템은, 플라즈마로부터 방출된 빛이 강도를 측정하기 위한 (규소)광다이오드 또는 광전자 증배관(photo mulitiplier tube; PMT)과 같은 검출기를 포함하여 이루어질 수 있다. 진단 시스템(12)은, 좁은-밴드 간섭 필터와 같은 광학 필터를 더 포함할 수 있다. 대체 실시형태에서, 진단 시스템(12)은 라인 CCD(전하 커플링된 장치(charge coupled device)), CID(전하 주입 장치(charge injection device)) 어레이, 및 그레이팅(grating) 또는 프리즘과 같은 광 분산 장치 중 하나 이상을 포함할 수 있다. 또한, 진단 시스템(12)은, 주어진 파장에서 빛을 측정하기 위한 모노크로마터(monochromator)(예를 들어, 그레이팅/검출기 시스템), 또는 예를 들어 미국 특허 제 5,888,337호에 기재된 장치와 같은 광 스펙트럼을 측정하기 위한 분광계(예를 들어, 회전 그레이팅 포함)를 포함할 수 있다.Diagnostic system 12 may include an optical diagnostic system (not shown). The optical diagnostic subsystem may comprise a detector such as a (silicon) photodiode or photo mulitiplier tube (PMT) for measuring the intensity of light emitted from the plasma. Diagnostic system 12 may further include an optical filter, such as a narrow-band interference filter. In an alternate embodiment, the diagnostic system 12 includes a line CCD (charge coupled device), a CID (charge injection device) array, and light dispersion such as a grating or prism. It may include one or more of the devices. In addition, the diagnostic system 12 may include a light spectrum such as a monochromator (eg, a grating / detector system) for measuring light at a given wavelength, or a device as described, for example, in US Pat. No. 5,888,337. And spectrometers (including, for example, rotational gratings) to measure.

진단 시스템(12)은, 피크 센서 시스템 또는 베러티 인스트루먼트 사제와 같은 고해상도 광학 방출 분광학(Optical Emission Spectroscopy)(OES) 센서를 포함할 수 있다. 이러한 OES 센서는, 자외선(UV), 가시(VIS), 및 근적외선(NIR) 광 스펙트럼에 이르는 넓은 스펙트럼을 갖는다. 해상도는 약 1.4 옹스트롬으로, 다시말해 센서는 240nm 부터 1000nm까지 5550 파장을 수집할 수 있다. 예를 들어, OES 센서는, 2048 픽셀 선형 CCD 어레이가 차례로 집적되어 있는 고감도 미니어쳐 섬유 광학 UV-VIS-NIR 분광계를 구비할 수 있다. The diagnostic system 12 may include a high resolution optical emission spectroscopy (OES) sensor, such as a peak sensor system or manufactured by Bery Instruments. Such OES sensors have a broad spectrum ranging from ultraviolet (UV), visible (VIS), and near infrared (NIR) light spectra. The resolution is about 1.4 Angstroms, meaning the sensor can collect 5550 wavelengths from 240nm to 1000nm. For example, the OES sensor may comprise a high sensitivity miniature fiber optic UV-VIS-NIR spectrometer in which 2048 pixel linear CCD arrays are integrated one after the other.

분광계는 단일 및 다발 광학 섬유를 통해 전달된 빛을 수용하고, 광학 섬유로부터의 광 출력신호가 고정된 그레이팅을 이용하여 라인 CCD 어레이에, 분산된다. 상기된 배치와 유사하게, 광학 진공 윈도우를 통과하는 빛은, 볼록한 구형 렌즈를 통해, 광학 섬유의 입력단에 초점 맞춰진다. 주어진 스펙트럼 범위(UV, VIS 및 NIR)에 대해 각각 구체적으로 튜닝된 세 분광계는, 처리 챔버용 센서를 형성한다. 각 분광계는 독립적인 A/D 컨버터를 포함한다. 마지막으로, 센서 용도(utilization)에 따라, 매 0.1 내지 1.0초마다 전체 방출 스펙트럼(full emission spectrum)을 기록할 수 있다. The spectrometer receives the light transmitted through the single and bundle optical fibers, and the optical output signal from the optical fiber is dispersed in the line CCD array using fixed gratings. Similar to the arrangement described above, light passing through the optical vacuum window is focused through the convex spherical lens at the input end of the optical fiber. Three spectrometers, each specifically tuned for a given spectral range (UV, VIS and NIR), form a sensor for the processing chamber. Each spectrometer includes an independent A / D converter. Finally, depending on the sensor utilization, the full emission spectrum can be recorded every 0.1 to 1.0 seconds.

도 4에 도시된 실시형태에서, 플라즈마처리 시스템(1b)은 예를 들어, 도 2 또는 3의 실시형태와 유사할 수 있으며, 도 2 및 도 3을 참조하여 기재된 성분에 추가하여, 플라즈마 밀도를 잠재적으로 증가시키고 및/또는 플라즈마 처리 균일성을 향상시키기 위하여, 정상적(stationary) 또는, 기계적 또는 전기적 회전 자기장 시스템(60)을 더 포함하여 이루어질 수 있다. 또한, 컨트롤러(14)는, 회전 속도 및 자기장 강도를 조절하기 위하여, 자기장 시스템(60)에 커플링될 수 있다. 회전 자기장의 디자인 및 수행은 당업자에게 주지되어 있다. In the embodiment shown in FIG. 4, the plasma processing system 1b may be similar to the embodiment of FIG. 2 or 3, for example, and in addition to the components described with reference to FIGS. It may further comprise a stationary or mechanical or electrical rotating magnetic field system 60 to potentially increase and / or improve plasma treatment uniformity. In addition, the controller 14 may be coupled to the magnetic field system 60 to adjust the rotational speed and the magnetic field strength. Design and performance of rotating magnetic fields are well known to those skilled in the art.

도 5에 도시된 실시형태에서, 플라즈마처리 시스템(1c)은 예를 들어, 도 2 또는 도 3의 실시형태와 유사할 수 있으며, RF 동력이 RF 발생기(72)로부터 임피던스 매치 네트워크(74)를 통해 커플링될 수 있는 상부 전극(70)을 더 포함하여 이루어질 수 있다. RF 동력을 상부 전극에 인가하기 위한 일반적인 주파수는 0.1MHz 내지 200MHz 범위일 수 있다. 또한, 동력을 하부 전극에 적용하기 위한 일반적인 주파수는 0.1 MHz 내지 100MHz 범위일 수 있다. 또한, 컨트롤러(14)는, 상부 전극(70)에 대한 RF 동력의 인가를 제어하기 위하여, RF 발생기(72) 및 임피던스 매치 네트워크(74)에 커플링된다. 상부 전극의 디자인 및 수행은 당업자에게 주지되어 있다.In the embodiment shown in FIG. 5, the plasma processing system 1c may be similar to, for example, the embodiment of FIG. 2 or FIG. 3, wherein RF power is coupled to the impedance match network 74 from the RF generator 72. It can be made by further comprising an upper electrode 70 that can be coupled through. Typical frequencies for applying RF power to the upper electrode may range from 0.1 MHz to 200 MHz. Also, a typical frequency for applying power to the lower electrode can range from 0.1 MHz to 100 MHz. The controller 14 is also coupled to the RF generator 72 and the impedance match network 74 to control the application of RF power to the upper electrode 70. The design and performance of the top electrode is well known to those skilled in the art.

도 6에 도시된 실시형태에서, 플라즈마 처리 시스템(1d)는 예를 들어 도 2 또는 도 3의 실시형태와 유사할 수 있으며, RF 동력이 RF 발생기(82)를 경유하여 임피던스 매치 네트워크(84)를 통해 커플링되는 유도 코일(80)을 더 포함하여 이루어질 수 있다. RF 동력은, 유도 코일(80)로부터 유전 윈도우(도시하지 않음)를 통해 플라즈마 처리 영역(15)까지 유도 커플링된다. RF 동력을 유도 코일(80)에 인가하기 위한 일반적은 주파수는 10MHz 내지 100MHz 범위일 수 있다. 유사하게, 동력을 척(chuck) 전극에 적용하기 위한 일반적인 주파수는 0.1 MHz 내지 100MHz 범위일 수 있다. 또한, 유도 코일(80) 및 플라즈마 간의 용량성 커플링(capacitive coupling)을 줄이기 위하여, 슬롯형 페러데이 차폐물(slotted Faraday shield)(도시하지 않음)을 사용할 수 있다. 또한, 컨트롤러(14)는, 유도 코일(80)에 대한 동력의 인가를 제어하기 위하여, RF 발생기(82) 및 임피던스 매치 네트워크(84)에 커플링된다. 대체 실시형태에서, 유도 코일(80)은, 변압기(transformer) 커플링 플라즈마(transformer coupled plasma; TCP) 반응기에서와 같이 상기 플라즈마 처리 영역(15)과 연통(communication)하는 "나선형" 코일 또는 "팬케이크형" 코일이 될 수 있다. 유도 커플링된 플라즈마(inductively coupled plasma; ICP) 공급원, 또는 변압기 커플링된 플라즈마(TCP) 공급원의 디자인 및 수행은 당업자에게 주지되어 있다.In the embodiment shown in FIG. 6, the plasma processing system 1d may be similar to the embodiment of FIG. 2 or 3, for example, in which RF power is applied to the impedance match network 84 via the RF generator 82. It may further comprise an induction coil 80 coupled through. RF power is inductively coupled from the induction coil 80 through the dielectric window (not shown) to the plasma processing region 15. Typical frequencies for applying RF power to the induction coil 80 may range from 10 MHz to 100 MHz. Similarly, a typical frequency for applying power to chuck electrodes may range from 0.1 MHz to 100 MHz. In addition, a slotted Faraday shield (not shown) may be used to reduce capacitive coupling between the induction coil 80 and the plasma. The controller 14 is also coupled to the RF generator 82 and the impedance match network 84 to control the application of power to the induction coil 80. In an alternate embodiment, the induction coil 80 is a "spiral" coil or "pancake" that communicates with the plasma processing region 15, such as in a transformer coupled plasma (TCP) reactor. Mold "coil. Design and implementation of inductively coupled plasma (ICP) sources, or transformer coupled plasma (TCP) sources, are well known to those skilled in the art.

선택적으로, 플라즈마는 전자 사이클로트론 공명(ECR)을 사용하여 형성될 수 있다. 또다른 실시형태에서, 플라즈마는 헬리콘 파의 발생(launching)으로 인해 형성된다. 또다른 실시형태에서, 플라즈마는 전파 표면 파(propagating surface wave)로 인해 형성된다. 상기된 각 플라즈마 공급원은 당업자에게 주지되어 있다.Optionally, the plasma can be formed using electron cyclotron resonance (ECR). In another embodiment, the plasma is formed due to launching helicon waves. In another embodiment, the plasma is formed due to propagating surface waves. Each plasma source described above is well known to those skilled in the art.

이하에서는, 플라즈마 처리 장치를 사용하는 유기 ARC 층의 에칭 방법에 대해 논의한다. 예를 들어, 플라즈마 처리 장치는, 도 2 내지 6에 기재된 바와 같은 다양한 요소, 및 이의 조합을 포함하여 이루어질 수 있다. In the following, a method of etching an organic ARC layer using a plasma processing apparatus is discussed. For example, the plasma processing apparatus may comprise various elements as described in FIGS. 2-6, and combinations thereof.

일 실시형태에서, 유기 ARC 층의 에칭 방법은, NH3 및 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 등 중 하나 이상과 같은 탄화수소 가스를 포함하여 이루어진다. 예를 들어, 처리 파라미터 공간은, 20mTorr 내지 1000mTorr의 챔버 압력, 50sccm 내지 1000sccm의 NH3 처리 가스 유속, 5 내지 100sccm의 탄화수소 처리가스 유속, 500W 내지 2000W의 상부 전극(예를 들어 도 5의 소자(70)) RF 바이어스, 및 10W 내지 500W의 하부 전극(예를 들어 도 5의 소자(20)) RF 바이어스를 포함할 수 있다. 또한, 상부 전극 바이어스 주파수는 0.1MHz 내지 200MHz, 예를 들어 60MHz가 될 수 있다. 또한, 하부 전극 바이어스 주파수는 0.1MHz 내지 100MHz, 예를 들어 2MHz가 될 수 있다. In one embodiment, the method of etching the organic ARC layer is NH 3 and C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C Hydrocarbon gas, such as one or more of 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 and C 6 H 12 . . For example, the process parameter space may include a chamber pressure of 20 mTorr to 1000 mTorr, NH 3 process gas flow rate of 50 sccm to 1000 sccm, hydrocarbon process gas flow rate of 5 to 100 sccm, and an upper electrode of 500 W to 2000 W (e.g. 70) RF bias, and the lower electrode (eg, device 20 of FIG. 5) RF bias of 10W to 500W. In addition, the upper electrode bias frequency may be 0.1MHz to 200MHz, for example 60MHz. In addition, the lower electrode bias frequency may be 0.1MHz to 100MHz, for example 2MHz.

예로, 도 5에 도시된 것과 같은 플라즈마 처리 장치를 사용하여 유기 ARC층을 에칭하는 방법이 있다. 그러나, 이러한 예에 의해 논의된 방법의 범위가 제한되지 않아야 한다. 표 1은, 챔버 압력=100mTorr; 상부 전극 RF 동력=1200W; 하부 전극 RF 동력=100W; 처리 가스 유속 NH3/C2H4=450/50 sccm; 전극(70)의 하부 표면(도 5 참조) 및 기판 홀더(20) 상의 기판(25)의 상부 표면 간의 전극 간격 55mm; 하부 전극 온도(예를 들어 도 5의 기판 홀더(20))=20C; 상부 전극 온도(예를 들어 도 5의 전극(70))=60C; 챔버 벽 온도=50C; 후방 헬륨 압력 중심/가장자리=10/35 Torr; 및 에칭 시간 180초의 예시적인 처리 방법을 사용하여 유기 ARC 층에 에칭된 외형의 임계 치수(critical dimensions)를 나타낸다.For example, there is a method of etching the organic ARC layer using a plasma processing apparatus as shown in FIG. However, the scope of the method discussed by this example should not be limited. Table 1 shows that chamber pressure = 100 mTorr; Upper electrode RF power = 1200 W; Bottom electrode RF power = 100 W; Process gas flow rate NH 3 / C 2 H 4 = 450/50 sccm; An electrode gap of 55 mm between the bottom surface of the electrode 70 (see FIG. 5) and the top surface of the substrate 25 on the substrate holder 20; Bottom electrode temperature (eg, substrate holder 20 of FIG. 5) = 20C; Upper electrode temperature (eg, electrode 70 of FIG. 5) = 60C; Chamber wall temperature = 50C; Rear helium pressure center / edge = 10/35 Torr; And the critical dimensions of the appearance etched into the organic ARC layer using an exemplary processing method with an etching time of 180 seconds.

(포토레지스트-PR; 임계 치수-CD)(Photoresist-PR; Critical Dimension-CD) NH3/C2H4 NH 3 / C 2 H 4 중심center 가장자리edge 잔류 최상부 PRResidual Top PR 478 nm478 nm 493 nm493 nm 바닥 CD/바이어스-MCBottom CD / Bias-MC 154/6 nm154/6 nm 147/-3 nm147 / -3 nm 바닥 CD/바이어스-CAFloor CD / Bias-CA 138/-5 nm138 / -5 nm 134/-9 nm134 / -9 nm

표 1은, ARC 층 에칭 후 잔류하는 포토레지스트의 두께, ARC 형상의 최상부 및 바닥 임계 치수, 및 임계 치수 바이어스(바이어스는, 최상부로부터 바닥까지의 CD 변화를 나타낸다(즉, 음의 바이어스는 CD 감소를 나타내고, 양의 바이어스는 CD 증가를 나타낸다)) 등의 (금속 콘택트(MC) 및 콘택트(CA) 모두에 대한)결과를 보여준다. 또한, 중심 및 가장자리에서의 데이터를 보고한다. 데이터는, CD와, CD를 감소시키기 위한 포텐셜의 유지 처리가 성공적임을 입증한다. Table 1 shows the thickness of the photoresist remaining after the ARC layer etch, the top and bottom critical dimensions of the ARC shape, and the critical dimension bias (bias, CD change from top to bottom (ie negative bias reduces CD). Positive bias indicates CD increase), and the like (for both metal contact (MC) and contact (CA)). It also reports data at the center and edge. The data demonstrates that the CD and the maintenance of the potential for reducing the CD are successful.

대체 실시형태에서, 처리 화학물질은 헬륨(He)을 더 포함하여 이루어질 수 있다. 처리에 헬륨을 도입하면 외형 측벽 거칠기를 줄일 수 있다. In alternative embodiments, the treatment chemical may further comprise helium (He). The introduction of helium in the treatment can reduce the outer sidewall roughness.

일반적으로, 실험(design of experiment; DOE) 기술의 디자인을 사용하여 에칭 시간을 결정할 수 있다; 그러나, 종점 검출을 이용하여 에칭 시간을 결정할 수도 있다. 종점 검출의 가능한 한 방법은, ARC 층 에칭이 실질적으로 거의 완료되어 하부 재료막과 접촉됨으로 인해, 플라즈마 화학물질이 변화되는 때를 지시하는, 플라즈마 영역으로부터의 방출 광 스펙트럼 부분을 모니터링하는 것이다. 예를 들어, 이러한 변화를 지시하는 스펙트럼 부분은, 387.2nm(탄소-질소(CN))의 파장을 포함하여 이루어지고, 광학 방출 분광법(OES)를 사용하여 측정가능하다. 이러한 주파수에 대응하는 방출 수준이 구체화된 역치를 넘은 후(예를 들어 실질적으로 0으로 떨어지거나, 특정 수준보다 높게 증가), 종점이 완료된 것으로 생각할 수 있다. 종점 정보를 제공하는 다른 파장도 사용가능하다. 또한, 에칭 시간은 오버-에칭 기간을 포함하도록 연장될 수 있으며, 오버-에칭 기간은, 에칭 처리의 개시와 종점 검출과 관련있는 시간 사이의 시간의 일부(즉, 1 내지 100%)로 구성된다.In general, the design of an experiment (DOE) technique can be used to determine the etch time; However, endpoint detection may be used to determine the etching time. One possible method of endpoint detection is to monitor the portion of the emitted light spectrum from the plasma region that indicates when the plasma chemistry changes because the ARC layer etch is substantially nearly complete and in contact with the underlying material film. For example, the spectral portion indicative of such a change consists of a wavelength of 387.2 nm (carbon-nitrogen (CN)) and can be measured using optical emission spectroscopy (OES). After the emission level corresponding to this frequency exceeds the specified threshold (eg, substantially falls to zero or increases above a certain level), the endpoint can be considered complete. Other wavelengths that provide endpoint information are also available. In addition, the etching time may be extended to include an over-etching period, wherein the over-etching period is composed of a portion (ie, 1 to 100%) between the time associated with the start of the etching process and the end point detection. .

도 7은, 본발명의 실시형태에 따른 플라즈마 처리 시스템 중에서 기판 상의 반사 방지 코팅(ARC) 층을 에칭하는 방법의 흐름도를 나타낸다. 절차(400)는, 플라즈마 처리 시스템에 처리 가스를 도입하는 410에서 개시되며, 처리 가스는 암모니아(NH3) 함유 가스, 및 패시베이션 가스를 포함하여 이루어진다. 예를 들어, 패시베이션 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상과 같은 탄화수소 가스를 포함하여 이루어질 수 있다. 선택적으로, 처리 가스는 헬륨(He)를 더 포함하여 이루어질 수 있다. 7 shows a flowchart of a method of etching an antireflective coating (ARC) layer on a substrate in a plasma processing system according to an embodiment of the present invention. The procedure 400 begins at 410 introducing a process gas into a plasma processing system, which process gas comprises an ammonia (NH 3 ) containing gas and a passivation gas. For example, the passivation gas may be C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , Hydrocarbon gas, such as one or more of C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 and C 6 H 12 . Optionally, the processing gas may further comprise helium (He).

420에서, 플라즈마는, 예를 들어 도 2 내지 6에 도시된 시스템 중 어느 하나, 또는 이의 조합을 사용하여, 플라즈마 처리 시스템 내에서 처리 가스로부터 형성된다.At 420, the plasma is formed from the processing gas in the plasma processing system using, for example, any of the systems shown in FIGS. 2-6, or a combination thereof.

430에서, ARC 층을 포함하여 이루어지는 기판은, 420 내에 형성된 플라즈마에 노출된다. 1차 기간 후, 절차(400)가 종료된다. 예를 들어, ARC 층을 포함하는 기판이 플라즈마에 노출되는 1차 기간은 일반적으로, ARC 층을 에칭하기 위하여 요구되는 시간, 또는 ARC 층에 포토레지스트 패턴을 전사하기 위하여 요구되는 시간으로 지시된다. 일반적으로, ARC 층의 두께를 통해 포토레지스트 패턴을 전사하기 위하여 요구되는 1차 기간은 미리 정해진다. 선택적으로, 1차 기간은 2차 기간 또는 오버-에칭 기간에 의해 더 증가될 수 있다. 상기된 바와 같이, 오버-에칭 시간은, 1차 기간의 1 내지 100%와 같은 시간의 일부를 포함하여 이루어질 수 있으며, 이 오버-에칭 기간은, 종점의 검출을 지나 에칭의 연장을 포함하여 이루어질 수 있다. At 430, the substrate comprising the ARC layer is exposed to a plasma formed within 420. After the first period, procedure 400 ends. For example, the primary period during which a substrate comprising an ARC layer is exposed to plasma is generally indicated by the time required to etch the ARC layer, or the time required to transfer the photoresist pattern to the ARC layer. In general, the first period required to transfer the photoresist pattern through the thickness of the ARC layer is predetermined. Optionally, the primary period may be further increased by the secondary period or the over-etching period. As noted above, the over-etching time may comprise a portion of the time, such as 1 to 100% of the primary period, the over-etching period comprising an extension of the etch beyond the detection of the end point. Can be.

도 8은, 본 발명의 또다른 실시형태에 따른, 플라즈마 처리 시스템 내에서 기판 상의 박막을 에칭하기 위한 이중층 마스크의 형성 방법을 나타낸다. 이 방법은, 기판 상에 박막을 형성하는 510에서 개시되는 흐름도(500)에 도시되어 있다. 박막은, 이산화규소(SiO2)와 같은 산화물층을 포함하여 이루어질 수 있으며, 화학 증착(CVD)를 포함하는 다양한 처리에 의해 형성가능하다. 8 illustrates a method of forming a bilayer mask for etching a thin film on a substrate in a plasma processing system in accordance with another embodiment of the present invention. This method is shown in flow diagram 500, beginning at 510, forming a thin film on a substrate. The thin film may comprise an oxide layer, such as silicon dioxide (SiO 2 ), and may be formed by various processes including chemical vapor deposition (CVD).

520에서, 반사 방지 코팅(ARC)층을 기판 상부 박막 상에 형성한다. ARC 층은, 예를 들어, 스핀 코팅 시스템과 같은 통상적인 기술을 사용하여 형성되는 유기 ARC 층이다. At 520, an antireflective coating (ARC) layer is formed on the substrate upper thin film. The ARC layer is an organic ARC layer that is formed using conventional techniques such as, for example, spin coating systems.

530에서, 포토레지스트 패턴은 기판 상부 ARC 층 상에 형성된다. 포토레지스트 막은, 포토레지스트 스핀 코팅 시스템과 같은 통상적인 기술을 사용하여 형성가능하다. 패턴은, 스테핑(stepping) 마이크로-리소그라피 시스템과 같은 통상적인 기술, 및 현상 용매를 사용함으로써, 포토레지스트막 내에 형성가능하다. At 530, a photoresist pattern is formed on the substrate top ARC layer. The photoresist film can be formed using conventional techniques such as a photoresist spin coating system. The pattern can be formed in the photoresist film by using conventional techniques such as stepping micro-lithography systems, and developing solvents.

540에서, 이중층 마스크를 형성하기 위하여, 포토레지스트 패턴을 ARC 층에 전사한다. 패턴 전사는 건식 에칭 기술을 사용하여 완성되며, 에칭 처리는, 암모니아(NH3) 함유가스 및 패시베이션 가스를 포함하는 처리 가스를 사용하는 플라즈마 처리 시스템 내에서 수행된다. 예를 들어, 패시베이션 가스는, C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상과 같은 탄화수소 가스를 포함하여 이루어질 수 있다. 선택적으로, 상기된 바와 같은 처리 가스는, 헬륨(He)을 더 포함하여 이루어질 수 있다. 플라즈마는, 예를 들어 도 2 내지 6에 도시된 시스템 중 어느 하나를 사용하여, 플라즈마 처리 시스템 내에서 처리 가스로부터 형성되며, ARC 층을 포함하여 이루어지는 기판은 형성된 플라즈마에 노출된다. ARC 층을 포함하는 기판이 플라즈마에 노출되는 1차 기간은 일반적으로, ARC 층을 에칭하기 위하여 요구되는 시간, 또는 ARC 층에 포토레지스트 패턴을 전사하기 위하여 요구되는 시간으로 지시된다. 일반적으로, ARC 층의 두께를 통해 포토레지스트 패턴을 전사하기 위하여 요구되는 1차 기간은 미리 정해진다. 그러나, 일반적으로, 1차 기간은 2차 기간 또는 오버-에칭 기간에 의해 더 증가될 수 있다. 상기된 바와 같이, 오버-에칭 시간은, 1차 기간의 1 내지 100%와 같은 시간의 일부를 포함하여 이루어질 수 있으며, 이 오버-에칭 기간은, 종점의 검출을 지나 에칭의 연장을 포함하여 이루어질 수 있다. At 540, the photoresist pattern is transferred to the ARC layer to form a bilayer mask. Pattern transfer is completed using a dry etching technique, and the etching process is performed in a plasma processing system using a processing gas containing ammonia (NH 3 ) containing gas and a passivation gas. For example, the passivation gas may be C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 It may comprise a hydrocarbon gas, such as one or more of C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 and C 6 H 12 . Optionally, the processing gas as described above may further comprise helium (He). The plasma is formed from the processing gas in the plasma processing system using, for example, any of the systems shown in FIGS. 2-6, and the substrate comprising the ARC layer is exposed to the formed plasma. The primary period during which the substrate comprising the ARC layer is exposed to the plasma is generally indicated by the time required to etch the ARC layer, or the time required to transfer the photoresist pattern to the ARC layer. In general, the first period required to transfer the photoresist pattern through the thickness of the ARC layer is predetermined. In general, however, the primary period may be further increased by the secondary period or the over-etching period. As noted above, the over-etching time may comprise a portion of the time, such as 1 to 100% of the primary period, the over-etching period comprising an extension of the etch beyond the detection of the end point. Can be.

도 9a 및 9b는 에칭된 다층 마스크의 측면도 및 평면도를 각각 나타낸다. 형상(600)은, 에칭동안 표면 거칠기(620)가 상부에 형성되는, 감광층(640) 및 ARC 층(650)을 통한 측벽(610)을 포함하여 이루어진다. 패시베이션 가스는, 패시베이션 막(630)의 형성을 용이하게 하여, 에칭된 다층 마스크의 표면 거칠기(620)를 매끄럽게 한다.; 도 9b 참조.9A and 9B show side and top views, respectively, of an etched multilayer mask. The shape 600 comprises a sidewall 610 through the photosensitive layer 640 and the ARC layer 650, where a surface roughness 620 is formed during etching. The passivation gas facilitates the formation of the passivation film 630 to smooth the surface roughness 620 of the etched multilayer mask; See FIG. 9B.

본 발명의 특정 실시형태만이 앞서 상세히 설명되어 있지만, 당업자는, 본 발명의 신규한 교시내용 및 장점으로부터 실질적으로 벗어나지 않고 이 실시형태들 내에서 많은 변형이 가능하다는 것을 쉽게 이해할 것이다. 따라서, 이러한 모든 변형은 본 발명의 범위 내에 포함된다. Although only certain embodiments of the invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible within these embodiments without materially departing from the novel teachings and advantages of the invention. Accordingly, all such modifications are included within the scope of the present invention.

Claims (35)

탄화수소 가스를 포함하는 패시베이션 가스 및 암모니아(NH3)를 집합적으로 포함하는 하나 이상의 가스를 포함하여 이루어지는 처리 가스를 도입하는 단계; Introducing a process gas comprising a passivation gas comprising a hydrocarbon gas and one or more gases collectively comprising ammonia (NH 3 ); 플라즈마 처리 시스템 내에서 상기 처리 가스로부터 플라즈마를 형성시키는 단계; 및 Forming a plasma from the processing gas in a plasma processing system; And 상기 플라즈마에 기판을 노출시키는 단계Exposing a substrate to the plasma 를 포함하고,Including, 상기 패시베이션 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상을 포함하는 것인, 플라즈마 처리 시스템 내에서 기판 상의 항-반사 코팅(ARC) 층을 에칭하는 방법.The passivation gas is C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H An anti-reflective coating (ARC) layer on the substrate in a plasma processing system, comprising one or more of 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10, and C 6 H 12 . How to etch. 삭제delete 삭제delete 제 1항에 있어서,The method of claim 1, 상기 처리 가스는 헬륨을 더 포함하는 것인 방법.Wherein said processing gas further comprises helium. 제 1항에 있어서,The method of claim 1, 상기 플라즈마에 대한 상기 기판의 상기 노출은 제 1 기간동안 수행되는 것인 방법.The exposure of the substrate to the plasma is performed during a first period of time. 제 5항에 있어서,The method of claim 5, 상기 제 1 기간은 종말점 검출에 의해 결정되는 것인 방법.Wherein said first period of time is determined by endpoint detection. 제 6항에 있어서,The method of claim 6, 상기 종말점 검출은 광학 방출 분광법을 포함하는 것인 방법.Wherein said endpoint detection comprises optical emission spectroscopy. 제 5항에 있어서,The method of claim 5, 상기 제 1 기간은 상기 ARC 층을 에칭하기 위한 시간에 대응하고, 제 2 기간에 의해 연장되는 것인 방법.The first period of time corresponds to a time for etching the ARC layer and is extended by a second period of time. 제 8항에 있어서,The method of claim 8, 상기 제 2 기간은 상기 제 1 기간의 분율인 것인 방법.The second period is a fraction of the first period. 박막을 기판 상에 형성하는 단계;Forming a thin film on the substrate; 상기 박막 상에 항-반사 코팅(ARC) 층을 형성하는 단계;Forming an anti-reflective coating (ARC) layer on the thin film; 상기 ARC 층 상에 포토레지스트 패턴을 형성하는 단계; 및 Forming a photoresist pattern on the ARC layer; And 탄화수소 가스를 포함하는 패시베이션 가스 및 암모니아(NH3)를 집합적으로 포함하는 하나 이상의 가스를 포함하여 이루어지는 처리 가스를 사용하여 상기 ARC 층을 에칭함으로써, 상기 포토레지스트 패턴을 상기 ARC 층에 전사하는 단계Transferring the photoresist pattern to the ARC layer by etching the ARC layer using a passivation gas comprising a hydrocarbon gas and a processing gas comprising one or more gases collectively comprising ammonia (NH 3 ). 를 포함하는 것인, 기판 상에 박막을 에칭하기 위한 이중층 마스크의 형성 방법.A method of forming a bilayer mask for etching a thin film on a substrate, comprising. 삭제delete 제 10항에 있어서,The method of claim 10, 상기 패시베이션 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상을 포함하는 것인 방법.The passivation gas is C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 and C 6 H 12 . 제 10항 또는 제 12항에 있어서,The method of claim 10 or 12, 상기 처리 가스는 헬륨을 더 포함하는 것인 방법.Wherein said processing gas further comprises helium. 제 10항에 있어서,The method of claim 10, 상기 기판의 상기 에칭이 제 1 기간동안 수행되는 것인 방법.Wherein said etching of said substrate is performed during a first period of time. 제 14항에 있어서,15. The method of claim 14, 상기 제 1 기간은 종말점 검출에 의해 결정되는 것인 방법.Wherein said first period of time is determined by endpoint detection. 제 15항에 있어서,The method of claim 15, 상기 종말점 검출은 광학 방출 분광법을 포함하는 것인 방법.Wherein said endpoint detection comprises optical emission spectroscopy. 제 14항에 있어서,15. The method of claim 14, 상기 제 1 기간은 상기 ARC 층을 에칭하기 위한 시간에 대응하고, 제 2 기간에 의해 연장되는 것인 방법.The first period of time corresponds to a time for etching the ARC layer and is extended by a second period of time. 제 17항에 있어서,The method of claim 17, 상기 제 2 기간은 상기 제 1 기간의 분율인 것인 방법.The second period is a fraction of the first period. 처리 가스로부터 플라즈마의 형성을 용이하게 하기 위한 플라즈마 처리 챔버;A plasma processing chamber for facilitating the formation of a plasma from the processing gas; 상기 플라즈마 처리 챔버에 커플링되어 있고, 상기 처리 가스를 사용한 처리법을 수행하도록 구성된 조절기A regulator coupled to the plasma processing chamber and configured to perform a treatment with the processing gas 를 포함하고,Including, 상기 처리 가스는 탄화수소 가스를 포함하는 패시베이션 가스 및 암모니아(NH3)를 집합적으로 포함하는 하나 이상의 가스를 포함하고,The process gas comprises a passivation gas comprising a hydrocarbon gas and one or more gases collectively comprising ammonia (NH 3 ), 상기 패시베이션 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상을 포함하는 것인, 기판 상의 항-반사 코팅(ARC) 층을 에칭하기 위한 플라즈마 처리 시스템.The passivation gas is C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H A plasma for etching an anti-reflective coating (ARC) layer on a substrate, comprising one or more of 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10, and C 6 H 12 . Processing system. 제 19항에 있어서,The method of claim 19, 상기 시스템은 상기 플라즈마 처리 챔버에 커플링되고 상기 조절기에 커플링된 진단 시스템을 더 포함하여 이루어지는 것인 시스템.The system further comprises a diagnostic system coupled to the plasma processing chamber and coupled to the regulator. 제 20항에 있어서,The method of claim 20, 상기 진단 시스템은, 상기 플라즈마로부터 방출된 빛과 관련있는 신호를 수용하는 것인 시스템.The diagnostic system is to receive a signal related to light emitted from the plasma. 삭제delete 삭제delete 제 19항에 있어서,The method of claim 19, 상기 처리 가스는 헬륨을 더 포함하는 것인 시스템.Wherein said processing gas further comprises helium. 제 20항에 있어서,The method of claim 20, 상기 조절기는, 제 1 기간동안 상기 기판이 상기 플라즈마에 노출되도록 하는 것인 시스템.Wherein the regulator causes the substrate to be exposed to the plasma for a first period of time. 제 25항에 있어서,26. The method of claim 25, 상기 제 1 기간은 상기 진단 시스템에 의해 결정된 종말점 검출에 의해 결정되는 것인 시스템.The first period of time is determined by endpoint detection determined by the diagnostic system. 제 26항에 있어서,The method of claim 26, 상기 진단 시스템은 광학 방출 분광법 장치를 포함하는 것인 시스템.Wherein said diagnostic system comprises an optical emission spectroscopy device. 제 25항에 있어서,26. The method of claim 25, 상기 제 1 기간은 상기 ARC 층을 에칭하기 위한 시간에 대응하고, 제 2 기간에 의해 연장되는 것인 시스템.The first period of time corresponds to a time for etching the ARC layer and is extended by a second period of time. 제 28항에 있어서,The method of claim 28, 상기 제 2 기간은 상기 제 1 기간의 분율인 것인 시스템.The second period of time is a fraction of the first period of time. 탄화수소 가스를 포함하는 패시베이션 가스 및 암모니아(NH3)를 집합적으로 포함하는 하나 이상의 가스를 포함하여 이루어지는 처리 가스를 도입하는 단계;Introducing a process gas comprising a passivation gas comprising a hydrocarbon gas and one or more gases collectively comprising ammonia (NH 3 ); 플라즈마 처리 시스템 내에서 상기 처리 가스로부터 플라즈마를 형성하는 단계; 및 Forming a plasma from the processing gas in a plasma processing system; And 기판을 상기 플라즈마에 노출시키는 단계Exposing a substrate to the plasma 를 포함하고,Including, 상기 패시베이션 가스는, 다층 마스크의 측벽 상에 패시베이션막의 형성을 용이하게 하여 상기 측벽의 표면 거칠기를 매끄럽게 하는 것인, 플라즈마 처리 시스템 내에서 기판 상의 다층 마스크 내 측벽을 매끄럽게 하는 방법.Wherein the passivation gas facilitates formation of a passivation film on the sidewalls of the multilayer mask to smooth the surface roughness of the sidewalls. 삭제delete 제 30항에 있어서,31. The method of claim 30, 상기 패시베이션 가스는 C2H4, CH4, C2H2, C2H6, C3H4, C3H6, C3H8, C4H6, C4H8, C4H10, C5H8, C5H10, C6H6, C6H10 및 C6H12 중 하나 이상을 포함하는 것인 방법.The passivation gas is C 2 H 4 , CH 4 , C 2 H 2 , C 2 H 6 , C 3 H 4 , C 3 H 6 , C 3 H 8 , C 4 H 6 , C 4 H 8 , C 4 H 10 , C 5 H 8 , C 5 H 10 , C 6 H 6 , C 6 H 10 and C 6 H 12 . 제 30항 또는 제 32항에 있어서,The method of claim 30 or 32, 상기 처리 가스는 헬륨을 더 포함하는 것인 방법.Wherein said processing gas further comprises helium. 삭제delete 삭제delete
KR1020057018198A 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development KR100989107B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US45843003P 2003-03-31 2003-03-31
US60/458,430 2003-03-31
US48422503P 2003-05-05 2003-05-05
US60/484,225 2003-05-05
US10/640,577 US7344991B2 (en) 2002-12-23 2003-08-14 Method and apparatus for multilayer photoresist dry development
US10/640,577 2003-08-14

Publications (2)

Publication Number Publication Date
KR20050112115A KR20050112115A (en) 2005-11-29
KR100989107B1 true KR100989107B1 (en) 2010-10-25

Family

ID=33314234

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018198A KR100989107B1 (en) 2003-03-31 2004-01-21 Method and apparatus for multilayer photoresist dry development

Country Status (5)

Country Link
EP (1) EP1609175A1 (en)
JP (1) JP2006522480A (en)
KR (1) KR100989107B1 (en)
TW (1) TWI228751B (en)
WO (1) WO2004095551A1 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7049052B2 (en) * 2003-05-09 2006-05-23 Lam Research Corporation Method providing an improved bi-layer photoresist pattern
US7700494B2 (en) 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
WO2012173699A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
JP6495025B2 (en) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation Vacuum integrated hard mask processing and equipment
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
CN111937122A (en) 2018-03-30 2020-11-13 朗姆研究公司 Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
KR20210076999A (en) 2018-11-14 2021-06-24 램 리써치 코포레이션 Methods for making useful hard masks in next-generation lithography
KR102539806B1 (en) 2020-01-15 2023-06-05 램 리써치 코포레이션 Underlayer for photoresist adhesion and dose reduction
EP4100793A4 (en) * 2020-02-04 2024-03-13 Lam Res Corp Post application/exposure treatments to improve dry development performance of metal-containing euv resist
US11621172B2 (en) * 2020-07-01 2023-04-04 Applied Materials, Inc. Vapor phase thermal etch solutions for metal oxo photoresists
US20220004105A1 (en) * 2020-07-01 2022-01-06 Applied Materials, Inc. Dry develop process of photoresist
US20240053684A1 (en) * 2022-08-15 2024-02-15 Tokyo Electron Limited Cyclic Method for Reactive Development of Photoresists

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160081A (en) * 1991-06-03 1993-06-25 Sony Corp Dry etching method
JPH1056001A (en) * 1996-06-12 1998-02-24 Applied Materials Inc Etching method
JP2002538604A (en) * 1999-02-26 2002-11-12 トリコン ホールディングス リミティド Processing method of polymer layer

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2897569B2 (en) * 1991-12-30 1999-05-31 ソニー株式会社 Method for determining conditions of antireflection film used in forming resist pattern, and method for forming resist pattern
JP2958284B2 (en) * 1997-03-27 1999-10-06 ホーヤ株式会社 Transfer mask, manufacturing method thereof, and pattern transfer method
US6143476A (en) * 1997-12-12 2000-11-07 Applied Materials Inc Method for high temperature etching of patterned layers using an organic mask stack
JP3637768B2 (en) * 1998-04-27 2005-04-13 松下電器産業株式会社 Toilet equipment
US6352937B1 (en) * 1998-04-27 2002-03-05 Sony Corporation Method for stripping organic based film
GB9904427D0 (en) * 1999-02-26 1999-04-21 Trikon Holdings Ltd Method treating an insulating layer
JP2001345380A (en) * 2000-05-31 2001-12-14 Toshiba Corp Semiconductor device and method of manufacturing the same
JP2002093778A (en) * 2000-09-11 2002-03-29 Toshiba Corp Etching method of organic film and method for manufacturing semiconductor device using the same
JP2002169302A (en) * 2000-12-04 2002-06-14 Sony Corp Method of manufacturing semiconductor device
US6841483B2 (en) * 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6599437B2 (en) * 2001-03-20 2003-07-29 Applied Materials Inc. Method of etching organic antireflection coating (ARC) layers
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
JP2002351092A (en) * 2001-05-29 2002-12-04 Matsushita Electric Ind Co Ltd Etching method
KR100479600B1 (en) * 2001-06-28 2005-04-06 주식회사 하이닉스반도체 A forming method of contact
JP5038567B2 (en) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 Etching method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05160081A (en) * 1991-06-03 1993-06-25 Sony Corp Dry etching method
JPH1056001A (en) * 1996-06-12 1998-02-24 Applied Materials Inc Etching method
JP2002538604A (en) * 1999-02-26 2002-11-12 トリコン ホールディングス リミティド Processing method of polymer layer

Also Published As

Publication number Publication date
WO2004095551A1 (en) 2004-11-04
EP1609175A1 (en) 2005-12-28
KR20050112115A (en) 2005-11-29
TW200425247A (en) 2004-11-16
JP2006522480A (en) 2006-09-28
TWI228751B (en) 2005-03-01

Similar Documents

Publication Publication Date Title
KR101142709B1 (en) System and method for etching a mask
US7595005B2 (en) Method and apparatus for ashing a substrate using carbon dioxide
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
KR101220073B1 (en) Method of etching a silicon layer on a substrate, plasma processing system for etching a silicon layer on a substrate and computer readable medium
US7846645B2 (en) Method and system for reducing line edge roughness during pattern etching
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
KR100989107B1 (en) Method and apparatus for multilayer photoresist dry development
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
KR20070051846A (en) Method and system for etching a gate stack
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
US7767926B2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation
US20070056927A1 (en) Process and system for etching doped silicon
US20050136666A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130924

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141001

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150917

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee