KR100728953B1 - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
KR100728953B1
KR100728953B1 KR1020050045072A KR20050045072A KR100728953B1 KR 100728953 B1 KR100728953 B1 KR 100728953B1 KR 1020050045072 A KR1020050045072 A KR 1020050045072A KR 20050045072 A KR20050045072 A KR 20050045072A KR 100728953 B1 KR100728953 B1 KR 100728953B1
Authority
KR
South Korea
Prior art keywords
film
gate
silicon
silicon film
buried oxide
Prior art date
Application number
KR1020050045072A
Other languages
Korean (ko)
Other versions
KR20060122527A (en
Inventor
장민우
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020050045072A priority Critical patent/KR100728953B1/en
Publication of KR20060122527A publication Critical patent/KR20060122527A/en
Application granted granted Critical
Publication of KR100728953B1 publication Critical patent/KR100728953B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 반도체 소자의 제조방법을 개시한다. 개시된 본 발명의 방법은, 실리콘기판과 매몰산화막 및 실리콘막의 적층 구조로 이루어진 SOI 기판을 마련하는 단계와, 상기 SOI 기판의 실리콘막 상에 상부에 하드마스크 질화막을 구비한 게이트를 형성하는 단계와, 상기 게이트의 양측벽에 산화막 스페이서와 질화막 스페이서를 차례로 형성하는 단계와, 상기 질화막 스페이서와 하드마스크 질화막을 식각장벽으로 이용해서 상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각하는 단계와, 상기 질화막 스페이서 및 식각된 실리콘막과 매몰산화막의 측벽 상에 폴리실리콘 스페이서를 형성하는 단계와, 상기 폴리실리콘 스페이서를 포함한 게이트 양측의 매몰산화막 부분 상에 에피 실리콘막을 성장시키는 단계와, 상기 성장된 에피 실리콘막 내에 불순물을 이온주입하여 소오스/드레인 영역을 형성하는 단계를 포함한다. 본 발명에 따르면, SOI 기판과 에피 실리콘 성장기술을 이용하여 소오스/드레인 접합영역의 실리콘막의 두께를 증가시킨 완전공핍된 트랜지스터를 구현함으로써, 기생직렬저항 증가현상과 기생정전용량 증가현상 및 스파이킹 현상을 효과적으로 억제할 수 있고, 아울러, 문턱전압 감소 현상 및 DIBL 효과와 같은 단채널 효과를 억제할 수 있다.The present invention discloses a method for manufacturing a semiconductor device. The disclosed method comprises the steps of: providing an SOI substrate comprising a stacked structure of a silicon substrate, an buried oxide film, and a silicon film; forming a gate having a hard mask nitride film thereon on the silicon film of the SOI substrate; Sequentially forming oxide spacers and nitride spacers on both sidewalls of the gate; etching the silicon layer and the buried oxide layer having a certain thickness on both sides of the gate using the nitride spacer and the hard mask nitride layer as etch barriers; Forming a polysilicon spacer on sidewalls of the nitride spacer and the etched silicon film and the buried oxide film, growing an epi silicon film on the buried oxide film portions on both sides of the gate including the polysilicon spacer, and growing the epi silicon film. Source / drain Young by ion implantation of impurities into the film A includes forming. According to the present invention, a parasitic series resistance increase, parasitic capacitance increase phenomenon, and spiking phenomenon are realized by implementing a fully depleted transistor which increases the thickness of the silicon film in the source / drain junction region by using an SOI substrate and epi silicon growth technology. Can be effectively suppressed, and short channel effects such as threshold voltage reduction phenomenon and DIBL effect can be suppressed.

Description

반도체 소자의 제조방법{METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}Manufacturing method of semiconductor device {METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE}

도 1a, 도 1b 및 도 2는 종래 기술에 따른 반도체 소자의 제조방법을 설명하기 위한 공정별 단면도.1A, 1B and 2 are cross-sectional views for each process for explaining a method of manufacturing a semiconductor device according to the prior art.

도 3a 내지 도 3e는 본 발명의 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 공정별 단면도.3A to 3E are cross-sectional views of processes for describing a method of manufacturing a semiconductor device, according to an embodiment of the present invention.

(도면의 주요 부분에 대한 부호의 설명)(Explanation of symbols for the main parts of the drawing)

31 : 실리콘기판 32 : 매몰산화막 31 silicon substrate 32 buried oxide film

33 : 실리콘막 34 : SOI 기판33 silicon film 34 SOI substrate

35 : 패드산화막 36 : 게이트 절연막35 pad oxide film 36 gate insulating film

37 : 게이트 도전막 38 : 하드마스크 질화막37: gate conductive film 38: hard mask nitride film

40 : 게이트 41 : 산화막 스페이서40: gate 41: oxide film spacer

42 : 질화막 스페이서 43 : 폴리실리콘막 스페이서42 nitride film spacer 43 polysilicon film spacer

44 : 에피 실리콘막 45 : 소오스/드레인 접합영역44 epi silicon film 45 source / drain junction region

본 발명은 반도체 소자의 제조방법에 관한 것으로, 보다 상세하게는, 소자의 신뢰성을 향상시킬 수 있는 함몰형 게이트를 갖는 반도체 소자의 제조방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device having a recessed gate that can improve the reliability of the device.

반도체 소자의 집적도가 증가함에 따라 트랜지스터의 채널 길이(channel length)도 매우 짧아지고 있다. 채널 길이가 짧아짐에 따라 소오스/드레인 접합영역간의 간섭(charge sharing)현상이 증가하면서 게이트의 제어능력이 감소되는 이른바 단채널 효과(short channel effect)가 발생한다. 상기 단채널 효과로 인해 문턱전압(threshold voltage : Vt)이 급격히 낮아지고, DIBL(Drain Induced Barrier Lowering) 효과 등이 발생하여 소자 작동에 문제가 유발된다. As the degree of integration of semiconductor devices increases, the channel length of the transistors also becomes very short. As the channel length becomes shorter, so-called short channel effects are generated in which the control ability of the gate is reduced while the charge sharing between the source / drain junction regions increases. Due to the short channel effect, the threshold voltage (Vt) is drastically lowered, and the DBL (Drain Induced Barrier Lowering) effect occurs, causing problems in device operation.

또한, 소자의 집적도가 증가함에 따라 소오스/드레인 형성 영역에 과다한 이온이 주입되고, 아울러, 소오스/드레인 접합영역의 깊이는 얕아지게 되는데, 이로 인해, 스파이킹(spiking) 현상 및 기생직렬저항 증가와 같은 문제가 발생한다. 상기 스파이킹 현상은 소오스/드레인 접합영역과 금속물질의 콘택시, 접합영역의 실리콘막과 금속물질이 반응하여 소오스/드레인 접합영역이 그 역할을 제대로 수행하지 못하게 되는 현상이며, 한편, 기생직렬저항 증가 현상은 접합영역의 실리콘막 두께가 얇아 실리콘막 자체의 저항이 증가하는 현상으로, 기생직렬저항이 증가하게 되면 소오스/드레인간 실제적인 전압차가 감소되므로 소자 구동에 필요한 전압이 증가된다.In addition, as the degree of integration of the device increases, excessive ions are implanted in the source / drain formation region, and the depth of the source / drain junction region becomes shallow, thereby increasing the spiking phenomenon and the parasitic series resistance. The same problem occurs. The spiking phenomenon is a phenomenon in which the source / drain junction region does not properly perform its role due to the reaction between the source / drain junction region and the metal material and the silicon film and the metal material in the junction region, and on the other hand, parasitic series resistance The increase phenomenon is a phenomenon in which the resistance of the silicon film itself is increased due to the thin thickness of the silicon film in the junction region. When the parasitic series resistance increases, the actual voltage difference between the source and the drain decreases, thereby increasing the voltage required to drive the device.

이러한 단채널 효과, 스파이킹 효과 및 기생직렬저항 증가 등의 문제를 방지하기 위해 채널의 유효 선폭을 늘려주고, 소오스/드레인 접합영역의 실리콘막을 두껍게 형성시킬 수 있는 다양한 공정 기술들이 개발되고 있다. In order to prevent such short channel effects, spiking effects, and increased parasitic series resistance, various process technologies have been developed to increase the effective line width of the channel and form a thick silicon film in the source / drain junction region.

그 예로서, 첫째, 실리콘기판에 홈을 형성하고, 그 홈 상에 게이트를 형성시켜 소오스/드레인 접합영역의 실리콘막을 두껍게 만드는 함몰형 게이트 형성 기술, 둘째, 실리콘기판 내부에 게이트를 형성시켜 채널의 유효 길이를 증가시키면서 접합영역의 실리콘막 두께를 두껍게 만드는 매몰 게이트 형성 기술 등을 들 수 있다.As an example, firstly, a recess is formed in a silicon substrate, and a gate is formed on the groove to thicken the silicon film of the source / drain junction region. Second, a gate is formed in the silicon substrate to form a gate. A buried gate formation technique for thickening the silicon film thickness of the junction region while increasing the effective length is mentioned.

이하에서는 전술한 종래의 리세스 게이트 형성 기술 및 매몰 게이트 형성 기술에 대해 간략하게 설명하도록 한다. Hereinafter, the above-described conventional recess gate formation technique and buried gate formation technique will be briefly described.

도 1a 내지 도 1d는 종래의 리세스 게이트 형성 기술에 따른 반도체 소자의 제조방법을 설명하기 위한 공정별 단면도이다.1A to 1D are cross-sectional views illustrating processes of manufacturing a semiconductor device according to a conventional recess gate forming technique.

먼저, 도 1a에 도시된 바와 같이, 실리콘기판(1) 상에 패드산화막(2)과 패드질화막(3)을 차례로 증착하고, 그 일부를 식각한 후, 공지의 로코스(Local Oxidation : LOCOS) 공정을 통해 상기 기판(1)의 게이트 형성 예정영역에 산화막(4)을 성장시킨다. First, as shown in FIG. 1A, the pad oxide film 2 and the pad nitride film 3 are sequentially deposited on the silicon substrate 1, and a part of the pad oxide film 3 is etched, and then a known LOCOS (Local Oxidation) is known. The oxide film 4 is grown in the gate formation region of the substrate 1 through the process.

다음으로, 도 1b에 도시된 바와 같이, 상기 산화막(4)의 일부를 리세스시키고, 상기 리세스된 영역 상에 게이트 도전막(5) 및 하드마스크막(6)의 적층막으로 이루어진 게이트(10)를 형성한다. 그런다음, 상기 패드질화막(3)을 제거하고, 상기 게이트(10) 양측벽에 스페이서(7)를 형성한다. Next, as shown in FIG. 1B, a portion of the oxide film 4 is recessed and a gate made of a laminated film of the gate conductive film 5 and the hard mask film 6 on the recessed region ( 10) form. Then, the pad nitride layer 3 is removed, and spacers 7 are formed on both side walls of the gate 10.

그런다음, 상기 게이트(10) 양측의 소오스/드레인 예정영역 상에 불순물을 이온주입하여 소오스/드레인 접합영역(11)을 형성한다. Then, an ion is implanted into the source / drain predetermined region on both sides of the gate 10 to form the source / drain junction region 11.

이후, 도시하지는 않았으나, 공지된 일련의 후속 공정을 차례로 진행하여 반도체 소자를 제조한다. Subsequently, although not shown, a series of known subsequent processes are sequentially performed to manufacture the semiconductor device.

도 2는 종래의 매몰 게이트 형성 기술에 따른 반도체 소자의 제조방법을 설명하기 위한 단면도이다. 2 is a cross-sectional view illustrating a method of manufacturing a semiconductor device in accordance with a conventional buried gate forming technique.

도 2에 도시된 바와 같이, 실리콘기판(21)의 게이트 형성 예정영역을 리세스 하고, 상기 리세스 영역상에 게이트 절연막(22)과 게이트 도전막(23)의 적층막으로 이루어진 게이트(30)를 형성한다. As shown in FIG. 2, the gate forming region of the silicon substrate 21 is recessed, and the gate 30 formed of a laminated film of the gate insulating film 22 and the gate conductive film 23 is formed on the recess region. To form.

그런다음, 상기 게이트(30) 양측의 소오스/드레인 예정영역 상에 불순물을 이온주입하여 소오스/드레인 접합영역(31)을 형성한다. Then, an ion is implanted into the source / drain predetermined region on both sides of the gate 30 to form the source / drain junction region 31.

다음으로, 상기 게이트(30) 상부의 일부영역과 게이트(30) 양측 기판(21)의 일부영역을 식각하고, 식각된 영역에 산화막 또는 질화막으로 이루어진 게이트 보호용 물질막(24)을 형성한다. 여기서 상기 게이트 보호용 물질막(24)은 게이트(30)를 보호할 뿐 아니라 소오스와 드레인의 접합영역을 분리시켜주는 역할을 한다. Next, the partial region of the upper portion of the gate 30 and the partial region of the substrate 21 on both sides of the gate 30 are etched, and a gate protective material layer 24 formed of an oxide film or a nitride film is formed on the etched region. The gate protection material layer 24 not only protects the gate 30 but also serves to separate the junction region between the source and the drain.

이후, 도시하지는 않았으나, 공지된 일련의 후속 공정을 차례로 진행하여 반도체 소자를 제조한다. Subsequently, although not shown, a series of known subsequent processes are sequentially performed to manufacture the semiconductor device.

그러나, 상기한 두 가지 종래 기술 중 전자의 방법은, 채널영역과 소오스/드레인 접합영역의 단차가, 로코스 공정에 의한 산화막(4) 성장시 손실되는 실리콘기판(1)의 양에만 의존하므로, 채널영역과 소오스/드레인 접합영역의 단차를 충분히 크게 할 수 없다. 그러므로, 기생직렬저항 및 스파이킹(spiking) 현상을 감소하는데 한계가 있다. 그리고, 상기 로코스 공정에 의해 산화막(4)을 성장시킬 때, 산화막(4) 양끝이 새부리(bird's beak) 모양으로 형성되므로, 게이트 선폭을 감소시키는데 한계가 있다. However, the former method of the above two conventional techniques, since the step difference between the channel region and the source / drain junction region depends only on the amount of silicon substrate 1 lost during the growth of the oxide film 4 by the LOCOS process, The level difference between the channel region and the source / drain junction region cannot be sufficiently increased. Therefore, there is a limit in reducing parasitic series resistance and spiking phenomenon. In addition, when the oxide film 4 is grown by the LOCOS process, both ends of the oxide film 4 are formed in a bird's beak shape, thereby limiting the gate line width.

또한, 전술한 두 가지 종래 기술 중 후자의 방법은, 게이트의 유효 선폭을 늘려줄 수 있는 효과적인 방법이기는 하지만, 게이트 양측면에서 게이트/절연막/실리콘막의 오버랩(overlap) 면적이 증가하기 때문에 기생정전용량이 일반적인 플래너(planar) 채널 구조를 갖는 트랜지스터 보다 최소 50% 이상 증가한다는 문제가 발생한다. 이에 따라, 신호 전달 지연(RC delay) 효과가 나타나고 고속동작 소자 구현에 불리하다. 특히, 게이트 모서리의 절연막(22) 두께가 얇아 GIDL(Gate Induced Drain Leakage) 특성이 취약하고, 채널 모서리 부분에 강한 전계가 형성되어 핫-캐리어(Hot-carrier) 발생이 증가한다는 문제가 있다.In addition, the latter of the two conventional techniques described above is an effective method for increasing the effective line width of the gate, but the parasitic capacitance is common since the overlap area of the gate / insulation film / silicon film is increased on both sides of the gate. The problem arises that at least 50% increase over transistors with planar channel structures. Accordingly, an RC delay effect is exhibited and is disadvantageous for high speed operation device implementation. In particular, since the thickness of the insulating layer 22 at the gate edge is thin, GIDL (Gate Induced Drain Leakage) characteristics are weak, and a strong electric field is formed at the channel edge, thereby increasing hot-carrier generation.

따라서, 본 발명은 상기와 같은 종래 기술의 제반 문제점들을 해결하기 위해 안출된 것으로, 단채널효과를 효과적으로 억제하면서 동시에 소오스/드레인의 기생직렬저항을 감소시켜 소자의 신뢰성을 확보할 수 있는 함몰형 게이트를 갖는 반도체 소자의 제조방법을 제공함에 그 목적이 있다. Accordingly, the present invention has been made to solve the above-mentioned problems of the prior art, a depression type gate that can effectively suppress the short-channel effect and at the same time reduce the parasitic series resistance of the source / drain to ensure the reliability of the device Its purpose is to provide a method of manufacturing a semiconductor device having a.

상기와 같은 목적을 달성하기 위한 본 발명의 반도체 소자의 제조방법은, 실리콘기판과 매몰산화막 및 실리콘막의 적층 구조로 이루어진 SOI 기판을 마련하는 단계; 상기 SOI 기판의 실리콘막 상에 상부에 하드마스크 질화막을 구비한 게이트를 형성하는 단계; 상기 게이트의 양측벽에 산화막 스페이서와 질화막 스페이서를 차례로 형성하는 단계; 상기 질화막 스페이서를 포함한 하드마스크 질화막을 식각장벽으로 이용해서 상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각 하는 단계; 상기 질화막 스페이서 및 식각된 실리콘막과 매몰산화막의 측벽 상에 폴리실리콘 스페이서를 형성하는 단계; 상기 폴리실리콘 스페이서를 포함한 게이트 양측의 매몰산화막 부분 상에 에피 실리콘막을 성장시키는 단계; 및 상기 성장된 에피 실리콘막 내에 불순물을 이온주입하여 소오스/드레인 영역을 형성하는 단계를 포함한다. The semiconductor device manufacturing method of the present invention for achieving the above object comprises the steps of: providing an SOI substrate consisting of a laminated structure of a silicon substrate, a buried oxide film and a silicon film; Forming a gate having a hard mask nitride layer on the silicon layer of the SOI substrate; Sequentially forming oxide spacers and nitride spacers on both sidewalls of the gate; Etching the silicon film on both sides of the gate and the buried oxide film having a partial thickness by using the hard mask nitride film including the nitride film spacer as an etching barrier; Forming a polysilicon spacer on sidewalls of the nitride spacer and the etched silicon layer and the buried oxide layer; Growing an epitaxial silicon film on portions of the buried oxide film on both sides of the gate including the polysilicon spacer; And forming a source / drain region by implanting impurities into the grown epi silicon film.

여기서, 상기 매몰산화막은 1500∼2500Å 두께로 형성하고, 상기 실리콘막은 100∼500Å 두께로 형성한다.Here, the buried oxide film is formed to a thickness of 1500 ~ 2500∼, the silicon film is formed to a thickness of 100 ~ 500Åm.

상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각하는 단계는 상기 매몰산화막이 500∼1000Å 식각되도록 수행한다.The etching of the silicon film on both sides of the gate and the buried oxide film having a certain thickness is performed so that the buried oxide film is etched at 500 to 1000 Å.

상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각하는 단계 후, 그리고, 상기 폴리실리콘 스페이서를 형성하는 단계 전, 상기 기판의 NMOS 형성 영역에 p형 불순물을, 상기 기판의 PMOS 형성 영역에 n형 불순물을 단방향으로 경사 이온주입하는 단계를 더 포함한다. 여기서, 상기 p형 불순물 이온주입은 1E18∼5E18 이온/㎤ 도우즈의 보론 이온으로 수행할 수 있다. After etching the silicon film on both sides of the gate and the buried oxide film having a certain thickness, and before forming the polysilicon spacer, p-type impurities are formed in the NMOS formation region of the substrate and n is formed in the PMOS formation region of the substrate. It further includes the step of implanting oblique ion implantation in the unidirectional direction. Herein, the p-type impurity ion implantation may be performed with boron ions having 1E18 to 5E18 ions / cm 3 dose.

상기 폴리실리콘 스페이서는 300∼500Å 두께로 형성하며, N형 또는 P형 불순물이 1E20∼5E20 이온/㎤ 농도로 도핑된 폴리실리콘막으로 형성한다.The polysilicon spacer is formed to a thickness of 300 to 500 Å, and is formed of a polysilicon film doped with N-type or P-type impurities at a concentration of 1E20 to 5E20 ions / cm 3.

상기 에피 실리콘막을 성장시키는 단계는, 상기 식각된 매몰산화막 상에 실리콘 씨드(seed)막을 형성하는 단계와, 상기 실리콘 씨드막 상에 에피 실리콘막을 성장시키는 단계와, 상기 게이트의 하드마스크 질화막이 노출되도록 상기 에피 실리콘막을 CMP하는 단계로 구성된다.The growing the epi silicon film may include forming a silicon seed film on the etched oxide film, growing an epi silicon film on the silicon seed film, and exposing a hard mask nitride film of the gate. CMP of the epi silicon film.

본 발명에 따르면, SOI(Silicon-On-Insulator) 기판 상에 게이트를 형성하고, 상기 게이트 양측 소오스/드레인 형성 예정영역의 기판을 리세스한 후, 상기 게이트 및 리세스된 기판 측벽에 폴리실리콘 스페이서를 형성하고, 상기 게이트 양측의 소오스/드레인 형성 예정영역 상에 에피 실리콘막을 성장시킨 후, 상기 성장시킨 에피 실리콘막에 이온주입하여 소오스/드레인 접합영역을 형성함으로써, 채널의 실리콘막은 얇으면서 소오스/드레인 실리콘막의 두께는 두꺼운 새로운 타입의 완전공핍형 트랜지스터를 구현한다. 이에 따라, 단채널 효과, 기생직렬저항 및 스파이킹 현상을 효과적으로 억제할 수 있다. According to the present invention, a gate is formed on a silicon-on-insulator (SOI) substrate, and the substrate of the predetermined source / drain formation region on both sides of the gate is recessed, and then a polysilicon spacer is formed on sidewalls of the gate and the recessed substrate. And growing an epi silicon film on the source / drain formation regions on both sides of the gate, and ion implanting the grown epi silicon film to form a source / drain junction region, whereby the silicon film of the channel is thin and source / drain. The thickness of the drain silicon film implements a new type of fully depleted transistor with a thick thickness. Accordingly, the short channel effect, parasitic series resistance and spiking phenomenon can be effectively suppressed.

(실시예)(Example)

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세하게 설명하도록 한다. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 3a 내지 도 3e는 본 발명의 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 공정별 단면도이다.3A to 3E are cross-sectional views of processes for describing a method of manufacturing a semiconductor device according to an embodiment of the present invention.

도 3a를 참조하면, 실리콘기판(31)과 매몰산화막(32) 및 실리콘막(33)의 적층 구조로 이루어진 SOI 기판(34) 상에 패드질화막(35)을 형성한 후, 문턱전압 조절을 위한 이온주입공정을 수행한다.Referring to FIG. 3A, after the pad nitride film 35 is formed on the SOI substrate 34 having a stacked structure of the silicon substrate 31, the buried oxide film 32, and the silicon film 33, the threshold voltage is adjusted. Ion implantation process is performed.

여기서, 상기 SOI 기판(34)은 매몰산화막(32)이 1500∼2500Å 두께로 형성되고, 상기 매몰산화막(32) 상에 실리콘막(33)이 500Å 이하의 두께로, 바람직하게는, 100∼500Å 두께로 형성된 SOI 기판(34)을 사용한다. In the SOI substrate 34, the buried oxide film 32 is formed to a thickness of 1500 to 2500 GPa, and the silicon film 33 is 500 GPa or less on the buried oxide film 32, preferably, 100 to 500 GPa. The SOI substrate 34 formed in thickness is used.

그런다음, 도 3b에 도시된 바와 같이, 패드질화막(35)이 제거된 상태에서, 상기 SOI 기판(34) 상에 게이트 절연막(36), 게이트 도전막(37) 및 게이트 하드마스크 질화막(38)의 적층막으로 이루어진 게이트(40)를 형성한다.  3B, the gate insulating film 36, the gate conductive film 37, and the gate hard mask nitride film 38 are formed on the SOI substrate 34 in a state where the pad nitride film 35 is removed. A gate 40 made of a laminated film of is formed.

여기서, 상기 게이트 절연막(36)은 20∼30Å 두께의 산화막으로 형성하고, 상기 게이트 도전막(37)은 500∼1500Å 두께로 폴리실리콘막 또는 폴리실리콘막과 금속실리사이드막의 2중막으로 이루어진 적층막등으로 형성하며, 상기 게이트 하드마스크 질화막(38)은 1000∼1500Å 두께의 형성한다. Here, the gate insulating film 36 is formed of an oxide film having a thickness of 20 to 30 GPa, and the gate conductive film 37 has a thickness of 500 to 1500 GPa and a laminated film composed of a polysilicon film or a double film of a polysilicon film and a metal silicide film. The gate hard mask nitride film 38 is formed to have a thickness of 1000 to 1500 Å.

그런다음, 상기 게이트(40)의 양측벽에 산화막 스페이서(41)와 질화막 스페이서(42)를 차례로 형성한다. Then, oxide film spacers 41 and nitride film spacers 42 are sequentially formed on both side walls of the gate 40.

이때, 상기 산화막 스페이서(41)는 50∼100Å 두께로 형성하고, 상기 질화막 스페이서(42)는 300∼500Å 두께로 형성한다. In this case, the oxide film spacer 41 is formed to a thickness of 50 to 100 kPa, and the nitride film spacer 42 is formed to a thickness of 300 to 500 kPa.

도 3c를 참조하면, 상기 질화막 스페이서(42)와 게이트 하드마스크 질화막(38)을 식각장벽으로 이용해서 상기 게이트(40) 양측의 실리콘막(33)과 일부 두께의 매몰산화막(32)을 식각한다. Referring to FIG. 3C, the silicon film 33 on both sides of the gate 40 and the buried oxide film 32 having a predetermined thickness are etched using the nitride spacer 42 and the gate hard mask nitride 38 as an etch barrier. .

여기서, 상기 게이트(40) 양측의 실리콘막(33)과 일부 두께의 매몰산화막(32)을 식각하는 단계는 상기 매몰산화막(32)이 500∼1000Å 식각되도록 수행한다. Here, the etching of the silicon film 33 on both sides of the gate 40 and the buried oxide film 32 having a partial thickness is performed so that the buried oxide film 32 is etched at 500 to 1000 Å.

종래 로코스 공정을 이용한 함몰형 게이트 형성 기술에서는 채널영역과 소오스/드레인 접합영역의 단차를 증가시키는 것이 매우 제한적이었으나, 본 발명에서는 상기 SOI 기판(34)의 매몰산화막(32)이 식각되는 만큼 채널영역과 소오스/드레인 접합영역의 단차가 발생하므로, 종래에 비해 큰 단차를 확보할 수 있을 뿐 아니라 그 조절이 매우 용이하다. 이에 따라, 본 발명은 종래 로코스 공정을 이용한 리세스 게이트 형성 기술에 비해 효과적으로 스파이킹 현상 및 기생직렬저항을 감소시킬 수 있다. In the recessed gate forming technique using the conventional LOCOS process, it is very limited to increase the step difference between the channel region and the source / drain junction region. However, in the present invention, as the buried oxide layer 32 of the SOI substrate 34 is etched, the channel is increased. Since a step is generated between the region and the source / drain junction region, not only a large step can be secured, but also the adjustment is very easy. Accordingly, the present invention can effectively reduce the spiking phenomenon and parasitic series resistance compared to the recess gate forming technology using the conventional LOCOS process.

다음으로, 도 3c에 도시된 바와 같이, 상기 기판의 NMOS 형성 영역에 보론(B)과 같은 p형 불순물을, 상기 기판의 PMOS 형성 영역에는 인(P) 또는 아세닉(As)과 같은 n형 불순물을 단방향으로 경사 이온주입하는 단계를 더 포함시킬 수도 있다. 이때, 상기 보론 이온주입은 1E18∼5E18 이온/㎤ 도우즈로 수행한다. Next, as shown in FIG. 3C, a p-type impurity such as boron (B) is formed in the NMOS formation region of the substrate, and an n-type such as phosphorus (P) or an asic (As) is formed in the PMOS formation region of the substrate. The method may further include the step of implanting oblique ion implants in the unidirectional direction. In this case, the boron ion implantation is performed with 1E18 to 5E18 ions / cm 3 dose.

상기와 같이 NMOS 형성 영역에 보론을 단방향으로 경사 이온주입하면, NMOS 소오스 형성 영역의 정공준위가 낮아져, 결과적으로, 부동몸체효과(Floating Body Effect)를 억제할 수 있다.As described above, when the boron is unidirectionally implanted into the NMOS forming region, the hole level of the NMOS source forming region is lowered, and as a result, the floating body effect can be suppressed.

다음으로, 3d에 도시된 바와 같이, 상기 기판 결과물 전면 상에 도핑된 폴리실리콘막을 증착한 후, 상기 도핑된 폴리실리콘막을 이방성 식각하여, 상기 질화막 스페이서(42) 및 식각된 실리콘막(33)과 매몰산화막(32)의 측벽 상에 폴리실리콘 스페이서(43)를 형성한다. Next, as shown in 3d, after the doped polysilicon film is deposited on the entire surface of the substrate resultant, the doped polysilicon film is anisotropically etched to form the nitride spacer 42 and the etched silicon film 33. The polysilicon spacers 43 are formed on the sidewalls of the buried oxide film 32.

여기서, 상기 폴리실리콘 스페이서(43)는 300∼500Å 두께로 형성하되, N형 또는 P형 불순물이 1E20∼5E20 이온/㎤ 농도로 도핑된 폴리실리콘막으로 형성한다. 이때, NMOS 형성 영역에는 N형 불순물이 도핑된 폴리실리콘 스페이서를 형성하고, PMOS 형성 영역에는 P형 불순물이 도핑된 폴리실리콘 스페이서를 형성한다. Here, the polysilicon spacers 43 are formed to have a thickness of 300 to 500 Å, and are formed of a polysilicon film doped with N-type or P-type impurities at a concentration of 1E20 to 5E20 ions / cm 3. In this case, a polysilicon spacer doped with N-type impurities is formed in the NMOS formation region, and a polysilicon spacer doped with P-type impurities is formed in the PMOS formation region.

본 발명에서는, 상기와 같이 질화막 스페이서(42) 및 식각된 실리콘막(33)과 매몰산화막(32)의 측벽 상에 고농도로 도핑된 폴리실리콘 스페이서(43)를 형성함으로써, 소오스/드레인의 기생직렬저항을 추가적으로 감소시킬수 있다. 종래 매몰 게 이트 형성기술에서는 게이트 양측에 게이트/절연막/실리콘막의 오버랩(overlap) 면적이 늘어나 기생정전용량이 증가하였지만, 본 발명에서는 고농도의 폴리실리콘 스페이서를 형성하여 유전막의 두께를 두껍게 함으로써, 종래에 비해 기생정전용량이 감소된다. In the present invention, the parasitic series of source / drain is formed by forming a highly doped polysilicon spacer 43 on the sidewalls of the nitride film spacer 42 and the etched silicon film 33 and the buried oxide film 32 as described above. The resistance can be further reduced. In the conventional investment gate forming technology, the parasitic capacitance is increased by increasing the overlap area of the gate / insulation film / silicon film on both sides of the gate. However, in the present invention, by forming a polysilicon spacer having a high concentration, the dielectric film is thickened. In comparison, parasitic capacitance is reduced.

아울러, 상기 고농도로 도핑된 폴리실리콘 스페이서(43)로부터 확산되는 불순물로 인하여 저농도의 불순물층(LDD : Lightly Doped Drain) 형성 효과를 부가적으로 얻을 수 있다. 이에 따라, 본 발명에서는 따로 LDD 형성 공정을 수행할 필요가 없으며, 그러므로, 공정이 단순화되는 효과가 있다. In addition, an impurity layer (LDD: Lightly Doped Drain) formation effect may be additionally obtained due to impurities diffused from the highly doped polysilicon spacer 43. Accordingly, in the present invention, there is no need to perform a separate LDD formation process, and therefore, there is an effect of simplifying the process.

다음으로, 상기 폴리실리콘 스페이서(43)를 포함한 게이트(40) 양측의 매몰산화막(32) 부분 상에 에피 실리콘막(44)을 성장시킨다.Next, the epi silicon film 44 is grown on the buried oxide film 32 on both sides of the gate 40 including the polysilicon spacer 43.

여기서, 상기 에피 실리콘막(44)을 성장시키는 단계는, 먼저, 상기 식각된 매몰산화막(32) 상에 실리콘 씨드(seed)막을 형성한 후, 상기 실리콘 씨드막 상에 에피 실리콘막(44)을 성장시키고, 상기 게이트의 하드마스크 질화막(38)이 노출되도록 상기 에피 실리콘막(44)을 CMP하는 단계로 진행된다. In the growing of the epi silicon layer 44, first, a silicon seed layer is formed on the etched buried oxide layer 32, and then the epi silicon layer 44 is formed on the silicon seed layer. And epitaxially CMP the epitaxial silicon film 44 to expose the hard mask nitride film 38 of the gate.

다음으로, 도 3e를 참조하면, 상기 성장된 에피 실리콘막(44) 내에 불순물을 이온주입하여 소오스/드레인 접합영역(45)을 형성한다.Next, referring to FIG. 3E, impurities are implanted into the grown epitaxial silicon film 44 to form a source / drain junction region 45.

상기한 바와 같이, 본 발명에서는, 상기 성장된 에피 실리콘막(44) 내에 불순을 이온주입하여 소오스/드레인 접합영역(45)을 형성하였지만, 상기 에피 실리콘막(44) 성장시 인-시튜(in-situ)로 불순물을 포함시키며 소오스/드레인 접합영역(45)을 형성시킬 수도 있다. As described above, in the present invention, source / drain junction regions 45 are formed by ion implantation of impurities into the grown epitaxial silicon film 44, but in-situ during growth of the epitaxial silicon film 44 -situ) may contain impurities and form source / drain junction regions 45.

이후, 도시하지는 않았으나, 공지의 후속 공정을 수행하여 본 발명의 반도체 소자를 완성한다.Thereafter, although not shown, the semiconductor device of the present invention is completed by performing a known subsequent process.

이상과 같이, 본 발명에서는, SOI(Silicon-On-Insulator) 기판 상에 게이트를 형성하고, 상기 게이트 양측 소오스/드레인 형성 예정영역의 기판을 리세스한 후, 상기 게이트 및 리세스된 기판 측벽에 폴리실리콘 스페이서를 형성하고, 상기 게이트 양측의 소오스/드레인 형성 예정영역 상에 에피 실리콘막을 성장시킨 후, 상기 성장시킨 에피 실리콘막에 이온주입하여 소오스/드레인 접합영역을 형성함으로써, 채널의 실리콘막은 얇으면서 소오스/드레인 실리콘막의 두께는 두꺼운 새로운 타입의 완전공핍형 게이트를 갖는 트랜지스터를 구현할 수 있다. As described above, in the present invention, a gate is formed on a silicon-on-insulator (SOI) substrate, the substrates of the predetermined source / drain formation regions on both sides of the gate are recessed, and then the sidewalls of the gate and the recessed substrate are formed. By forming a polysilicon spacer, growing an epi silicon film on the source / drain formation regions on both sides of the gate, and ion-implanting the grown epi silicon film to form a source / drain junction region, the silicon film of the channel is made thin. The thickness of the source / drain silicon film can be implemented with a transistor having a new type of fully depleted gate.

상기 완전공핍형 게이트는, 본 발명에서와 같이 채널의 실리콘막이 얇은 경우에 구현될 수 있는 게이트로서, 채널영역과 소오스/드레인 영역의 공핍영역이 서로 겹쳐져 채널의 전 영역이 공핍(depletion)되어 있는 게이트이다. 이러한 완전공핍된 채널을 갖는 트랜지스터에서는 게이트 길이가 짧아지더라도 소오스와 드레인 접합영역간 간섭(charge sharing)현상이 억제되기 때문에 문턱전압 감소 현상 및 DIBL(Drain Induced Barrier Lowering) 효과와 같은 단채널 효과가 억제된다. The fully depleted gate is a gate that can be implemented when the silicon film of the channel is thin as in the present invention. The depletion region of the channel region and the source / drain region overlap each other so that the entire region of the channel is depleted. It is a gate. In this transistor having a fully depleted channel, even if the gate length becomes short, the charge sharing between the source and drain junction regions is suppressed, so that short channel effects such as threshold voltage reduction and drain induced barrier lowering (DIBL) effects are suppressed. do.

또한, 본 발명의 완전공핍형 게이트에서는, 부분공핍된 채널을 갖는 소자에서 발생하는 부동몸체효과(Floating Body Effect)가 발생하지 않으므로 소자 구동 제어가 용이해진다. In addition, in the fully depleted gate of the present invention, the floating body effect which occurs in an element having a partially depleted channel does not occur, so that device driving control is facilitated.

그리고, 본 발명에서는 소오스/드레인의 실리콘막 두께를 종래에 비해 상당히 크게 형성시킬 수 있으므로, 기생직렬저항 증가현상과 스파이킹 현상을 효과적 으로 억제할 수 있다. In the present invention, since the silicon film thickness of the source / drain can be formed considerably larger than in the related art, the increase in parasitic series resistance and the spiking phenomenon can be effectively suppressed.

이상, 여기에서는 본 발명을 특정 실시예에 관련하여 도시하고 설명하였지만, 본 발명이 그에 한정되는 것은 아니며, 이하의 특허청구의 범위는 본 발명의 정신과 분야를 이탈하지 않는 한도 내에서 본 발명이 다양하게 개조 및 변형될 수 있다는 것을 당업계에서 통상의 지식을 가진 자가 용이하게 알 수 있다.As mentioned above, although the present invention has been illustrated and described with reference to specific embodiments, the present invention is not limited thereto, and the following claims are not limited to the scope of the present invention without departing from the spirit and scope of the present invention. It can be easily understood by those skilled in the art that can be modified and modified.

이상에서와 같이, 본 발명은 SOI 기판과 에피 실리콘 성장 기술을 이용하여 소오스/드레인 접합영역의 실리콘막의 두께를 증가시킨 완전공핍된 트랜지스터를 구현함으로써, 기생직렬저항 증가현상과 기생정전용량 증가현상 및 스파이킹 현상을 효과적으로 억제할 수 있고, 아울러, 문턱전압 감소 현상 및 DIBL 효과와 같은 단채널 효과를 억제할 수 있다. 그러므로, 소자의 신뢰성과 구동특성이 향상되는 효과가 있다. As described above, the present invention implements a fully depleted transistor in which the thickness of the silicon film of the source / drain junction region is increased by using an SOI substrate and epi silicon growth technology, thereby increasing parasitic series resistance and increasing parasitic capacitance. The spike phenomenon can be effectively suppressed, and the short channel effect such as the threshold voltage reduction phenomenon and the DIBL effect can be suppressed. Therefore, there is an effect of improving the reliability and driving characteristics of the device.

또한, 본 발명은 SOI 기판의 매몰산화막의 식각두께를 조절함으로써, 채널과 소오스/드레인 영역에서의 실리콘막 두께 차이를 용이하게 조절할 수 있어, 소자의 특성을 용이하게 제어할 수 있다. In addition, by controlling the etching thickness of the buried oxide film of the SOI substrate, the present invention can easily control the difference in the thickness of the silicon film in the channel and the source / drain regions, thereby easily controlling the characteristics of the device.

게다가, 본 발명은 게이트와 게이트 하부 기판의 양측벽에 도핑된 폴리실리콘 스페이서를 형성함으로써, 기생직렬저항 및 기생정전용량을 감소시킬 수 있을 뿐 아니라, 아울러, 따로 LDD 형성 공정을 수행할 필요가 없어 공정이 단순화되는 효과를 얻을 수 있다. In addition, the present invention not only reduces parasitic series resistance and parasitic capacitance by forming doped polysilicon spacers on both sidewalls of the gate and the substrate under the gate, but also eliminates the need for a separate LDD forming process. The effect of the process being simplified can be obtained.

추가해서, 본 발명은 SOI 기판의 매몰산화막을 식각한 후, 기판의 NMOS 형성 영역에 p형 불순물을, 기판의 PMOS 형성 영역에 n형 불순물을 단방향으로 경사 이온주입하여 소오스 영역의 정공준위를 낮춰줌으로써, 부동몸체효과(Floating Body Effect)를 더욱 억제할 수 있다. In addition, the present invention etches the buried oxide film of the SOI substrate, and then obliquely implants p-type impurities into the NMOS formation region of the substrate and n-type impurities into the PMOS formation region of the substrate to lower the hole level of the source region. In this way, the floating body effect can be further suppressed.

아울러, 본 발명은 에피 실리콘막을 성장시킨 후, CMP 공정을 통해 기판 결과물을 평탄화함으로써, 후속되는 노광공정에서 공정 여유도가 개선되는 효과를 얻을 수 있다. In addition, according to the present invention, after the epi silicon film is grown, the substrate resultant is planarized through the CMP process, so that the process margin can be improved in the subsequent exposure process.

Claims (8)

실리콘기판과 매몰산화막 및 실리콘막의 적층 구조로 이루어진 SOI 기판을 마련하는 단계; Providing an SOI substrate comprising a stacked structure of a silicon substrate, an buried oxide film, and a silicon film; 상기 SOI 기판의 실리콘막 상에 상부에 하드마스크 질화막을 구비한 게이트를 형성하는 단계; Forming a gate having a hard mask nitride layer on the silicon layer of the SOI substrate; 상기 게이트의 양측벽에 산화막 스페이서와 질화막 스페이서를 차례로 형성하는 단계; Sequentially forming oxide spacers and nitride spacers on both sidewalls of the gate; 상기 질화막 스페이서와 하드마스크 질화막을 식각장벽으로 이용해서 상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각하는 단계; Etching the silicon film on both sides of the gate and the buried oxide film having a partial thickness using the nitride spacer and the hard mask nitride film as etch barriers; 상기 질화막 스페이서 및 식각된 실리콘막과 매몰산화막의 측벽 상에 폴리실리콘 스페이서를 형성하는 단계; Forming a polysilicon spacer on sidewalls of the nitride spacer and the etched silicon layer and the buried oxide layer; 상기 폴리실리콘 스페이서를 포함한 게이트 양측의 매몰산화막 부분 상에 에피 실리콘막을 성장시키는 단계; 및 Growing an epitaxial silicon film on portions of the buried oxide film on both sides of the gate including the polysilicon spacer; And 상기 성장된 에피 실리콘막 내에 불순물을 이온주입하여 소오스/드레인 영역을 형성하는 단계;를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.And implanting impurities into the grown epitaxial silicon film to form source / drain regions. 제 1 항에 있어서, 상기 매몰산화막은 1500∼2500Å 두께로 형성하고, 상기 실리콘막은 100∼500Å 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 1, wherein the buried oxide film is formed to a thickness of 1500 to 2500 GPa and the silicon film is formed to a thickness of 100 to 500 GPa. 제 1 항에 있어서, 상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각하는 단계는 상기 매몰산화막이 500∼1000Å 식각되도록 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the etching of the silicon film on both sides of the gate and the buried oxide film having a partial thickness is performed such that the buried oxide film is etched at 500 to 1000 Å. 제 1 항에 있어서, 상기 게이트 양측의 실리콘막과 일부 두께의 매몰산화막을 식각하는 단계 후, 그리고, 상기 폴리실리콘 스페이서를 형성하는 단계 전, 상기 기판의 NMOS 형성 영역에 p형 불순물을, 상기 기판의 PMOS 형성 영역에는 n형 불순물을 각각 단방향으로 경사 이온주입하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein after the etching of the silicon film on both sides of the gate and the buried oxide film having a partial thickness, and before the forming of the polysilicon spacer, p-type impurities are formed in the NMOS forming region of the substrate. The method of manufacturing a semiconductor device further comprising the step of implanting oblique ions into the PMOS formation region in a unidirectional direction, respectively. 제 4 항에 있어서, 상기 p형 불순물 이온주입은 1E18∼5E18 이온/㎤ 도우즈의 보론 이온으로 수행하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of manufacturing a semiconductor device according to claim 4, wherein the p-type impurity ion implantation is performed with boron ions of 1E18 to 5E18 ions / cm 3 dose. 제 1 항에 있어서, 상기 폴리실리콘 스페이서는 300∼500Å 두께로 형성하는 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the polysilicon spacer is formed to a thickness of 300 to 500 kHz. 제 1 항에 있어서, 상기 폴리실리콘 스페이서는 N형 또는 P형 불순물이 1E20∼5E20 이온/㎤ 농도로 도핑된 것을 특징으로 하는 반도체 소자의 제조방법.The method of claim 1, wherein the polysilicon spacer is doped with N-type or P-type impurities at a concentration of 1E20 to 5E20 ions / cm 3. 제 1 항에 있어서, 상기 에피 실리콘막을 성장시키는 단계는 The method of claim 1, wherein the growing of the epi silicon film is performed. 상기 식각된 매몰산화막 상에 실리콘 씨드(seed)막을 형성하는 단계; Forming a silicon seed film on the etched oxide film; 상기 실리콘 씨드막 상에 에피 실리콘막을 성장시키는 단계; 및 Growing an epi silicon film on the silicon seed film; And 상기 게이트의 하드마스크 질화막이 노출되도록 상기 에피 실리콘막을 CMP하는 단계;로 구성되는 것을 특징으로 하는 반도체 소자의 제조방법.And CMPing the epi silicon film to expose the hard mask nitride film of the gate.
KR1020050045072A 2005-05-27 2005-05-27 Method of manufacturing semiconductor device KR100728953B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050045072A KR100728953B1 (en) 2005-05-27 2005-05-27 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050045072A KR100728953B1 (en) 2005-05-27 2005-05-27 Method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR20060122527A KR20060122527A (en) 2006-11-30
KR100728953B1 true KR100728953B1 (en) 2007-06-15

Family

ID=37707852

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050045072A KR100728953B1 (en) 2005-05-27 2005-05-27 Method of manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR100728953B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100949883B1 (en) * 2008-04-03 2010-03-25 주식회사 하이닉스반도체 Method for Manufacturing Semiconductor Device
US9059248B2 (en) 2012-02-09 2015-06-16 International Business Machines Corporation Junction butting on SOI by raised epitaxial structure and method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100257077B1 (en) 1998-02-06 2000-05-15 김영환 Method for manufacturing semiconductor device
KR100269336B1 (en) 1998-09-16 2000-10-16 윤종용 Semiconductor device having conductive gate spacer and method for fabricating the same
KR20030059391A (en) * 2001-12-29 2003-07-10 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
KR100442457B1 (en) 2001-09-21 2004-07-30 미쓰비시덴키 가부시키가이샤 Method of manufacturing semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100257077B1 (en) 1998-02-06 2000-05-15 김영환 Method for manufacturing semiconductor device
KR100269336B1 (en) 1998-09-16 2000-10-16 윤종용 Semiconductor device having conductive gate spacer and method for fabricating the same
KR100442457B1 (en) 2001-09-21 2004-07-30 미쓰비시덴키 가부시키가이샤 Method of manufacturing semiconductor device
KR20030059391A (en) * 2001-12-29 2003-07-10 주식회사 하이닉스반도체 Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20060122527A (en) 2006-11-30

Similar Documents

Publication Publication Date Title
US6372559B1 (en) Method for self-aligned vertical double-gate MOSFET
US8106456B2 (en) SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US10361288B2 (en) Method for manufacturing FinFETs by implanting counter-doped regions in lightly-doped S/D extensions away from the channel
KR100668856B1 (en) Method of manufacturing semiconductor device
US7892930B2 (en) Method to improve transistor tox using SI recessing with no additional masking steps
JP4148717B2 (en) Manufacturing method of semiconductor device
US6696729B2 (en) Semiconductor device having diffusion regions with different junction depths
US6518134B2 (en) Method for fabricating a semiconductor device with an air tunnel formed in the lower part of a transistor channel
US5861104A (en) Trench isolation with rounded top and bottom corners and edges
US7394120B2 (en) Semiconductor device having a shaped gate electrode and method of manufacturing the same
JP2006319326A (en) E-SiGe AMALGAMATING SOI BOTTOM PREDOPING FOR DECREASING HEIGHT OF POLYSILICON
US20080023761A1 (en) Semiconductor devices and methods of fabricating the same
KR100728953B1 (en) Method of manufacturing semiconductor device
KR20000056248A (en) FET structure with reduced short channel effect and punchthrough
US20020195672A1 (en) Method of forming a MOS transistor in a semiconductor device and a MOS transistor fabricated thereby
JP2008066548A (en) Semiconductor device and manufacturing method of semiconductor device
JPH09191106A (en) Semiconductor device and its manufacture
GB2214349A (en) Process for fabricating mos devices
KR101033411B1 (en) Semiconductor device and manufacturing method of semiconductor device
US20220320328A1 (en) Transistor structure and processing method therefore
KR100464535B1 (en) A method for forming a transistor of a semiconductor device
JP4178240B2 (en) Manufacturing method of semiconductor device
KR100529449B1 (en) Method for manufacturing mos transistor of the semiconductor device
KR950000151B1 (en) Manufacturing method of semiconductor device of it ldd structre
KR101038285B1 (en) method for forming MOS transistor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20110526

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee