KR100678696B1 - Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma - Google Patents

Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma Download PDF

Info

Publication number
KR100678696B1
KR100678696B1 KR1020060012234A KR20060012234A KR100678696B1 KR 100678696 B1 KR100678696 B1 KR 100678696B1 KR 1020060012234 A KR1020060012234 A KR 1020060012234A KR 20060012234 A KR20060012234 A KR 20060012234A KR 100678696 B1 KR100678696 B1 KR 100678696B1
Authority
KR
South Korea
Prior art keywords
plasma
reaction chamber
self
plasma reaction
frequency
Prior art date
Application number
KR1020060012234A
Other languages
Korean (ko)
Inventor
위순임
Original Assignee
주식회사 뉴파워 프라즈마
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 뉴파워 프라즈마 filed Critical 주식회사 뉴파워 프라즈마
Priority to KR1020060012234A priority Critical patent/KR100678696B1/en
Application granted granted Critical
Publication of KR100678696B1 publication Critical patent/KR100678696B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

A magnetically enhanced plasma source is provided to more uniformly maintain plasma of high density by forming toroidal plasma in a plasma reaction chamber. A plasma source includes a plasma reaction chamber(10) having a susceptor(17) loaded with a substrate, and a ferrite core assembly(20) disposed on an outer ceiling of the plasma reaction chamber for forming toroidal plasma in the plasma reaction chamber. The ferrite core assembly has at least two annular ferrite cores(21,22) concentrically disposed, plural bar-type ferrite cores(23) each wound with an induction coil(24), and a first power supply source(30) supplying an AC power to induce plasma on the induction coil.

Description

환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스{MAGNETICALLY ENHANCED PLASMA SOURCE HAVING FERRITE CORE ASSEMBLY FOR FORMING TOROIDAL PLASMA}MAGNETICALLY ENHANCED PLASMA SOURCE HAVING FERRITE CORE ASSEMBLY FOR FORMING TOROIDAL PLASMA}

본 발명의 상세한 설명에서 사용되는 도면을 보다 충분히 이해하기 위하여, 각 도면의 간단한 설명이 제공된다.In order to more fully understand the drawings used in the detailed description of the invention, a brief description of each drawing is provided.

도 1은 본 발명의 바람직한 실시예에 따른 플라즈마 반응 챔버의 외관을 보여주는 사시도이다.1 is a perspective view showing the appearance of a plasma reaction chamber according to a preferred embodiment of the present invention.

도 2는 도 1의 플라즈마 반응 챔버의 단면도이다.2 is a cross-sectional view of the plasma reaction chamber of FIG. 1.

도 3은 플라즈마 반응 챔버의 내부에서 천정을 바라본 것으로 환형 플라즈마를 예시하는 도면이다.3 is a view illustrating the annular plasma as viewed from the ceiling inside the plasma reaction chamber.

도 4는 가스 입구를 증설한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.4 is a partial cross-sectional view of a plasma reaction chamber showing an example in which a gas inlet is expanded.

도 5는 이중 바이어스 전원을 구비한 예를 보여주는 플라즈마 반응 챔버의 단면도이다.5 is a cross-sectional view of a plasma reaction chamber showing an example with a dual bias power source.

도 6은 페라이트 코어 조립체를 매입 설치한 플라즈마 반응 챔버의 사시도이다.6 is a perspective view of a plasma reaction chamber in which a ferrite core assembly is embedded.

도 7은 도 6의 플라즈마 반응 챔버의 단면도이다.FIG. 7 is a cross-sectional view of the plasma reaction chamber of FIG. 6.

도 8은 가스 입구를 증설한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.8 is a partial cross-sectional view of a plasma reaction chamber showing an example in which a gas inlet is expanded.

도 9는 가스 입구의 아래로 가스 분배판을 설치한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.9 is a partial cross-sectional view of the plasma reaction chamber showing an example of installing a gas distribution plate below the gas inlet.

도 10은 세 개의 환형 페라이트 코어가 동심원 구조로 배열된 페라이트 코어 조립체가 설치된 플라즈마 반응 챔버의 평면도이다.FIG. 10 is a plan view of a plasma reaction chamber in which a ferrite core assembly in which three annular ferrite cores are arranged concentrically is installed.

도 11 및 도 12는 도 10의 플라즈마 반응 챔버의 부분 단면도로서, 도 11에서는 자기장의 유도 방향을 동일하게 도 12에서는 자기장의 유도 방향을 서로 다르게 하는 경우를 각각 보여주는 도면이다.11 and 12 are partial cross-sectional views of the plasma reaction chamber of FIG. 10. FIG. 11 is a diagram illustrating a case in which the magnetic field induction directions are the same in FIG.

*도면의 주요 부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

10: 플라즈마 반응 챔버 17: 서셉터10: plasma reaction chamber 17: susceptor

19: 진공 펌프 20: 페라이트 코어 조립체19: vacuum pump 20: ferrite core assembly

30, 32, 36: 전원 공급원30, 32, 36: power source

본 발명은 반도체 기판 처리를 위한 플라즈마 소오스에 관한 것으로, 구체적으로는 페라이트 코어 조립체를 사용하여 프로세스 챔버 내부에 환형 프라즈마를 형성하도록 하여 플라즈마 밀도와 균일도를 강화시키는 자기 강화된 플라즈마 소오스에 관한 것이다.TECHNICAL FIELD The present invention relates to a plasma source for processing a semiconductor substrate, and more particularly, to a magnetically strengthened plasma source that enhances plasma density and uniformity by forming an annular plasma inside a process chamber using a ferrite core assembly.

반도체 소자의 초미세화와 그리고 기판 사이즈의 증가 그리고 새로운 처리 대상 물질 등장 등의 여러 요인으로 인하여 반도체 제조 공정에서는 더욱 향상된 기판 처리 기술이 요구되고 있다. 특히, 플라즈마를 이용한 반도체 제조 공정으로 건식 에칭 공정 분야나 물리적/화학적 기상 증착 분야에서는 이러한 기술적 요구에 대응하여 자기장을 이용하여 고밀도의 플라즈마를 균일하게 얻을 수 있는 플라즈마 소오스에 대한 기술 개발이 지속되고 있다.Due to various factors such as ultra miniaturization of semiconductor devices, increase in substrate size, and the emergence of new materials to be treated, further improvements in substrate processing technologies are required in the semiconductor manufacturing process. In particular, in the field of dry etching process and physical / chemical vapor deposition, semiconductor technology using plasma continues to develop technologies for plasma sources that can uniformly obtain high-density plasma using magnetic fields. .

일반적으로 플라즈마 반응관의 압력을 낮추면 이온의 평균자유거리가 늘어나 웨이퍼에 충돌하는 이온의 에너지가 증가하고 이온들의 간의 산란현상도 줄어들기 때문에 이방성 에칭에 유리한 것으로 알려져 있다. 그러나 압력이 낮아지면 전자들 역시 평균자유거리가 늘어나 중성원자들과의 충돌이 감소함으로 플라즈마 상태를 유지하기가 어려워진다. 그럼으로 낮은 압력에서도 플라즈마를 유지할 수 있도록 자기장을 이용하여 전자들의 이동 거리를 증가시켜 중성원자들과의 충돌 빈도를 높여 낮은 압력에서도 플라즈마가 유지될 수 있는 기술이 제안되고 있다.In general, lowering the pressure of the plasma reaction tube increases the average free distance of ions, which increases the energy of ions colliding with the wafer and reduces scattering between the ions, which is known to be advantageous for anisotropic etching. However, when the pressure is lowered, the electrons also increase the average free distance, which reduces the collision with neutral atoms, making it difficult to maintain the plasma state. Therefore, a technique has been proposed to maintain the plasma at low pressure by increasing the frequency of collision with the neutral atoms by increasing the moving distance of electrons by using the magnetic field to maintain the plasma at low pressure.

또한, 기판 사이즈의 증가에 따라 기판이 처리되는 플라즈마 반응 챔버의 사이즈도 증가되는데 이러한 경우 플라즈마 반응 챔버의 내부에 균일하게 플라즈마가 분포하기 어렵다. 그럼으로 자기장을 이용하여 플라즈마 반응 챔버의 내부에서 균일한 플라즈마 밀도가 유지될 수 있도록 하는 기술들이 제안되고 있다.In addition, as the substrate size increases, the size of the plasma reaction chamber in which the substrate is processed also increases, in which case it is difficult to uniformly distribute the plasma inside the plasma reaction chamber. Therefore, techniques for using a magnetic field to maintain a uniform plasma density inside the plasma reaction chamber have been proposed.

플라즈마 반응 챔버의 내부에 균일한 플라즈마가 형성되도록 하기 위하여 영구 자석을 이용한 기술들이 제안되고 있다. 예를 들어, 영구 자석을 반응관 상부에 장착하거나, 상부에서 회전 시키는 등의 기술들이 제안되어 있다. 또는 기판을 회전 시켜서 비교적 균일한 기판 처리가 될 수 있도록 하고도 있다.Techniques using permanent magnets have been proposed to form a uniform plasma inside the plasma reaction chamber. For example, techniques have been proposed, such as mounting a permanent magnet on top of a reaction tube, or rotating on top. Alternatively, the substrate may be rotated to allow a relatively uniform substrate treatment.

영구 자석을 이용한 경우에는 크기가 작고 장착이 간단하며 별도로 외부에서 전원을 공급하지 않아도 되기 때문에 비교적 간단히 균일도를 향상 시킬 수는 있다. 그러나 자기장의 균일도가 좋지 않으며 자기장의 세기도 제어가 불가능하다. 기판을 회전하거나 영구 자석을 회전하는 경우에는 회전 구조물을 구성하기 위한 부담이 있다.In the case of using permanent magnets, the uniformity can be improved relatively simply because they are small in size, simple to install, and do not require external power supply. However, the uniformity of the magnetic field is not good and the strength of the magnetic field is not controllable. When rotating a substrate or rotating a permanent magnet, there exists a burden for constructing a rotating structure.

따라서 본 발명은 플라즈마 반응 챔버 내부에 고밀도의 플라즈마를 보다 균일하게 발생 유지할 수 있도록 반응 챔버의 내부에 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스를 제공하는데 그 목적이 있다.Accordingly, an object of the present invention is to provide a self-reinforced plasma source having a ferrite core assembly for forming an annular plasma in the reaction chamber to more uniformly generate and maintain a high density plasma in the plasma reaction chamber.

상기한 기술적 과제를 달성하기 위한 본 발명의 일면은 자기 강화된 플라즈마 소오스에 관한 것이다. 본 발명의 자기 강화된 플라즈마 소오스는: 기판이 놓이는 서셉터가 내부에 구비된 중공형의 플라즈마 반응 챔버; 플라즈마 반응 챔버의 외측 천정에 배치되며, 플라즈마 반응 챔버의 내부에 환형 플라즈마를 형성하도록 구조화된 페라이트 코어 조립체를 포함하고, 상기 페라이트 코어 조립체는: 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 둘 이상의 환형 페라이트 코어; 및 이웃하는 두 개의 환형 페라이트 코어에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일이 권선된 다수 개의 막대형 페라이트 코어; 유도 코일로 플라즈마 유도를 위한 제1 주파수의 교류 전원을 제공하는 제1 전원 공급원을 포함하여, 다수 개의 막대형 페라이트 코어에 집속되는 자기장은 이웃한 두 개의 환형 페라이트 코어를 통해서 플라즈마 반응 챔버의 내측으로 방사형으로 유도되고, 방사형으로 유도된 자기장 및 이에 의해 이차로 유도되는 전기장에 의해 플라즈마 반응 챔버 내부에 환형 플라즈마가 형성된다.One aspect of the present invention for achieving the above technical problem relates to a self-enhanced plasma source. The self-enhanced plasma source of the present invention comprises: a hollow plasma reaction chamber having a susceptor on which a substrate is placed; A ferrite core assembly disposed on an outer ceiling of the plasma reaction chamber, the ferrite core assembly configured to form an annular plasma inside the plasma reaction chamber, the ferrite core assembly comprising: two or more annular sizes of different sizes that are planarly arranged to have a concentric structure; Ferrite cores; And a plurality of rod-like ferrite cores having both ends connected to two neighboring annular ferrite cores and disposed radially as a whole, and each of which an induction coil is wound. A magnetic field focused on a plurality of rod-like ferrite cores, including a first power source providing an alternating current power source of a first frequency for plasma induction with an induction coil, is introduced into the plasma reaction chamber through two adjacent annular ferrite cores. An annular plasma is formed inside the plasma reaction chamber by a radially induced, radially induced magnetic field and thereby a secondary induced electric field.

바람직하게, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 하나 이상의 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치된다.Preferably, at least one gas inlet for injecting process gas into the plasma reaction chamber, the gas inlet is arranged in a region between adjacent annular ferrite cores.

바람직하게, 상기 제1 주파수는 10khz ~ 100MHz를 갖는다.Preferably, the first frequency has a 10khz ~ 100MHz.

바람직하게, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치된 다수 개의 제1 가스 입구와 쳄버 천정 중심부에 배치된 제2 가스 입구를 포함한다.Preferably, the gas inlet for injecting the process gas into the plasma reaction chamber, the gas inlet is a plurality of first gas inlet disposed in the region between the adjacent annular ferrite core and the second gas inlet disposed in the chamber ceiling center Include.

바람직하게, 상기 제1 및 제2 가스 입구는 서로 다른 종류의 공정 가스가 분리되어 입력된다.Preferably, the first and second gas inlets are inputted separately from different types of process gases.

바람직하게, 상기 플라즈마 반응 챔버의 천정 구조는 이웃한 두 환형 페라이트 코어 사이가 높게 단차를 갖도록 구조화된다.Preferably, the ceiling structure of the plasma reaction chamber is structured such that there is a high step between two adjacent annular ferrite cores.

바람직하게, 상기 가스 입구의 하부로 가스 분배판이 설치된다.Preferably, a gas distribution plate is installed below the gas inlet.

바람직하게, 상기 다수 개의 유도 코일은 직렬, 병렬, 또는 직렬 및 병렬 혼합 방식 중 어느 하나의 방식으로 제1 전원 공급원에 연결된다.Preferably, the plurality of induction coils are connected to the first power source in any one of a series, parallel, or a series and a parallel mixing scheme.

바람직하게, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원을 포함 한다.Advantageously, a second power supply provides a second frequency to said susceptor.

바람직하게, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원과 제2 주파수와 서로 다른 제3 주파수를 제공하는 제3 전원 공급원을 포함한다.Preferably, it includes a second power source for providing a second frequency to the susceptor and a third power source for providing a third frequency different from the second frequency.

바람직하게, 상기 제2 주파수는 제2 주파수는 50khz ~ 2MHz, 전력은 500W ~ 5kW를 갖고, 상기 제3 주파수는 10khz ~ 60MHz, 전력은 500W ~ 5kW를 갖는다.Preferably, the second frequency is 50khz ~ 2MHz, the power is 500W ~ 5kW, the third frequency is 10khz ~ 60MHz, the power is 500W ~ 5kW.

바람직하게, 상기 플라즈마 반응 챔버의 천정은 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함한다.Preferably, the ceiling of the plasma reaction chamber includes one or more insulator regions that form electrical discontinuities.

바람직하게, 상기 플라즈마 반응 챔버의 천정은 전도성 금속과 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함한다.Preferably, the ceiling of the plasma reaction chamber includes one or more insulator regions that form an electrical discontinuity with the conductive metal.

본 발명과 본 발명의 동작상의 이점 및 본 발명의 실시예에 의하여 달성되는 목적을 충분히 이해하기 위해서는 본 발명의 바람직한 실시예를 예시하는 첨부 도면 및 첨부 도면에 기재된 내용을 참조하여야 한다. 각 도면을 이해함에 있어서, 동일한 부재는 가능한 한 동일한 참조부호로 도시하고자 함에 유의하여야 한다. 그리고 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 공지 기능 및 구성에 대한 상세한 기술은 생략된다.DETAILED DESCRIPTION In order to fully understand the present invention, the operational advantages of the present invention, and the objects achieved by the embodiments of the present invention, reference should be made to the accompanying drawings which illustrate preferred embodiments of the present invention and the contents described in the accompanying drawings. In understanding the drawings, it should be noted that like parts are intended to be represented by the same reference numerals as much as possible. And detailed description of known functions and configurations that are determined to unnecessarily obscure the subject matter of the present invention is omitted.

(실시예)(Example)

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명함으로써, 본 발명의 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스를 상세히 설명한다.DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a self-enhanced plasma source having a ferrite core assembly for forming an annular plasma of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 바람직한 실시예에 따른 플라즈마 반응 챔버의 외관을 보 여주는 사시도이고, 도 2는 도 1의 플라즈마 반응 챔버의 단면도이다. 도 3은 플라즈마 반응 챔버의 내부에서 천정을 바라본 것으로 환형 플라즈마를 예시하는 도면이다.1 is a perspective view showing the appearance of a plasma reaction chamber according to a preferred embodiment of the present invention, Figure 2 is a cross-sectional view of the plasma reaction chamber of FIG. 3 is a view illustrating the annular plasma as viewed from the ceiling inside the plasma reaction chamber.

도 1 및 도 2를 참조하여, 플라즈마 반응 챔버(10)는 그 내부에 기판(8)이 놓이는 서셉터(17)가 구비되며, 진공 펌프(34)가 챔버(10)의 배기구(18)에 연결된다. 플라즈마 반응 챔버(10)의 외측 천정(12)에는 페라이트 코어 조립체(20)가 설치된다. 페라이트 코어 조립체(20)는 후술되는 바와 같이 플라즈마 반응 챔버(10)의 내부에 환형 플라즈마를 형성하도록 구조화되어 있다.1 and 2, the plasma reaction chamber 10 is provided with a susceptor 17 on which a substrate 8 is placed, and a vacuum pump 34 is provided at the exhaust port 18 of the chamber 10. Connected. The outer ceiling 12 of the plasma reaction chamber 10 is provided with a ferrite core assembly 20. The ferrite core assembly 20 is structured to form an annular plasma inside the plasma reaction chamber 10 as described below.

구체적으로, 페라이트 코어 조립체(20)는 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 둘 이상의 환형 페라이트 코어(21, 22)를 구비한다. 그리고 이웃하는 두 개의 환형 페라이트 코어(21, 22)에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일(24)이 권선된 다수 개의 막대형 페라이트 코어(23)를 구비한다. 이 실시예에서는 두 개의 환형 페라이트 코어(21, 22)와 8개의 막대형 페라이트 코어(23)가 조립된 예를 설명한다. 그러나 후술되는 바와 같이 두 개 이상의 환형 페라이트 코어와 그리고 더 많은 수의 막대형 페라이트 코어를 사용하여 페라이트 코어 조립체(20)를 구성할 수 있음을 이 분야의 통상의 지식을 갖는 기술자들은 잘 알 수 있을 것이다.Specifically, the ferrite core assembly 20 has two or more annular ferrite cores 21 and 22 of different sizes arranged in a plane to have a concentric structure. In addition, both ends are connected to two adjacent annular ferrite cores 21 and 22 and are disposed radially as a whole, and each includes a plurality of rod-like ferrite cores 23 in which an induction coil 24 is wound. In this embodiment, an example in which two annular ferrite cores 21 and 22 and eight rod ferrite cores 23 are assembled is described. However, those skilled in the art will appreciate that the ferrite core assembly 20 can be constructed using two or more annular ferrite cores and a larger number of rod ferrite cores, as described below. will be.

다수 개의 막대형 페라이트 코어(23)에 각기 권선되는 유도 코일(23)은 제1 전원 공급원(30)에 연결되어 플라즈마 유도를 위한 교류 전원을 제공받는다. 다수 개의 유도 코일(23)은 직렬로 연결되어 있으나, 병렬 또는 직렬 및 병렬 혼합 방식 중 어느 하나의 방식으로 제1 전원 공급원(30)에 연결된다. 제1 전원 공급원(30)으로부터 제공되는 제1 주파수는 대략 10khz ~ 100MHz의 범위에서 선택될 수 있다. 그러나 이는 한정적인 사항은 아니며, 다른 범위의 주파수가 선택 될 수도 있을 것이다. 그리고 일반적인 기술적 사항으로 제1 전원 공급원(30)과 다수개의 유도 코일(23) 사이에는 임피던스 정합을 위한 정합기(미도시)가 연결된다.Induction coils 23 respectively wound around the plurality of rod-shaped ferrite cores 23 are connected to the first power source 30 to receive AC power for plasma induction. Although the plurality of induction coils 23 are connected in series, they are connected to the first power source 30 in any one of parallel or in series and parallel mixing. The first frequency provided from the first power source 30 may be selected in the range of approximately 10 khz to 100 MHz. However, this is not limitative and other ranges of frequencies may be chosen. As a general technical matter, a matcher (not shown) for impedance matching is connected between the first power supply 30 and the plurality of induction coils 23.

이와 같이 구성된 페라이트 코어 조립체(20)가 플라즈마 반응 챔버(10)의 외측 천정(12)에 설치됨으로서, 다수 개의 막대형 페라이트 코어(23)에 집속되는 자기장(15)은 이웃한 두 개의 환형 페라이트 코어(21, 22)를 통해서 플라즈마 반응 챔버(10)의 내측으로 방사형(15)으로 유도된다. 도면에서 참조 번호 6a 및 6b는 자기장(15)에 의해 유도되는 이차 전기장의 방향을 예시한 것이다.Since the ferrite core assembly 20 configured as described above is installed in the outer ceiling 12 of the plasma reaction chamber 10, the magnetic field 15 focused on the plurality of rod-like ferrite cores 23 is adjacent to two annular ferrite cores. Radial 15 is guided into the plasma reaction chamber 10 through the 21 and 22. Reference numerals 6a and 6b in the drawings illustrate the direction of the secondary electric field induced by the magnetic field 15.

방사형으로 유도된 자기장(15)에 의해 그리고 이에 의해 유도되는 이차 전기장(6a, 6b)에 의해 도 3에 도시된 바와 같이, 플라즈마 반응 챔버(10) 내부에는 환형 플라즈마(2)가 형성되어 고밀도의 플라즈마가 보다 균일하게 발생하고 유지된다. 그럼으로 목적으로 하는 기판 가공을 보다 정밀하고 균일하게 미세 가공할 수 있다. 이러한 본 발명의 플라즈마 소오스는 예를 들어, 기상 증착 공정이나 에칭 공정등과 같은 반도체 기판 가공을 위한 다양한 플라즈마 처리 공정에 사용될 수 있다. As shown in FIG. 3 by the radially induced magnetic field 15 and by the secondary electric fields 6a and 6b induced by it, an annular plasma 2 is formed inside the plasma reaction chamber 10 so that The plasma is generated and maintained more uniformly. Therefore, the target substrate processing can be finely processed more precisely and uniformly. Such a plasma source of the present invention can be used in various plasma processing processes for processing semiconductor substrates such as, for example, a vapor deposition process or an etching process.

한편, 플라즈마 반응 챔버(10)로 공정 가스를 주입하기 위해 하나 이상의 가스 입구(16)가 공정 챔버(10)의 천정에 설치된다. 바람직하게, 가스 입구(16)는 두 개의 환형 페라이트 코어(21, 22)의 사이 영역(14)으로 배치된다. 그럼으로 플 라즈마 반응 챔버(10)의 내부로 분사되는 가스는 자기장(15)이 강하게 집속되는 부분으로 분사되기 때문에 플라즈마 발생이 더욱 활발히 이루어진다.Meanwhile, one or more gas inlets 16 are installed on the ceiling of the process chamber 10 to inject process gas into the plasma reaction chamber 10. Preferably, the gas inlet 16 is arranged in the region 14 between the two annular ferrite cores 21, 22. Therefore, since the gas injected into the plasma reaction chamber 10 is injected into a portion where the magnetic field 15 is strongly concentrated, plasma generation is more actively performed.

도 4는 가스 입구를 증설한 예를 보여주는 플라즈마 반응 챔버의 부분 단면도이다.4 is a partial cross-sectional view of a plasma reaction chamber showing an example in which a gas inlet is expanded.

도 4를 참조하여, 플라즈마 반응 챔버(10)로 공정 가스를 주입하기 위해 제1 가스 입구(16)와 제2 가스 입구(19)가 구비될 수 있다. 제1 가스 입구(16)는 서로 이웃하는 환형 페라이트 코어(21, 22)의 사이 영역(14)으로 배치되며, 제2 가스 입구(19)는 쳄버 천정(12)의 중심부에 배치된다. 제1 및 제2 가스 입구(16, 19)는 각기 동일한 공정 가스가 입력될 수 있으나, 또는 서로 다른 종류의 공정 가스가 입력될 수 있다. 예를 들어, 제1 가스 입구(16)로는 캐리어 가스가 입력 될 수 있으며, 제2 가스 입구(19)로는 공정 가스가 입력될 수 있다.Referring to FIG. 4, a first gas inlet 16 and a second gas inlet 19 may be provided to inject process gas into the plasma reaction chamber 10. The first gas inlet 16 is arranged in the region 14 between the annular ferrite cores 21, 22 adjacent to each other, and the second gas inlet 19 is arranged in the center of the chamber ceiling 12. The same process gas may be input to the first and second gas inlets 16 and 19, respectively, or different kinds of process gases may be input. For example, a carrier gas may be input to the first gas inlet 16, and a process gas may be input to the second gas inlet 19.

다시, 도 2를 참조하여, 플라즈마 반응 챔버(10)의 내부에 설치된 서셉터(17)는 제2 주파수의 바이어스 전원을 제공하는 제2 전원 공급원(32)과 전기적으로 연결된다. 제2 전원 공급원(32)으로부터 제공되는 제2 주파수는 50khz ~ 2MHz, 전력은 500W ~ 5kW의 범위에서 선택될 수 있다. 이와 같이 서셉터(17)는 단일 바이어스 방식으로 구성될 수 있으나, 도 5에 예시된 바와 같이, 이중 바이어스 방식도 가능하다. 이중 바이어스 방식에서, 제3 주파수의 바이어스 전원을 제공하는 제3 전원 공급원(36)이 서셉터(17)에 전기적으로 연결된다. 여기서, 제3 주파수는 10khz ~ 60MHz, 전력은 500W ~ 5kW의 범위에서 선택될 수 있다.Again, referring to FIG. 2, the susceptor 17 installed inside the plasma reaction chamber 10 is electrically connected to a second power supply 32 that provides a bias power at a second frequency. The second frequency provided from the second power source 32 may be selected in the range of 50 khz to 2 MHz, and the power to 500 W to 5 kW. As such, the susceptor 17 may be configured in a single bias manner, but as illustrated in FIG. 5, a double bias scheme is also possible. In a double bias scheme, a third power source 36 providing a bias power source of a third frequency is electrically connected to the susceptor 17. Here, the third frequency may be selected from the range of 10khz ~ 60MHz, the power 500W ~ 5kW.

플라즈마 반응 챔버(10)의 천정(12)은 전도성 금속과 전기적 불연속성을 형 성하는 하나 이상의 유전체 영역을 포함하도록 할 수도 있다. 전도성 금속의 영역은 접지로 연결된다. 절연체 영역은 페라이트 코어가 접하는 면으로 설치되거나, 또는 천정을 전체적으로 절연체로 구성하는 것도 가능하다.The ceiling 12 of the plasma reaction chamber 10 may include one or more dielectric regions that form an electrical discontinuity with the conductive metal. The area of conductive metal is connected to ground. The insulator region may be provided on a surface where the ferrite core is in contact, or the ceiling may be entirely composed of an insulator.

도 6은 페라이트 코어 조립체를 매입 설치한 플라즈마 반응 챔버의 사시도이고, 도 7은 도 6의 플라즈마 반응 챔버의 단면도이다.6 is a perspective view of a plasma reaction chamber in which a ferrite core assembly is embedded, and FIG. 7 is a cross-sectional view of the plasma reaction chamber of FIG. 6.

도 6 및 도 7에 도시된 바와 같이, 플라즈마 반응 챔버(10)의 천정(12)은 이웃한 두 환형 페라이트 코어(21, 22) 사이가 높게 단차를 갖도록 구조화된다. 즉, 환형 페라이트 코어(21, 22)가 천정(12)에 매입되도록 환형의 매입 홈(40, 42)이 형성되고, 매입 홈(40, 42)의 사이 영역(14)은 천정(12)과 동일한 평면 높이를 갖도록 형성된다.As shown in FIGS. 6 and 7, the ceiling 12 of the plasma reaction chamber 10 is structured to have a high step between two adjacent annular ferrite cores 21, 22. That is, the annular embedding grooves 40 and 42 are formed such that the annular ferrite cores 21 and 22 are embedded in the ceiling 12, and the region 14 between the embedding grooves 40 and 42 is formed from the ceiling 12. It is formed to have the same plane height.

여기서, 가스 입구(16)는 매입 홈(40, 42)의 사이 영역(14)에 다수 개가 설치된다. 또는, 도 8에 도시된 바와 같이, 플라즈마 반응 챔버(10)로 공정 가스를 주입하기 위해 제1 가스 입구(16)와 제2 가스 입구(19)가 구비될 수 있다. 제1 가스 입구(16)는 매입 홈(40, 42) 사이 영역(14)으로 배치되며, 제2 가스 입구(19)는 쳄버 천정(12)의 중심부에 배치된다. 제1 및 제2 가스 입구(16, 19)는 각기 동일한 공정 가스가 입력될 수 있으나, 또는 서로 다른 종류의 공정 가스가 입력될 수 있다. 예를 들어, 제1 가스 입구(16)로는 캐리어 가스가 입력 될 수 있으며, 제2 가스 입구(19)로는 공정 가스가 입력될 수 있다. 그리고 가스의 보다 균일한 흐름을 얻기 위하여, 도 9에 도시된 바와 같이, 가스 입구(16, 19)의 하부로 가스 분배판(44, 46)이 설치될 수 있다.Here, a plurality of gas inlets 16 are provided in the region 14 between the buried grooves 40, 42. Alternatively, as shown in FIG. 8, the first gas inlet 16 and the second gas inlet 19 may be provided to inject the process gas into the plasma reaction chamber 10. The first gas inlet 16 is arranged in the region 14 between the buried grooves 40, 42, and the second gas inlet 19 is arranged in the center of the chamber ceiling 12. The same process gas may be input to the first and second gas inlets 16 and 19, respectively, or different kinds of process gases may be input. For example, a carrier gas may be input to the first gas inlet 16, and a process gas may be input to the second gas inlet 19. And, in order to obtain a more uniform flow of gas, as shown in FIG. 9, gas distribution plates 44 and 46 may be installed below the gas inlets 16 and 19.

이상과 같은 본 발명의 페라이트 코어 조립체(20)는 플라즈마 반응 챔버(10)의 내부에 환형 플라즈마를 형성하도록 한다. 이러한 기능의 페라이트 코어 조립체(20)는 기본적인 동심원 구조에서 환형 페라이트 코어의 수를 증가하여 그 크기를 더 확장할 수 있다.The ferrite core assembly 20 of the present invention as described above to form an annular plasma in the plasma reaction chamber 10. This function of ferrite core assembly 20 can further expand its size by increasing the number of annular ferrite cores in the basic concentric circles.

도 10은 세 개의 환형 페라이트 코어가 동심원 구조로 배열된 페라이트 코어 조립체가 설치된 플라즈마 반응 챔버의 평면도이다.FIG. 10 is a plan view of a plasma reaction chamber in which a ferrite core assembly in which three annular ferrite cores are arranged concentrically is installed.

도 10을 참조하여, 플라즈마 반응 챔버(50)의 천정(52)에는 페라이트 코어 조립체(60)가 설치된다. 페라이트 코어 조립체(60)는 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 세 개의 환형 페라이트 코어(61, 62, 63)를 구비한다. 그리고 이웃하는 두 개의 환형 페라이트 코어(61, 62)(62, 63)에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일(66, 67)이 권선된 다수 개의 막대형 페라이트 코어(64, 65)를 구비한다. 여기서 외측에 배치되는 막대형 페라이트 코어(64)와 내측으로 배치되는 막대형 페라이트 코어(65)는 서로 엇갈리도록 배치되는 것이 바람직하다. 가스 입구(56)는 이웃한 두 개의 환형 페라이트 코어(61, 62)(62, 63)의 사이에 다수 개가 구성되며, 천정(52)의 중심부에도 추가적으로 구성할 수 있다.Referring to FIG. 10, a ferrite core assembly 60 is installed on the ceiling 52 of the plasma reaction chamber 50. The ferrite core assembly 60 has three annular ferrite cores 61, 62, 63 of different sizes arranged in a plane to have a concentric structure. In addition, both ends are connected to two neighboring annular ferrite cores 61, 62, 62, 63, and are disposed radially as a whole, and a plurality of rod-shaped ferrite cores 64, 65, each of which the induction coils 66, 67 are wound. ). Here, the rod-like ferrite core 64 disposed on the outside and the rod-like ferrite core 65 disposed on the inside are preferably arranged to be staggered with each other. A plurality of gas inlets 56 may be formed between two adjacent annular ferrite cores 61, 62, 62, 63, and may be additionally configured in the center of the ceiling 52.

도 11 및 도 12는 도 10의 플라즈마 반응 챔버의 부분 단면도로서, 도 11에서는 자기장의 유도 방향을 동일하게 도 12에서는 자기장의 유도 방향을 서로 다르게 하는 경우를 각각 보여주는 도면이다.11 and 12 are partial cross-sectional views of the plasma reaction chamber of FIG. 10. FIG. 11 is a diagram illustrating a case in which the magnetic field induction directions are the same in FIG.

도 11 및 도 12를 참조하여, 외측 막대형 페라이트 코어(64)에 권선되는 유 도 코일(66)과 내측 막대형 페라이트 코어(65)에 권선되는 유도 코일(67)의 권선 방향 또는 전류 방향을 제어하여 외측 자기장(55a)의 방향과 내측 자기장의 방향(55b)의 방향을 제어함으로서 그에 따른 유도 전기장의 방향(6a, 6b)(6a', 6b')을 서로 동일하게 또는 서로 다르게 제어함으로서 플라즈마 밀도, 균일도 등을 제어할 수 있다.11 and 12, the winding direction or the current direction of the induction coil 66 wound around the outer bar ferrite core 64 and the induction coil 67 wound around the inner bar ferrite core 65 is illustrated. By controlling the direction of the outer magnetic field 55a and the direction of the inner magnetic field 55b, thereby controlling the directions 6a, 6b, 6a ', 6b' of the induced electric field accordingly or differently. Density, uniformity and the like can be controlled.

상술한 바와 같이, 본 발명은 도면에 도시된 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 발명이 속한 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 잘 알 수 있을 것이다. 그럼으로 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.As described above, the present invention has been described with reference to the embodiments shown in the drawings, but this is merely exemplary, and those skilled in the art to which the present invention pertains have various modifications and equivalent embodiments. You can see that it is possible. Therefore, the true technical protection scope of the present invention will be defined by the technical spirit of the appended claims.

상술한 바와 같은 본 발명의 환형 플라즈마를 형성하기 위한 페라이트 코어 조립체를 구비한 자기 강화된 플라즈마 소오스에 의하면, 플라즈마 반응 챔버 내부에 환형 플라즈마를 형성함으로서 고밀도의 플라즈마를 보다 균일하게 발생 유지할 수 있다. 또한, 환형 플라즈마를 얻기 위한 페라이트 코어 조립체의 구성이 간단하여 설치가 용이함으로서 플라즈마 처리 설비의 구성과 유지 보수 효율을 높일 수 있다.According to the self-reinforced plasma source having a ferrite core assembly for forming the annular plasma of the present invention as described above, by forming the annular plasma inside the plasma reaction chamber, it is possible to generate and maintain a high density plasma more uniformly. In addition, since the configuration of the ferrite core assembly for obtaining the annular plasma is simple and easy to install, the configuration and maintenance efficiency of the plasma processing equipment can be improved.

Claims (13)

기판이 놓이는 서셉터가 내부에 구비된 중공형의 플라즈마 반응 챔버;A hollow plasma reaction chamber having a susceptor on which the substrate is placed; 플라즈마 반응 챔버의 외측 천정에 배치되며, 플라즈마 반응 챔버의 내부에 환형 플라즈마를 형성하도록 구조화된 페라이트 코어 조립체를 포함하고,A ferrite core assembly disposed on the outer ceiling of the plasma reaction chamber and structured to form an annular plasma inside the plasma reaction chamber, 상기 페라이트 코어 조립체는:The ferrite core assembly is: 동심원 구조를 갖도록 평면 배치되는 서로 다른 크기의 둘 이상의 환형 페라이트 코어; 및Two or more annular ferrite cores of different sizes arranged in a plane to have a concentric structure; And 이웃하는 두 개의 환형 페라이트 코어에 양단이 연결되어 전체적으로는 방사형으로 배치되며 각기 유도 코일이 권선된 다수 개의 막대형 페라이트 코어;A plurality of rod-shaped ferrite cores having both ends connected to two adjacent annular ferrite cores disposed radially as a whole and each of which an induction coil is wound; 유도 코일로 플라즈마 유도를 위한 제1 주파수의 교류 전원을 제공하는 제1 전원 공급원을 포함하여,A first power source providing an AC power source of a first frequency for plasma induction to the induction coil, 다수 개의 막대형 페라이트 코어에 집속되는 자기장은 이웃한 두 개의 환형 페라이트 코어를 통해서 플라즈마 반응 챔버의 내측으로 방사형으로 유도되고, 방사형으로 유도된 자기장 및 이에 의해 이차로 유도되는 전기장에 의해 플라즈마 반응 챔버 내부에 환형 플라즈마가 형성되는 자기 강화된 플라즈마 소오스.The magnetic field focused on a plurality of rod-like ferrite cores is radially induced into the plasma reaction chamber through two adjacent annular ferrite cores, and inside the plasma reaction chamber by the radially induced magnetic field and the secondary induced electric field. A self-enhanced plasma source in which an annular plasma is formed. 제1 항에 있어서, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 하나 이상의 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치되는 자기 강화된 플라즈마 소오스.2. The self-enhanced plasma source of claim 1 wherein one or more gas inlets for injecting process gas into the plasma reaction chamber, wherein the gas inlets are disposed between regions of adjacent annular ferrite cores. 제1 항에 있어서, 상기 제1 주파수는 10khz ~ 100MHz를 갖는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 1 wherein the first frequency has a 10 kHz to 100 MHz. 제1 항에 있어서, 상기 플라즈마 반응 챔버로 공정 가스를 주입하기 위한 가스 입구, 가스 입구는 서로 이웃하는 환형 페라이트 코어의 사이 영역으로 배치된 다수 개의 제1 가스 입구와 쳄버 천정 중심부에 배치된 제2 가스 입구를 포함하는 자기 강화된 플라즈마 소오스.The gas inlet for injecting the process gas into the plasma reaction chamber, the gas inlet is a plurality of first gas inlet disposed in the region between the adjacent annular ferrite core and the second in the chamber ceiling Self-enhanced plasma source comprising a gas inlet. 제4 항에 있어서, 상기 제1 및 제2 가스 입구는 서로 다른 종류의 공정 가스가 분리되어 입력되는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 4, wherein the first and second gas inlets are separately inputted with different kinds of process gases. 제2항 내지 제5 항 중 어느 한 항에 있어서, 상기 플라즈마 반응 챔버의 천정 구조는 이웃한 두 환형 페라이트 코어 사이가 높게 단차를 갖도록 구조화된 자기 강화된 플라즈마 소오스.6. The self-enhanced plasma source according to any one of claims 2 to 5, wherein the ceiling structure of the plasma reaction chamber is structured such that there is a high step between two adjacent annular ferrite cores. 제6 항에 있어서, 상기 가스 입구의 하부로 가스 분배판이 설치되는 자기 강화된 플라즈마 소오스.7. The self-enhanced plasma source of claim 6 wherein a gas distribution plate is installed below the gas inlet. 제1 항에 있어서, 상기 다수 개의 유도 코일은 직렬, 병렬, 또는 직렬 및 병 렬 혼합 방식 중 어느 하나의 방식으로 제1 전원 공급원에 연결되는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 1 wherein the plurality of induction coils are connected to the first power source in any one of series, parallel, or series and parallel mixing. 제1 항에 있어서, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원을 포함하는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 1 comprising a second power source providing a second frequency to the susceptor. 제1 항에 있어서, 상기 서셉터로 제2 주파수를 제공하는 제2 전원 공급원과 제2 주파수와 서로 다른 제3 주파수를 제공하는 제3 전원 공급원을 포함하는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 1 comprising a second power source providing a second frequency to the susceptor and a third power source providing a third frequency different from the second frequency. 제9 항 또는 제10 항 중 어느 한 항에 있어서, 상기 제2 주파수는 제2 주파수는 50khz ~ 2MHz, 전력은 500W ~ 5kW를 갖고, 상기 제3 주파수는 10khz ~ 60MHz, 전력은 500W ~ 5kW를 갖는 자기 강화된 플라즈마 소오스.11. The method of claim 9 or 10, wherein the second frequency has a second frequency of 50khz ~ 2MHz, power of 500W ~ 5kW, the third frequency of 10khz ~ 60MHz, power of 500W ~ 5kW Having a self-enhanced plasma source. 제1 항에 있어서, 상기 플라즈마 반응 챔버의 천정은 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함하는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 1 wherein the ceiling of the plasma reaction chamber includes one or more insulator regions that form electrical discontinuities. 제1 항에 있어서, 상기 플라즈마 반응 챔버의 천정은 전도성 금속과 전기적 불연속성을 형성하는 하나 이상의 절연체 영역을 포함하는 자기 강화된 플라즈마 소오스.The self-enhanced plasma source of claim 1 wherein the ceiling of the plasma reaction chamber comprises one or more insulator regions forming electrical discontinuity with the conductive metal.
KR1020060012234A 2006-02-08 2006-02-08 Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma KR100678696B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060012234A KR100678696B1 (en) 2006-02-08 2006-02-08 Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060012234A KR100678696B1 (en) 2006-02-08 2006-02-08 Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma

Publications (1)

Publication Number Publication Date
KR100678696B1 true KR100678696B1 (en) 2007-02-06

Family

ID=38105376

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060012234A KR100678696B1 (en) 2006-02-08 2006-02-08 Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma

Country Status (1)

Country Link
KR (1) KR100678696B1 (en)

Cited By (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140096908A1 (en) * 2012-10-10 2014-04-10 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20160163512A1 (en) * 2014-12-09 2016-06-09 Applied Materials, Inc. Direct outlet toroidal plasma source
CN107004561A (en) * 2014-12-09 2017-08-01 应用材料公司 Plasma process system with directly exported toroidal plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09263949A (en) * 1996-03-25 1997-10-07 Anelva Corp Plasma treating device
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
KR20040110173A (en) * 2003-06-18 2004-12-31 삼성전자주식회사 Icp antenna and plasma generating apparatus using the same
KR20050096393A (en) * 2004-03-30 2005-10-06 어댑티브프라즈마테크놀로지 주식회사 Plasma source coil and plasma chamber using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09263949A (en) * 1996-03-25 1997-10-07 Anelva Corp Plasma treating device
US6409933B1 (en) 2000-07-06 2002-06-25 Applied Materials, Inc. Plasma reactor having a symmetric parallel conductor coil antenna
KR20040110173A (en) * 2003-06-18 2004-12-31 삼성전자주식회사 Icp antenna and plasma generating apparatus using the same
KR20050096393A (en) * 2004-03-30 2005-10-06 어댑티브프라즈마테크놀로지 주식회사 Plasma source coil and plasma chamber using the same

Cited By (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10283325B2 (en) * 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20140096908A1 (en) * 2012-10-10 2014-04-10 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN107004561B (en) * 2014-12-09 2020-07-31 应用材料公司 Plasma processing system with direct exit torroidal plasma source
CN107004562A (en) * 2014-12-09 2017-08-01 应用材料公司 Directly exported toroidal plasma source
CN107004561A (en) * 2014-12-09 2017-08-01 应用材料公司 Plasma process system with directly exported toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160163512A1 (en) * 2014-12-09 2016-06-09 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Similar Documents

Publication Publication Date Title
KR100678696B1 (en) Magnetically enhanced plasma source having ferrite core assembly for forming toroidal plasma
KR100486712B1 (en) Inductively coupled plasma generating apparatus with double layer coil antenna
KR100515562B1 (en) Ring-shaped high-density plasma source and method
US8771538B2 (en) Plasma source design
US8742665B2 (en) Plasma source design
US9287096B2 (en) Methods and apparatus for a hybrid capacitively-coupled and an inductively-coupled plasma processing system
KR100797206B1 (en) Uniform gas distribution in large area plasma source
JP2005019968A (en) High-density plasma processor
KR101496841B1 (en) Compound plasma reactor
KR101092511B1 (en) Processing device and generating device for plasma
JP2012018921A (en) Plasma generating apparatus
KR20100084108A (en) Processing device and generating device for plasma
KR100742659B1 (en) Inductively coupled plasma generating apparatus with magnetic core
KR20090037343A (en) Magnetized inductively coupled plasma processing apparatus and generating method
KR100731994B1 (en) Plasma process chamber having buried external ferrite core
KR101109063B1 (en) Plasma processing apparatus
KR101384583B1 (en) Inductively coupled plasma reactor having multi rf antenna
KR20110006070U (en) Magnetized inductively coupled plasma processing apparatus
KR20130007282A (en) Substrate treating device using plasma and manufacturing method of organic light emitting diode display using the substrate treating device
KR101039232B1 (en) High-density plasma generation apparatus
WO2009048294A2 (en) Magnetized inductively coupled plasma processing apparatus and generating method
KR20090021913A (en) Susceptor having inductively coupled plasma source and plasma process chamber
KR20070121395A (en) Inductively coupled plasma antenna
KR101446554B1 (en) Palsma chamber having multi discharge tube assembly
KR101281191B1 (en) Inductively coupled plasma reactor capable

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130129

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140121

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150121

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee