KR100663668B1 - Plasma processing apparatus for a parallel bach processing of a plurality of substrates - Google Patents

Plasma processing apparatus for a parallel bach processing of a plurality of substrates Download PDF

Info

Publication number
KR100663668B1
KR100663668B1 KR1020050119023A KR20050119023A KR100663668B1 KR 100663668 B1 KR100663668 B1 KR 100663668B1 KR 1020050119023 A KR1020050119023 A KR 1020050119023A KR 20050119023 A KR20050119023 A KR 20050119023A KR 100663668 B1 KR100663668 B1 KR 100663668B1
Authority
KR
South Korea
Prior art keywords
batch processing
substrate
processing chamber
plasma
discharge tube
Prior art date
Application number
KR1020050119023A
Other languages
Korean (ko)
Inventor
최대규
Original Assignee
주식회사 뉴파워 프라즈마
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 뉴파워 프라즈마 filed Critical 주식회사 뉴파워 프라즈마
Priority to KR1020050119023A priority Critical patent/KR100663668B1/en
Application granted granted Critical
Publication of KR100663668B1 publication Critical patent/KR100663668B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A plasma process apparatus for processing plural substrates in parallel is provided to achieve higher substrate processing throughput than that of a single wafer plasma process apparatus. A plasma process apparatus includes a first substrate boat(60) receiving plural substrates, a second substrate boat(61) receiving other plural substrates, a batch process chamber(30) accommodating the substrate boats, and at least one plasma reactor(20) provided on an upper portion of the batch process chamber. The plasma reactor has an external discharge tube(29), plural plasma discharge passages, and a ferrite core(23) and an induction coil(24) for guiding the plasma discharge passages. The external discharge tube has plural branches connected to openings formed on an upper surface of the batch process chamber, and a body commonly connected to the branches.

Description

복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리 장치{PLASMA PROCESSING APPARATUS FOR A PARALLEL BACH PROCESSING OF A PLURALITY OF SUBSTRATES}Plasma processing apparatus for parallel processing of a plurality of substrates {PLASMA PROCESSING APPARATUS FOR A PARALLEL BACH PROCESSING OF A PLURALITY OF SUBSTRATES}

본 발명의 상세한 설명에서 사용되는 도면을 보다 충분히 이해하기 위하여, 각 도면의 간단한 설명이 제공된다.In order to more fully understand the drawings used in the detailed description of the invention, a brief description of each drawing is provided.

도 1은 본 발명의 제1 실시예에 따른 플라즈마 처리 장치의 사시도이다.1 is a perspective view of a plasma processing apparatus according to a first embodiment of the present invention.

도 2는 도 1의 플라즈마 처리 장치의 수직 단면도이다. 2 is a vertical cross-sectional view of the plasma processing apparatus of FIG. 1.

도 3은 본 발명의 제2 실시예에 따른 플라즈마 처리 장치의 사시도이다.3 is a perspective view of a plasma processing apparatus according to a second embodiment of the present invention.

도 4는 도 2의 플라즈마 처리 장치의 정단면도이다. 그리고4 is a front sectional view of the plasma processing apparatus of FIG. 2. And

도 5는 도 2의 플라즈마 처리 장치의 측단면도이다.5 is a side cross-sectional view of the plasma processing apparatus of FIG. 2.

*도면의 주요 부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

10, 110: 플라즈마 처리 장치 20, 120: 플라즈마 반응기10, 110: plasma processing apparatus 20, 120: plasma reactor

30, 130: 배치 처리 챔버 40, 42: 구동 모터30, 130: batch processing chamber 40, 42: drive motor

45, 47: 턴테이블 60, 61: 기판 보트45, 47: turntable 60, 61: substrate boat

본 발명은 복수의 기판을 배치 처리하기 위한 플라즈마 처리 장치에 관한 것으로, 구체적으로는 반도체 웨이퍼와 같은 기판을 하나의 챔버 내에서 동시에 병렬 배치 처리 할 수 있는 플라즈마 처리 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus for batch processing a plurality of substrates, and more particularly, to a plasma processing apparatus capable of simultaneously parallel processing a substrate such as a semiconductor wafer in one chamber.

반도체 제조에서 플라즈마는 다양한 공정 예를 들어, 식각(etching), PVD와 CVD를 이용한 증착(deposition), 포토레지스트를 제거하는 아싱(ashing), 챔버 클리닝 등 다양한 공정에 널리 사용되고 있다. 플라즈마 공정에서 높은 처리량을 얻기 위하여 복수의 기판을 배치 처리하기 위한 플라즈마 처리 장치가 제공되고 있다.In semiconductor manufacturing, plasma is widely used in various processes such as etching, deposition using PVD and CVD, ashing to remove photoresist, and chamber cleaning. BACKGROUND OF THE INVENTION A plasma processing apparatus for batch processing a plurality of substrates in order to obtain high throughput in a plasma process is provided.

1993년 6월 8일 쿠로노 등에게 허여된 미국특허 제5217560호의 수직 타입 처리 장치는 실린더형 반응 튜브와 실린더형 내부 튜브를 구비한다. 반응 튜브의 외부에는 링형을 갖는 다수개의 플라즈마 발생 전극 유닛이 배치된다. 반응 튜브와 내부 튜브 사이에는 반응 가스 파이프가 설치되어 반응 가스를 입력한다. 입력된 반응 가스는 플라즈마 방생 전극으로부터 발생된 전기장에 의해 플라즈마화 되어 내부 튜브의 다수개의 홀들을 통하여 내부 튜브의 내측으로 유입된다. 내부 튜브의 내측으로는 승/하강이 가능한 수직형의 기판 보트가 배치된다. 웨이퍼 보트에는 복수의 웨이퍼가 수평으로 적층된다. 이 수직 타입 처리 장치는 반도체 웨이퍼나 액정 기판의 아싱 공정이나 증착 공정 등에 사용된다.The vertical type processing apparatus of US Pat. No. 5,52,560, issued to Kurono et al. On June 8, 1993, includes a cylindrical reaction tube and a cylindrical inner tube. Outside the reaction tube, a plurality of plasma generating electrode units having a ring shape are disposed. A reaction gas pipe is installed between the reaction tube and the inner tube to input the reaction gas. The input reaction gas is converted into plasma by the electric field generated from the plasma discharge electrode and introduced into the inner tube through the plurality of holes of the inner tube. Inside the inner tube is arranged a vertical substrate boat capable of raising / lowering. A plurality of wafers are stacked horizontally in the wafer boat. This vertical type processing apparatus is used for an ashing process, a vapor deposition process, etc. of a semiconductor wafer or a liquid crystal substrate.

이와 같은 종래의 배치 처리를 위한 플라즈마 처리 장치는 복수의 기판을 배치 처리함으로서 매엽식 플라즈마 처리 장치에 비하여 상당히 높은 처리량을 얻을 수 있다.Such a conventional plasma processing apparatus for batch processing can obtain a significantly higher throughput than batch-type plasma processing apparatus by batch processing a plurality of substrates.

본 발명자는 이와 같은 배치 처리를 위한 플라즈마 처리 장치에서, 보다 높은 기판 처리량을 얻을 수 있도록 하기 위하여 병렬 배치 처리가 가능한 플라즈마 처리 장치를 제공하고자 한다.The present inventors aim to provide a plasma processing apparatus capable of parallel batch processing in order to obtain a higher substrate throughput in such a plasma processing apparatus for batch processing.

따라서 본 발명은 병렬 배치 처리에 의해 높은 기판 처리량을 얻을 수 있도록 하며, 병렬 배치 처리가 가능하도록 넓은 볼륨을 갖고 균일한 고밀도의 플라즈마를 발생 시킬 수 있는 플라즈마 처리 장치를 제공하는데 있다.Accordingly, an aspect of the present invention is to provide a plasma processing apparatus capable of obtaining a high substrate throughput by parallel batch processing, and capable of generating a plasma of high density with a wide volume to enable parallel batch processing.

복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리 장치에 관한 것이다. 본 발명의 플라즈마 처리 장치는: 복수의 기판이 수납되는 제1 기판 보트; 다른 복수의 기판이 수납되는 제2 기판 보트; 상부면에 복수의 개구부가 형성되며, 제1 및 제2 기판 보트가 병렬로 배치되어 내부에 수용되는 배치 처리 챔버; 및 배치 처리 챔버의 상부에 구성되는 하나 이상의 플라즈마 반응기를 포함하고, 상기 플라즈마 반응기는: 배치 처리 챔버의 상부면에 형성된 복수의 개구부에 연결되는 복수의 방전관 가지들과 방전관 가지들에 공통으로 연결되는 방전관 몸체를 구비하는 외부 방전관; 방전관 몸체와 복수의 방전관 가지들 그리고 배치 처리 챔버에 의해서 형성되는 복수의 플라즈마 방전 경로; 복수의 플라즈마 방전 경로를 유도하기 위해 외부 방전관에 장착되는 페라이트 코어 및 이에 권선되어 전원 공급원에 연결되는 유도 코일을 포함한다.A plasma processing apparatus for parallel batch processing of a plurality of substrates. The plasma processing apparatus of the present invention includes: a first substrate boat in which a plurality of substrates are accommodated; A second substrate boat accommodating a plurality of other substrates; A batch processing chamber in which a plurality of openings are formed in an upper surface, and the first and second substrate boats are arranged in parallel and accommodated therein; And one or more plasma reactors configured on top of the batch processing chamber, wherein the plasma reactor comprises: a plurality of discharge tube branches and discharge tube branches connected in common to the plurality of openings formed in the upper surface of the batch processing chamber; An external discharge tube having a discharge tube body; A plurality of plasma discharge paths formed by a discharge tube body, a plurality of discharge tube branches and a batch processing chamber; It includes a ferrite core mounted to an external discharge tube to induce a plurality of plasma discharge paths and an induction coil wound around and connected to a power supply.

바람직하게, 페라이트 코어는 외부 방전관에 장착되는 하나 이상의 링형 페 라이트 코어를 포함한다.Preferably, the ferrite core comprises one or more ring-shaped ferrite cores mounted to an external discharge vessel.

바람직하게, 외부 방전관에 연결되어 반응 가스 공급원으로부터 제공되는 반응 가스를 외부 방전관으로 입력하는 가스 입력관; 및 배치 처리 챔버의 하부면 형성된 개구부에 연결되어 반응 가스를 배기하는 가스 배출관을 포함한다.Preferably, the gas input tube connected to the external discharge tube to input the reaction gas provided from the reaction gas supply source to the external discharge tube; And a gas discharge pipe connected to the opening formed at the lower surface of the batch processing chamber to exhaust the reaction gas.

바람직하게, 배치 처리 챔버의 내측 상단에 수평으로 설치되며 복수의 홀이 형성된 제1 배플 평판; 및 배치 처리 챔버의 내측 하단에 수평으로 설치되며 복수의 홀이 형성된 제2 배플 평판을 포함한다.Preferably, the first baffle plate is installed horizontally on the inner top of the batch processing chamber and a plurality of holes are formed; And a second baffle plate horizontally installed at an inner lower end of the batch processing chamber and having a plurality of holes formed therein.

바람직하게, 배치 처리 챔버는: 제1 및 제2 기판 보트가 수직으로 병렬 배치되어 수용되기에 적합한 챔버 하우징; 및 챔버 하우징의 전면으로 형성되어 복수의 기판이 출입하는 기판 출입구를 포함한다.Preferably, the batch processing chamber comprises: a chamber housing adapted to accommodate the first and second substrate boats arranged vertically in parallel; And a substrate entrance formed in the front surface of the chamber housing, through which the plurality of substrates enter and exit.

바람직하게, 제1 기판 보트가 놓이는 제1 턴테이블; 제1 턴테이블에 연결되는 제1 회전축; 제1 회전축을 회전시키기 위한 제1 구동 모터; 제2 기판 보트가 놓이는 제2 턴테이블; 제2 턴테이블에 연결되는 제2 회전축; 및 제2 회전축을 회전시키기 위한 제2 구동 모터를 포함한다.Preferably, the first turntable on which the first substrate boat is placed; A first rotating shaft connected to the first turntable; A first drive motor for rotating the first rotating shaft; A second turntable on which the second substrate boat is placed; A second rotating shaft connected to the second turntable; And a second drive motor for rotating the second rotation shaft.

바람직하게, 제1 및 제2 구동 모터는 챔버 하우징의 외측 하부에 위치하며, 제1 및 제2 회전축은 제1 및 제2 실링 부재를 통하여 제1 및 제2 턴테이블에 연결된다.Preferably, the first and second drive motors are located at the outer bottom of the chamber housing and the first and second rotational shafts are connected to the first and second turntables through the first and second sealing members.

바람직하게, 배치 처리 챔버는: 제1 및 제2 기판 보트가 수평으로 병렬 배치되어 수용되기에 적합한 챔버 하우징; 및 챔버 하우징의 전면으로 형성되어 복수의 기판이 출입하는 기판 출입구를 포함한다.Preferably, the batch processing chamber comprises: a chamber housing adapted to accommodate the first and second substrate boats arranged horizontally in parallel; And a substrate entrance formed in the front surface of the chamber housing, through which the plurality of substrates enter and exit.

바람직하게, 제1 기판 보트가 놓이는 제1 지지대; 및 제2 기판 보트가 놓이는 제2 지지대를 포함한다.Preferably, the first support on which the first substrate boat is placed; And a second support on which the second substrate boat is placed.

본 발명과 본 발명의 동작상의 이점 및 본 발명의 실시예에 의하여 달성되는 목적을 충분히 이해하기 위해서는 본 발명의 바람직한 실시예를 예시하는 첨부 도면 및 첨부 도면에 기재된 내용을 참조하여야 한다. 각 도면을 이해함에 있어서, 동일한 부재는 가능한 한 동일한 참조부호로 도시하고자 함에 유의하여야 한다. 그리고 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 공지 기능 및 구성에 대한 상세한 기술은 생략된다.DETAILED DESCRIPTION In order to fully understand the present invention, the operational advantages of the present invention, and the objects achieved by the embodiments of the present invention, reference should be made to the accompanying drawings which illustrate preferred embodiments of the present invention and the contents described in the accompanying drawings. In understanding the drawings, it should be noted that like parts are intended to be represented by the same reference numerals as much as possible. And detailed description of known functions and configurations that are determined to unnecessarily obscure the subject matter of the present invention is omitted.

(실시예)(Example)

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명함으로써, 본 발명의 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리 장치를 상세히 설명한다.DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a plasma processing apparatus for parallel batch processing of a plurality of substrates of the present invention will be described in detail with reference to the accompanying drawings.

도 1은 본 발명의 제1 실시예에 따른 플라즈마 처리 장치의 사시도이고, 도 2는 도 1의 플라즈마 처리 장치의 수직 단면도이다.1 is a perspective view of a plasma processing apparatus according to a first embodiment of the present invention, Figure 2 is a vertical cross-sectional view of the plasma processing apparatus of FIG.

도 1 및 도 2를 참조하여, 본 발명의 제1 실시예에 따른 플라즈마 처리 장치(10)는 상부면에 복수의 개구부(35)가 형성되며, 제1 및 제2 기판 보트(60)(61)가 병렬로 배치되어 내부에 수용되는 배치 처리 챔버(30)를 구비한다. 배치 처리 챔버(30)는 제1 및 제2 기판 보트(60)(61)가 수직으로 병렬 배치되어 수용되기에 적합한 챔버 하우징(31)을 구비하고, 챔버 하우징(31)의 전면으로 형성되어 복수의 기판이 출입하는 기판 출입구(32)(33)가 구비된다. 도면에는 도시되지 않았으나 기판 출입구(32)(33)는 게이트 밸브(미도시)에 의해 개폐된다.1 and 2, in the plasma processing apparatus 10 according to the first embodiment of the present invention, a plurality of openings 35 are formed in an upper surface thereof, and first and second substrate boats 60 and 61 are formed. ) Is arranged in parallel and has a batch processing chamber 30 housed therein. The batch processing chamber 30 has a chamber housing 31 suitable for accommodating the first and second substrate boats 60 and 61 in a vertically parallel arrangement, and is formed in front of the chamber housing 31 so as to be provided with a plurality of chambers. The board | substrate entrance / exit 32 and 33 which the board | substrate of in and out of are provided with. Although not shown in the drawing, the substrate entrances 32 and 33 are opened and closed by a gate valve (not shown).

배치 처리 챔버(30)의 내부에는 복수의 기판(W1)이 수납되는 제1 기판 보트(60)와 다른 복수의 기판(W2)이 수납되는 제2 기판 보트(61)가 수용된다. 제1 및 제2 기판 보트(61)에는 복수의 기판(W1)(W2)이 수평으로 적층되어 장착된다.The first substrate boat 60 in which the plurality of substrates W1 are accommodated and the second substrate boat 61 in which the plurality of other substrates W2 are accommodated are accommodated in the batch processing chamber 30. A plurality of substrates W1 and W2 are horizontally stacked and mounted on the first and second substrate boats 61.

배치 처리 챔버(30)의 상부에는 하나 이상의 플라즈마 반응기(20)가 구성된다. 플라즈마 반응기(20)는 배치 처리 챔버(30)의 상부면에 형성된 복수의 개구부(35)에 연결되는 복수의 방전관 가지들(22)과 방전관 가지들(22)에 공통으로 연결되는 방전관 몸체(21)를 구비하는 외부 방전관(29)을 구비한다.One or more plasma reactors 20 are configured on top of the batch processing chamber 30. The plasma reactor 20 has a plurality of discharge tube branches 22 connected to the plurality of openings 35 formed in the upper surface of the batch processing chamber 30 and discharge tube bodies 21 commonly connected to the discharge tube branches 22. Is provided with an external discharge tube (29).

방전관 몸체(21)와 복수의 방전관 가지들(22) 그리고 배치 처리 챔버(30)에 의해서 복수의 플라즈마 방전 경로(P)가 발생된다. 복수의 플라즈마 방전 경로(P)를 유도하기 위해 외부 방전관(29)에는 복수개의 페라이트 코어(23) 및 이에 권선되어 전원 공급원(26)에 연결되는 유도 코일(24)이 장착된다. 페라이트 코어(23)는 외부 방전관(29)에 장착되는 하나 이상의 링형 페라이트 코어로 구성된다.A plurality of plasma discharge paths P are generated by the discharge tube body 21, the plurality of discharge tube branches 22, and the batch processing chamber 30. In order to guide the plurality of plasma discharge paths P, the external discharge tube 29 is equipped with a plurality of ferrite cores 23 and induction coils 24 that are wound around and connected to the power supply 26. The ferrite core 23 is composed of one or more ring-shaped ferrite cores mounted to the outer discharge tube 29.

외부 방전관(29)에는 반응 가스 공급원(미도시)으로부터 제공되는 반응 가스를 외부 방전관(29)으로 입력하는 가스 입력관(25)이 연결된다. 가스 입력관(25) 외부 방전관(29)의 중간에 설치되며, 가스 입력관(25)을 중심으로 양편으로 대칭되게 복수의 방전관 가지들(22)이 연결되고, 그 사이에 페라이트 코어(23)가 장착된다.The external discharge tube 29 is connected to a gas input tube 25 for inputting a reactive gas supplied from a reactive gas supply source (not shown) to the external discharge tube 29. The gas input tube 25 is installed in the middle of the external discharge tube 29, and the plurality of discharge tube branches 22 are symmetrically opposite to each other about the gas input tube 25, and the ferrite core 23 is interposed therebetween. Is fitted.

배치 처리 챔버(30)의 하부면에는 개구부(34)가 형성되고, 여기에 반응 가스를 배기하는 가스 배출관(26)이 연결된다. 가스 배출관(26)은 진공 펌프(미도시) 에 연결된다. 배치 처리 챔버(30)의 내측 상단에는 수평으로 설치되며 복수의 홀(37)이 형성된 제1 배플 평판(36)과 배치 처리 챔버(30)의 내측 하단에 수평으로 설치되며 복수의 홀(39)이 형성된 제2 배플 평판(38)이 설치된다.An opening 34 is formed in the lower surface of the batch processing chamber 30, and a gas discharge pipe 26 for exhausting the reaction gas is connected thereto. The gas discharge pipe 26 is connected to a vacuum pump (not shown). A first baffle plate 36 having a plurality of holes 37 and horizontally installed at an inner upper end of the batch processing chamber 30 and a plurality of holes 39 is installed horizontally at an inner lower end of the batch processing chamber 30. The formed second baffle plate 38 is provided.

제1 및 제2 기판 보트(60)(61)는 각기 제1 및 제2 턴테이블(45)(47)에 놓여진다. 제1 및 제2 턴테이블(45)(47)은 각기 제1 회전축(41)(43)에 연결되어 제1 및 제2 구동 모터(40)(42)에 연결된다. 제1 및 제2 구동 모터(40)(42)는 챔버 하우징(30)의 외측 하부에 위치하며, 제1 및 제2 회전축(41)(43)은 제1 및 제2 실링 부재(44)(46)를 통하여 제1 및 제2 턴테이블(45)(47)에 연결된다.The first and second substrate boats 60, 61 are placed on the first and second turntables 45, 47, respectively. The first and second turntables 45 and 47 are connected to the first rotation shafts 41 and 43, respectively, to the first and second drive motors 40 and 42. The first and second drive motors 40 and 42 are located outside the lower side of the chamber housing 30, and the first and second rotary shafts 41 and 43 are formed of the first and second sealing members 44 ( 46 is connected to the first and second turntables 45 and 47.

가스 입력관(25)을 통하여 입력되는 반응 가스는 외부 방전관(29)을 통해서 나누어져 배치 처리 챔버(30)로 분리되어 입력된다. 입력된 반응 가스는 제1 배플 평판(36)에 의해 확산되어 아래로 흐르게 된다. 유도 코일(24)에 전원 공급원(26)으로부터 RF 전원이 공급되면, 도 2에 도시된 바와 같이, 방전관 몸체(21)와 복수의 방전관 가지들(22) 그리고 배치 처리 챔버(30)에 의해서 복수의 플라즈마 방전 경로(P)가 발생된다. 기판(W1)(W2)의 플라즈마 처리 과정에서 구동 모터(40)(42)가 회전하여 제1 및 제2 턴테이블(45)(47)을 회전시킴으로서 기판 보트(60)(61)가 회전한다. 반응 후 가스는 제2 배플 평판(38)을 통과하여 아래로 흘러서 가스 배출구(26)를 통하여 배기된다.The reaction gas input through the gas input tube 25 is divided through the external discharge tube 29 and separated into the batch processing chamber 30 and input. The input reaction gas is diffused by the first baffle plate 36 and flows downward. When RF power is supplied from the power supply 26 to the induction coil 24, as shown in FIG. 2, the discharge coil body 21, the plurality of discharge tube branches 22, and the batch processing chamber 30 are removed. The plasma discharge path P is generated. In the plasma processing of the substrates W1 and W2, the driving motors 40 and 42 rotate to rotate the first and second turntables 45 and 47 to rotate the substrate boats 60 and 61. After the reaction, the gas flows down through the second baffle plate 38 and is exhausted through the gas outlet 26.

도 3은 본 발명의 제2 실시예에 따른 플라즈마 처리 장치의 사시도이고, 도 4는 도 2의 플라즈마 처리 장치의 정단면도이다. 그리고 도 5는 도 2의 플라즈마 처리 장치의 측단면도이다.3 is a perspective view of a plasma processing apparatus according to a second embodiment of the present invention, and FIG. 4 is a front sectional view of the plasma processing apparatus of FIG. 5 is a side cross-sectional view of the plasma processing apparatus of FIG. 2.

도 3 내지 도 5를 참조하여, 본 발명의 제2 실시예에 따른 플라즈마 처리 장치는 상술한 제1 실시예와 기본적으로 동일한 구성을 갖는다. 다만, 제2 실시예에서는 기판 보트(160)(161)가 배치 처리 챔버(130)의 내부에 수평으로 병렬 배열되어 수용된다. 그리고 배치 처리 챔버(130)는 제1 및 제2 기판 보트(160)(161)가 수평으로 병렬 배치되어 수용되기에 적합한 챔버 하우징(131)으로 구성된다. 배치 처리 챔버(130)의 상부면에는 두 개의 플라즈마 반응기(120a)(120b)가 병렬로 구성된다.3 to 5, the plasma processing apparatus according to the second embodiment of the present invention basically has the same configuration as the first embodiment described above. However, in the second embodiment, the substrate boats 160 and 161 are accommodated horizontally arranged in parallel in the batch processing chamber 130. In addition, the batch processing chamber 130 includes a chamber housing 131 suitable for accommodating the first and second substrate boats 160 and 161 in a horizontal parallel arrangement. Two plasma reactors 120a and 120b are configured in parallel on the upper surface of the batch processing chamber 130.

챔버 하우징(131)의 전면으로는 복수의 기판이 출입하는 기판 출입구(132)(133)가 구성된다. 도면에는 도시되지 않았으나 기판 출입구(132)(133)는 게이트 밸브(미도시)에 의해 개폐된다. 제1 및 제2 기판 보트(160)(161)는 제1 및 제2 지지대(145)(147)에 놓여진다. 제1 및 제2 기판 보트(61)에는 복수의 기판(W1)(W2)이 수직으로 열을 이루어 장착된다.The front and rear surfaces of the chamber housing 131 include substrate entrances 132 and 133 through which a plurality of substrates enter and exit. Although not shown in the drawing, the substrate entrances 132 and 133 are opened and closed by a gate valve (not shown). The first and second substrate boats 160, 161 are placed on the first and second supports 145, 147. A plurality of substrates W1 and W2 are vertically mounted on the first and second substrate boats 61.

상술한 바와 같이, 본 발명은 도면에 도시된 실시예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 발명이 속한 기술분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시예가 가능하다는 점을 잘 알 수 있을 것이다. 그럼으로 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.As described above, the present invention has been described with reference to the embodiments shown in the drawings, but this is merely exemplary, and those skilled in the art to which the present invention pertains have various modifications and equivalent embodiments. You can see that it is possible. Therefore, the true technical protection scope of the present invention will be defined by the technical spirit of the appended claims.

상술한 바와 같은 본 발명의 복수의 기판을 병렬 배치 처리하기 위한 플라즈마 처리 장치에 의하면, 병렬 배치 처리에 의해 높은 기판 처리량을 얻을 수 있으 며, 기판 처리량에 비하여 종래보다 상대적으로 효율적인 설비 구성이 가능하여 설비의 가격을 낮출 수 있다. 또한 보다 많은 매수의 기판을 배치 처리하여 기판 처리량을 보다 혁혁하게 증가 시킬 수 있다. 그리고 유도 결합 플라즈마 방식에 의해 플라즈마를 유도함으로서 넓은 볼륨의 플라즈마를 안정되게 생성할 수 있으며, 그 발생 밀도 및 균일도를 높여 수율을 높일 수 있다.According to the plasma processing apparatus for parallel batch processing of a plurality of substrates of the present invention as described above, it is possible to obtain a high substrate throughput by parallel batch processing, and it is possible to construct a facility that is relatively more efficient than the conventional substrate throughput. The price of the equipment can be lowered. It is also possible to batch process a larger number of substrates to significantly increase substrate throughput. By inducing the plasma by the inductively coupled plasma method, a wide volume of plasma can be stably generated, and the yield density and uniformity can be increased to increase the yield.

Claims (9)

복수의 기판이 수납되는 제1 기판 보트;A first substrate boat accommodating a plurality of substrates; 다른 복수의 기판이 수납되는 제2 기판 보트;A second substrate boat accommodating a plurality of other substrates; 상부면에 복수의 개구부가 형성되며, 제1 및 제2 기판 보트가 병렬로 배치되어 내부에 수용되는 배치 처리 챔버; 및A batch processing chamber in which a plurality of openings are formed in an upper surface, and the first and second substrate boats are arranged in parallel and accommodated therein; And 배치 처리 챔버의 상부에 구성되는 하나 이상의 플라즈마 반응기를 포함하고,At least one plasma reactor configured on top of the batch processing chamber, 상기 플라즈마 반응기는:The plasma reactor is: 배치 처리 챔버의 상부면에 형성된 복수의 개구부에 연결되는 복수의 방전관 가지들과 방전관 가지들에 공통으로 연결되는 방전관 몸체를 구비하는 외부 방전관;An external discharge tube having a plurality of discharge tube branches connected to the plurality of openings formed in the upper surface of the batch processing chamber and a discharge tube body commonly connected to the discharge tube branches; 방전관 몸체와 복수의 방전관 가지들 그리고 배치 처리 챔버에 의해서 형성되는 복수의 플라즈마 방전 경로; 및A plurality of plasma discharge paths formed by a discharge tube body, a plurality of discharge tube branches and a batch processing chamber; And 복수의 플라즈마 방전 경로를 유도하기 위해 외부 방전관에 장착되는 페라이트 코어 및 이에 권선되어 전원 공급원에 연결되는 유도 코일을 포함하는 플라즈마 처리 장치.And a ferrite core mounted to an external discharge tube to induce a plurality of plasma discharge paths, and an induction coil wound around and connected to a power supply source. 제1 항에 있어서, 페라이트 코어는 외부 방전관에 장착되는 하나 이상의 링형 페라이트 코어를 포함하는 플라즈마 처리 장치.The apparatus of claim 1, wherein the ferrite core comprises one or more ring-shaped ferrite cores mounted to an external discharge vessel. 제1 항에 있어서, 외부 방전관에 연결되어 반응 가스 공급원으로부터 제공되는 반응 가스를 외부 방전관으로 입력하는 가스 입력관; 및The gas supply system of claim 1, further comprising: a gas input tube connected to the external discharge tube to input the reaction gas provided from the reaction gas supply source into the external discharge tube; And 배치 처리 챔버의 하부면 형성된 개구부에 연결되어 반응 가스를 배기하는 가스 배출관을 포함하는 플라즈마 처리 장치.And a gas discharge pipe connected to the opening formed in the lower surface of the batch processing chamber to exhaust the reaction gas. 제1 항에 있어서, 배치 처리 챔버의 내측 상단에 수평으로 설치되며 복수의 홀이 형성된 제1 배플 평판; 및2. The apparatus of claim 1, further comprising: a first baffle plate horizontally installed at an inner upper end of the batch processing chamber and having a plurality of holes; And 배치 처리 챔버의 내측 하단에 수평으로 설치되며 복수의 홀이 형성된 제2 배플 평판을 포함하는 플라즈마 처리 장치.And a second baffle plate horizontally disposed at an inner lower end of the batch processing chamber and having a plurality of holes formed therein. 제1 항에 있어서, 배치 처리 챔버는: 제1 및 제2 기판 보트가 수직으로 병렬 배치되어 수용되기에 적합한 챔버 하우징; 및2. The batch processing chamber of claim 1, wherein the batch processing chamber comprises: a chamber housing adapted to receive the first and second substrate boats arranged vertically in parallel; And 챔버 하우징의 전면으로 형성되어 복수의 기판이 출입하는 기판 출입구를 포함하는 플라즈마 처리 장치.And a substrate entrance to which a plurality of substrates enter and exit from the front surface of the chamber housing. 제5 항에 있어서, 제1 기판 보트가 놓이는 제1 턴테이블;6. The apparatus of claim 5, further comprising: a first turntable on which the first substrate boat is placed; 제1 턴테이블에 연결되는 제1 회전축;A first rotating shaft connected to the first turntable; 제1 회전축을 회전시키기 위한 제1 구동 모터;A first drive motor for rotating the first rotating shaft; 제2 기판 보트가 놓이는 제2 턴테이블;A second turntable on which the second substrate boat is placed; 제2 턴테이블에 연결되는 제2 회전축; 및A second rotating shaft connected to the second turntable; And 제2 회전축을 회전시키기 위한 제2 구동 모터를 포함하는 플라즈마 처리 장치.And a second driving motor for rotating the second rotating shaft. 제6 항에 있어서, 제1 및 제2 구동 모터는 챔버 하우징의 외측 하부에 위치하며, 제1 및 제2 회전축은 제1 및 제2 실링 부재를 통하여 제1 및 제2 턴테이블에 연결되는 플라즈마 처리 장치.The plasma processing of claim 6, wherein the first and second drive motors are located at an outer lower side of the chamber housing, and the first and second rotation axes are connected to the first and second turntables through the first and second sealing members. Device. 제1 항에 있어서, 배치 처리 챔버는: 제1 및 제2 기판 보트가 수평으로 병렬 배치되어 수용되기에 적합한 챔버 하우징; 및2. The batch processing chamber of claim 1, wherein the batch processing chamber comprises: a chamber housing adapted to accommodate the first and second substrate boats arranged horizontally in parallel; And 챔버 하우징의 전면으로 형성되어 복수의 기판이 출입하는 기판 출입구를 포함하는 플라즈마 처리 장치.And a substrate entrance to which a plurality of substrates enter and exit from the front surface of the chamber housing. 제8 항에 있어서, 제1 기판 보트가 놓이는 제1 지지대; 및9. The apparatus of claim 8, further comprising: a first support on which the first substrate boat is placed; And 제2 기판 보트가 놓이는 제2 지지대를 포함하는 플라즈마 처리 장치.And a second support on which the second substrate boat is placed.
KR1020050119023A 2005-12-07 2005-12-07 Plasma processing apparatus for a parallel bach processing of a plurality of substrates KR100663668B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050119023A KR100663668B1 (en) 2005-12-07 2005-12-07 Plasma processing apparatus for a parallel bach processing of a plurality of substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050119023A KR100663668B1 (en) 2005-12-07 2005-12-07 Plasma processing apparatus for a parallel bach processing of a plurality of substrates

Publications (1)

Publication Number Publication Date
KR100663668B1 true KR100663668B1 (en) 2007-01-09

Family

ID=37866634

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050119023A KR100663668B1 (en) 2005-12-07 2005-12-07 Plasma processing apparatus for a parallel bach processing of a plurality of substrates

Country Status (1)

Country Link
KR (1) KR100663668B1 (en)

Cited By (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854974B1 (en) * 2007-04-25 2008-08-28 (주)리드 Substrate carrier and apparatus for manufacturing of light emitting diode
KR100983006B1 (en) * 2008-04-08 2010-09-17 (주)이플러스텍 Gas phase vapor etcher for processing the wafer of the perpendicularly arranged large amount
US20120035766A1 (en) * 2010-08-06 2012-02-09 Ali Shajii Systems, methods and apparatus for choked flow element extraction
KR101160994B1 (en) * 2010-09-01 2012-07-02 주식회사 테스 A substrate processing apparatus
US20160163513A1 (en) * 2014-12-09 2016-06-09 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9735020B2 (en) 2010-02-26 2017-08-15 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR20210149540A (en) * 2020-06-02 2021-12-09 주식회사 한화 Both Side Processing Apparatus of Substrate
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08255785A (en) * 1995-03-17 1996-10-01 Nec Corp Plasma treating apparatus
JP2003209097A (en) 2001-08-29 2003-07-25 Tokyo Electron Ltd Wafer treatment machine

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08255785A (en) * 1995-03-17 1996-10-01 Nec Corp Plasma treating apparatus
JP2003209097A (en) 2001-08-29 2003-07-25 Tokyo Electron Ltd Wafer treatment machine

Cited By (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100854974B1 (en) * 2007-04-25 2008-08-28 (주)리드 Substrate carrier and apparatus for manufacturing of light emitting diode
KR100983006B1 (en) * 2008-04-08 2010-09-17 (주)이플러스텍 Gas phase vapor etcher for processing the wafer of the perpendicularly arranged large amount
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9735020B2 (en) 2010-02-26 2017-08-15 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US20120035766A1 (en) * 2010-08-06 2012-02-09 Ali Shajii Systems, methods and apparatus for choked flow element extraction
US9449793B2 (en) * 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
KR101160994B1 (en) * 2010-09-01 2012-07-02 주식회사 테스 A substrate processing apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160163513A1 (en) * 2014-12-09 2016-06-09 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN107004561B (en) * 2014-12-09 2020-07-31 应用材料公司 Plasma processing system with direct exit torroidal plasma source
CN107004561A (en) * 2014-12-09 2017-08-01 应用材料公司 Plasma process system with directly exported toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102371772B1 (en) 2020-06-02 2022-03-07 주식회사 한화 Both Side Processing Apparatus of Substrate
KR20210149540A (en) * 2020-06-02 2021-12-09 주식회사 한화 Both Side Processing Apparatus of Substrate

Similar Documents

Publication Publication Date Title
KR100663668B1 (en) Plasma processing apparatus for a parallel bach processing of a plurality of substrates
KR100246105B1 (en) Sending apparatus foe semiconductor wafer
KR101509860B1 (en) Film forming apparatus, substrate processing apparatus, plasma generating apparatus
KR0155151B1 (en) Apparatus for reaction treatment
JP4470970B2 (en) Plasma processing equipment
JPH05251391A (en) Plasma processing device for semiconductor wafer
TW201619414A (en) Precleaning chamber and plasma processing apparatus
US20110303152A1 (en) Support structure, processing container structure and processing apparatus
TW201640582A (en) Substrate processing apparatus
JP6121458B2 (en) Process and apparatus for treating the surface of a wafer shaped article
KR101570168B1 (en) Apparatus for treating a substrate
JP2021125675A (en) Substrate processing apparatus and substrate processing method
KR101652773B1 (en) Liquid processing apparatus
KR20190131652A (en) Transfer unit, apparatus for treating substrate including the same
KR20120074878A (en) Baffle, apparatus for treating substrate and method for treating thereof
KR20140102799A (en) Plasma process system
KR20120023296A (en) Apparatus for treating a substrate
KR100726791B1 (en) Plasma processing apparatus for a parallel bach processing of a plurality of substrates
KR20120015928A (en) Nozzzle and apparatus for treating a substrate wi th the nozzle
JP2000100789A (en) Plasma treating apparatus
JP3915314B2 (en) Single wafer processing equipment
KR20160121715A (en) Substrate treating apparatus
KR101390785B1 (en) Apparatus for processing substrate and method for processing substrate
KR20200115168A (en) Film forming method
KR101329301B1 (en) substrate treating Apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121218

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131226

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141219

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151201

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20161213

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20171219

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20191210

Year of fee payment: 14