KR100527568B1 - Manufacturing method for semiconductor device - Google Patents

Manufacturing method for semiconductor device Download PDF

Info

Publication number
KR100527568B1
KR100527568B1 KR10-2003-0075099A KR20030075099A KR100527568B1 KR 100527568 B1 KR100527568 B1 KR 100527568B1 KR 20030075099 A KR20030075099 A KR 20030075099A KR 100527568 B1 KR100527568 B1 KR 100527568B1
Authority
KR
South Korea
Prior art keywords
layer
insulating film
semiconductor device
manufacturing
interlayer insulating
Prior art date
Application number
KR10-2003-0075099A
Other languages
Korean (ko)
Other versions
KR20050039998A (en
Inventor
박형순
이상익
정종구
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2003-0075099A priority Critical patent/KR100527568B1/en
Publication of KR20050039998A publication Critical patent/KR20050039998A/en
Application granted granted Critical
Publication of KR100527568B1 publication Critical patent/KR100527568B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Abstract

본 발명은 반도체소자의 제조방법에 관한 것으로서, 하부 층간절연막에 랜딩플러그를 형성하고, 상부 층간절연막과 식각장벽층을 순차적으로 형성한 후, 비트라인 콘택홀을 형성하고, 콘택플러그 도전층을 도포하고, CMP 공정으로 콘택플러그를 형성하는데 있어서, 상기 식각장벽층에 의해 장벽금속층의 CMP 손상을 최소화하여 도 6에서 볼 수 있는 바와 같이 콘택플러그의 장벽금속층이 손상된 것을 보상하고 이로 인한 단선이나 비트라인의 저항 증가를 방지할 수 있으며, 식각장벽층이 산소 장벽이 되어 비트라인 W층의 하부가 손상되는 것을 방지하여 패턴불량이나 비트라인 저항 증가 및 보이드 발생을 방지할 수 있다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, wherein a landing plug is formed in a lower interlayer insulating film, an upper interlayer insulating film and an etch barrier layer are sequentially formed, a bit line contact hole is formed, and a contact plug conductive layer is coated. And, in forming the contact plug by the CMP process, by minimizing the CMP damage of the barrier metal layer by the etch barrier layer to compensate for the damage of the barrier metal layer of the contact plug as shown in Figure 6 resulting in disconnection or bit line It is possible to prevent the resistance increase of the etch barrier layer to prevent the damage of the lower portion of the bit line W layer due to the oxygen barrier to prevent pattern defects, increased bit line resistance and voids.

Description

반도체소자의 제조방법{Manufacturing method for semiconductor device}Manufacturing method for semiconductor device

본 발명은 반도체소자의 제조방법에 관한 것으로서, 특히 비트라인 콘택플러그의 장벽금속층 손실을 최소화하여 후속 공정에서의 소자의 불량발생을 방지하고 콘택 저항 증가나 비트라인 캐패시턴스의 증가를 방지하여 공정수율 및 소자의 신뢰성을 향상시킬 수 있는 반도체소자의 제조방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and in particular, to minimize the loss of barrier metal layer of a bit line contact plug, to prevent the occurrence of defects in a subsequent process, and to increase the contact resistance or the increase of the bit line capacitance, thereby increasing process yield It relates to a method for manufacturing a semiconductor device that can improve the reliability of the device.

최근의 반도체 장치의 고집적화 추세는 미세 패턴 형성 기술의 발전에 큰 영향을 받고 있으며, 반도체 장치의 제조 공정 중에서 식각 또는 이온주입 공정 등의 마스크로 매우 폭 넓게 사용되는 감광막 패턴의 미세화가 필수 요건이다. The recent trend of high integration of semiconductor devices has been greatly influenced by the development of fine pattern formation technology, and the miniaturization of photoresist patterns, which are widely used as masks such as etching or ion implantation processes, are essential in the manufacturing process of semiconductor devices.

이러한 감광막 패턴의 분해능(R)은 감광막 자체의 재질이나 기판과의 접착력등과도 밀접한 연관이 있으나, 일차적으로는 사용되는 축소노광장치의 광원 파장(λ) 및 공정 변수(k)에 비례하고, 노광 장치의 렌즈 구경(numerical aperture; NA, 개구수)에 반비례한다. The resolution (R) of the photoresist pattern is closely related to the material of the photoresist itself or the adhesion to the substrate. It is inversely proportional to the lens aperture (NA, numerical aperture) of the device.

[R=k*λ/NA, R=해상도 , λ= 광원의 파장, NA=개구수] [R = k * λ / NA, R = resolution, λ = wavelength of light source, NA = number of apertures]

여기서 상기 축소노광장치의 광분해능을 향상시키기 위하여 광원의 파장을 감소시키게 되며, 예를들어 파장이 436 및 365㎚인 G-라인 및 i-라인 축소노광장치는 공정 분해능이 라인/스페이스 패턴의 경우 각각 약 0.7, 0.5㎛ 정도가 한계이고, 0.5㎛ 이하의 미세 패턴을 형성하기 위해서는 이보다 파장이 더 작은 원자외선(deep ultra violet; DUV), 예를들어 파장이 248㎚인 KrF 레이저나 193㎚인 ArF 레이저를 광원으로 사용하는 노광 장치를 이용하여야 한다. Here, the wavelength of the light source is reduced in order to improve the optical resolution of the reduced exposure apparatus. For example, the G-line and i-line reduced exposure apparatus having wavelengths of 436 and 365 nm have a process resolution of a line / space pattern. The limit is about 0.7 and 0.5 μm, respectively, and in order to form a fine pattern of 0.5 μm or less, deeper ultra violet (DUV), for example, KrF laser having a wavelength of 248 nm or 193 nm An exposure apparatus using an ArF laser as a light source should be used.

또한 축소노광장치와는 별도로 공정 상의 방법으로는 노광마스크(photo mask)로서 위상반전마스크(phase shift mask)를 사용하는 방법이나, 이미지 콘트라스트를 향상시킬 수 있는 별도의 박막을 웨이퍼 상에 형성하는 씨.이.엘(contrast enhancement layer; CEL) 방법이나, 두층의 감광막 사이에 에스.오.지(spin on glass; SOG)등의 중간층을 개재시킨 삼층레지스트(Tri layer resister; 이하 TLR이라 칭함) 방법 또는 감광막의 상측에 선택적으로 실리콘을 주입시키는 실리레이션 방법 등이 개발되어 분해능 한계치를 낮추고 있다. In addition to the reduction exposure apparatus, the process method includes a method of using a phase shift mask as a photo mask, or forming a separate thin film on the wafer to improve image contrast. A contrast enhancement layer (CEL) method or a tri layer resister (hereinafter referred to as a TLR) method in which an intermediate layer such as spin on glass (SOG) is interposed between two photoresist layers. In addition, a silicide method for selectively injecting silicon into the upper side of the photosensitive film has been developed to lower the resolution limit.

또한 상하의 도전배선을 연결하는 콘택홀은 상기에서의 라인/스페이스 패턴에 비해 디자인룰이 더 크게 나타나는데, 소자가 고집적화 되어감에 따라 자체의 크기와 주변배선과의 간격이 감소되고, 콘택홀의 지름과 깊이의 비인 에스팩트비(aspect ratio)가 증가한다. 따라서, 다층의 도전배선을 구비하는 고집적 반도체소자에서는 콘택 형성 공정에서의 마스크들간의 정확하고 엄격한 정렬이 요구되어 공정여유도가 감소되거나, 여유가 전혀없이 공정을 진행하여야하는 어려움이 있다. In addition, the contact hole connecting the upper and lower conductive wirings has a larger design rule than the above line / space pattern. As the device becomes more integrated, the size of the contact hole and the distance between the peripheral wirings are reduced, and the contact hole diameter and The aspect ratio, which is the ratio of depths, increases. Therefore, in the highly integrated semiconductor device having the multilayer conductive wiring, accurate and strict alignment between the masks in the contact forming process is required, so that the process margin is reduced or the process must be performed without any margin.

이러한 콘택홀은 홀간의 간격 유지를 위하여 마스크 정렬시의 오배열 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration)등과 같은 요인들을 고려하여 마스크를 형성한다. These contact holes can be used for misalignment tolerance during mask alignment, lens distortion during exposure, critical dimension variation during mask fabrication and photolithography, The mask is formed by considering factors such as registration between the masks.

상기와 같은 콘택홀의 형성 방법으로는 직접 식각 방법과, 측벽 스페이서를 사용하는 방법 및 SAC 방법등이 있다. As a method of forming the contact hole as described above, there are a direct etching method, a method using a sidewall spacer, a SAC method, and the like.

상기에서 직접 식각방법과 측벽 스페이서 형성 방법은 현재의 재반 기술 수준에서 0.3㎛ 이하의 디자인 룰을 갖는 소자 제조에는 사용할 수 없어 소자의 고집적화에 한계가 있다. In the above method, the direct etching method and the sidewall spacer forming method cannot be used for manufacturing a device having a design rule of 0.3 μm or less in the current technology level, and thus there is a limitation in high integration of the device.

또한 콘택홀 형성시 리소그래피(Lithography) 공정의 한계를 극복하기 위하여 고안된 SAC 방법은 식각장벽층으로 사용하는 물질에 따라 다결정실리콘층이나 질화막 또는 산화질화막등을 사용하는 것으로 나눌 수 있으며, 가장 유망한 것으로 질화막을 식각 방어막으로 사용하는 방법이 있다. In addition, the SAC method, which is designed to overcome the limitations of the lithography process in forming contact holes, can be divided into polysilicon layer, nitride film, or oxynitride film, depending on the material used as the etch barrier layer. Can be used as an etch shield.

도시되어 있지는 않으나, 종래 기술에 따른 랜딩플러그를 구비하는 비트라인 콘택의 반도체소자의 제조 방법을 살펴보면 다음과 같다. Although not shown, a method of manufacturing a semiconductor device of a bit line contact having a landing plug according to the related art is as follows.

먼저, 반도체기판상에 소정의 하부 구조물, 예를 들어 소자분리 산화막과 모스 전계효과 트랜지스터(Metal Oxide Semi conductor Field Effect Transistor; 이하 MOS FET라 칭함)를 이루는 게이트산화막과, 하드마스크층 패턴과 중첩되어있는 게이트전극을 형성한 후, 상기 하드마스크층 패턴과 게이트전극의 측벽에 질화막 재질의 절연 스페이서를 형성한다.First, a gate oxide layer forming a predetermined substructure on a semiconductor substrate, for example, a device isolation oxide layer and a metal oxide semi conductor field effect transistor (hereinafter referred to as a MOS FET), and a hard mask layer pattern overlapping each other. After forming the gate electrode, an insulating spacer made of a nitride film is formed on sidewalls of the hard mask layer pattern and the gate electrode.

그다음 상기 구조의 전표면에 하부 층간절연막을 도포한 후, 평탄화 시킨 후, 랜딩 플러그용 식각마스크를 사용한 사진 식각 공정으로 상기 하부 층간절연막을 패턴닝하여 랜딩플러그 콘택홀을 형성하고, 상기 구조의 전표면에 랜딩플러그용 도전층을 도포하여 상기 콘택홀을 메운 후, 상기 도전층을 식각하여 각각의 콘택홀로 분리된 랜딩플러그를 형성한다. Then, after applying the lower interlayer insulating film to the entire surface of the structure, and then planarizing, by forming a landing plug contact hole by patterning the lower interlayer insulating film by a photolithography process using an etching mask for landing plugs, After filling the contact hole by applying a conductive layer for landing plug on the surface, the conductive layer is etched to form a landing plug separated into each contact hole.

그후, 상기 구조의 전표면에 상부 층간절연막을 도포한 후, 상기 랜딩플러그에서 비트라인 콘택으로 예정되어있는 부분상의 상부 층간절연막을 제거하여 비트라인 콘택홀을 형성한다. Thereafter, an upper interlayer insulating film is applied to the entire surface of the structure, and then the upper interlayer insulating film on the portion of the landing plug, which is supposed to be a bitline contact, is removed to form a bitline contact hole.

그다음 상기 구조의 전표면에 장벽금속층인 Ti/TiN 층과 플러그 물질인 W층을 순차적으로 도포하여 상기 콘택홀을 메운 후, 상기 W층과 장벽금속층을 순차적으로 에치백하여 비트라인 콘택플러그를 형성한다. Then, the Ti / TiN layer, which is a barrier metal layer, and the W layer, which is a plug material, are sequentially applied to the entire surface of the structure to fill the contact hole, and then the back layer and the barrier metal layer are sequentially etched back to form a bit line contact plug. do.

상기와 같은 종래 기술에 따른 반도체소자의 제조방법은 비트라인 콘택플러그 형성을 위한 W 에치후의 장벽금속층 에치백 공정시 콘택홀 내부의 장벽금속층이 심하게 손상되어 도 1 내지 도 3에서 볼 수 있는 바와 같이, 장벽금속층이 손실되고, W의 중심에 심이 발생하여 전기적 단선이 발생하거나, 후속 비트라인의 캐패시턴스가 증가되어 공정수율 및 소자의 신뢰성을 떨어뜨리는 문제점이 있다. In the method of manufacturing a semiconductor device according to the related art as described above, the barrier metal layer inside the contact hole is severely damaged during the etch back process of the barrier metal layer after W etching to form the bit line contact plug, as shown in FIGS. 1 to 3. The barrier metal layer is lost, and a core is formed at the center of W, thereby causing electrical disconnection, or increasing the capacitance of a subsequent bit line, thereby decreasing process yield and device reliability.

더욱이 0.1㎛ 이하의 디자인 룰을 가지는 소자에서는 장벽금속층이 콘택플러그 물질의 30% 이상의 두께를 차지하여 불량 발생이 가중된다. Furthermore, in devices having a design rule of 0.1 μm or less, the barrier metal layer occupies a thickness of 30% or more of the contact plug material, thereby increasing the occurrence of defects.

또한 종래 기술의 다른 문제점은 산화막 재질의 층간절연막상에 형성되어 있는 W층이 층간절연막 내부의 산소의 영향으로 식각 공정시 W 측면에 형성된 폴리머가 파괴되어 도 4에 도시되어있는 바와 같이, 비트라인의 하부가 역경사 지게 형성되어 저항이 증가하거나, 패턴 불량이 발생되는 다른 문제점이 있다. In addition, another problem of the prior art is that the W layer formed on the interlayer insulating film made of an oxide film is destroyed by the polymer formed on the W side during the etching process due to the influence of oxygen inside the interlayer insulating film, as shown in FIG. There is another problem that the lower portion of the lower slope is formed to increase the resistance or a pattern defect occurs.

본 발명은 상기와 같은 문제점을 해결하기 위한 것으로서, 본 발명의 목적은 비트라인 콘택플러그 형성시 장벽금속층의 손상되는 부분을 최소화하여 이로 인한 전기적 단선이나, 비트라인의 캐패시턴스 증가에 의한 소자의 특성 저하를 방지할 수 있는 반도체소자의 제조방법을 제공함에 있다. The present invention has been made to solve the above problems, and an object of the present invention is to minimize the damage of the barrier metal layer when forming the bit line contact plug, thereby resulting in electrical disconnection or deterioration of device characteristics due to increased capacitance of the bit line. It is to provide a method of manufacturing a semiconductor device that can prevent the.

상기와 같은 목적을 달성하기 위한 본 발명에 따른 반도체소자 제조방법의 특징은, Features of the semiconductor device manufacturing method according to the present invention for achieving the above object,

소정의 하부 구조물을 가지는 반도체기판상에 랜딩플러그를 구비하는 하부 층간절연막을 형성하는 공정과, Forming a lower interlayer insulating film having a landing plug on a semiconductor substrate having a predetermined lower structure;

상기 하부 층간절연막상에 상기 하부 층간절연막과는 다른 식각비를 가지며 식각장벽층을 구비하는 절연막을 형성하는 공정과,Forming an insulating film on the lower interlayer insulating film, the insulating film having an etch ratio different from the lower interlayer insulating film and having an etch barrier layer;

상기 절연막을 콘택 마스크를 이용한 사진 식각 공정으로 식각하여 콘택홀을 형성하는 공정과, Forming a contact hole by etching the insulating layer by a photolithography process using a contact mask;

상기 구조의 전표면에 장벽금속층과 콘택플러그용 도전층을 순차적으로 형성하는 공정과, SiO2 베이스의 콜로이달형 산화막용 슬러리에 첨가제를 사용하는 CMP 공정으로 상기 식각장벽층이 노출될 때까지 상기 콘택플러그용 도전층과 장벽금속층을 순차적으로 식각하되, 상기 비트라인 콘택홀 내의 장벽금속층이 손상되지 않도록 콘택플러그를 형성하는 공정을 구비함에 있다.Sequentially forming a barrier metal layer and a contact plug conductive layer on the entire surface of the structure, and using a CMP process using an additive in a slurry for colloidal oxide film based on SiO 2 , until the etch barrier layer is exposed. Etching the plug conductive layer and the barrier metal layer in sequence, the contact plug is formed so as not to damage the barrier metal layer in the bit line contact hole.

삭제delete

또한 본 발명의 다른 특징은, 상기 장벽금속층이 Ti, TiN 또는 Ti/TiN 적층 구조이며, 상기 층간절연막은 BPSG, PE-TEOS, LP-TEOS 또는 HDP이며, 상기 CMP 공정은 산화막용 슬러리에 첨가제를 사용하여 식각하고, 상기 CMP 슬러리는 pH 1-9, SiO2 베이스의 콜로이달형이며, 상기 CMP 슬러리는 산성 슬러리에 과수를 0.5-8w% 정도 포함하거나, 구연산을 0.01-10wt% 포함하는 것을 특징으로 한다.In another aspect of the present invention, the barrier metal layer is a Ti, TiN or Ti / TiN laminated structure, the interlayer insulating film is BPSG, PE-TEOS, LP-TEOS or HDP, the CMP process is an additive to the slurry for the oxide film Etched using, the CMP slurry is a colloidal type of pH 1-9, SiO 2 base, the CMP slurry is characterized in that the acid slurry contains about 0.5-8w% of the fruit or 0.01-10wt% citric acid do.

이하, 첨부된 도면을 참조하여 본 발명에 따른 반도체소자의 제조방법에 대하여 상세히 설명을 하기로 한다. Hereinafter, a method of manufacturing a semiconductor device according to the present invention will be described in detail with reference to the accompanying drawings.

도 5a 내지 도 5e는 본 발명에 따른 반도체소자의 제조공정도로서, 랜딩플러그를 구비하는 비트라인 콘택 소자의 예이다. 5A to 5E are diagrams illustrating a process of manufacturing a semiconductor device according to the present invention, which is an example of a bit line contact device having a landing plug.

먼저, 앞서 종래 기술에 설명한 바와 같이, 반도체기판(10)상에 MOS FET의 게이트산화막(12)을 형성하고, 상기 게이트산화막(12)상에 하드마스크층(16) 패턴과 중첩되어있는 게이트전극(14)을 형성한 후, 상기 하드마스크층(16) 패턴과 게이트전극(14)의 측벽에 질화막 재질의 절연 스페이서(18)를 형성한 후, 상기 구조의 전표면에 하부 층간절연막(20)을 도포하고 그 상부를 평탄화 시킨다. 여기서 상기 하드마스크층(16)은 후속 공정에서 게이트의 손상과 배선간 단락을 방지하기 위한 것으로서 질화막 재질로 형성하며, 상기 게이트전극(14)은 다결정실리콘 상에 W이나 텅스텐 실리사이드가 적층되어있는 저저항 구조로서, 이를 패턴닝 하기 위하여 더욱 하드마스크층(16) 패턴의 두께가 증가되어 종횡비가 증가된다. 또한 상기 하부 층간절연막(20) 도포전에 식각장벽층을 형성할 수도 있다. (도 5a 참조). First, as described above in the related art, a gate oxide film 12 of the MOS FET is formed on the semiconductor substrate 10, and the gate electrode overlapping the hard mask layer 16 pattern on the gate oxide film 12. After the 14 is formed, an insulating spacer 18 made of a nitride film is formed on the sidewalls of the hard mask layer 16 pattern and the gate electrode 14, and then the lower interlayer insulating film 20 is formed on the entire surface of the structure. Apply and flatten the top. The hard mask layer 16 is formed of a nitride film to prevent damage to the gate and a short circuit between the wirings in a subsequent process, and the gate electrode 14 is formed of a low W or tungsten silicide layered on polycrystalline silicon. As the resist structure, the thickness of the hard mask layer 16 pattern is further increased to pattern it, thereby increasing the aspect ratio. In addition, an etch barrier layer may be formed before the lower interlayer insulating layer 20 is applied. (See FIG. 5A).

그다음 랜딩 플러그용 식각마스크를 사용한 사진 식각 공정으로 상기 하부 층간절연막(20)을 패턴닝하여 랜딩플러그 콘택홀을 형성하고, 상기 구조의 전표면에 랜딩플러그용 도전층을 도포하여 상기 콘택홀을 메운 후, 상기 도전층을 에치백이나 CMP 등의 방법으로 식각하여 각각의 콘택홀로 분리된 도전층 패턴으로된 랜딩플러그(22)를 형성한다. (도 5b 참조). Then, the lower interlayer insulating layer 20 is patterned to form a landing plug contact hole by a photolithography process using an etching mask for landing plug, and a landing plug conductive layer is applied to the entire surface of the structure to fill the contact hole. Thereafter, the conductive layer is etched by etching, CMP, or the like to form a landing plug 22 having a conductive layer pattern separated into respective contact holes. (See FIG. 5B).

그 다음 상기 구조의 전표면에 절연막을 형성한다. 이때, 상기 절연막은 식각장벽층(26) 단독이나 상부 층간절연막(24) 및 식각장벽층(26)의 적층구조중에서 선택된 어느 하나로 구비되는 것이 바람직하다. 산화막 재질의 상부 층간절연막(24)을 도포한 후, 상기 상부 층간절연막(24)상에 CMP 스톱용의 식각장벽층(26)을 질화막 재질, 예를 들어 Si3N4, SiOxNy 로 형성하고, 상기 랜딩플러그(22)에서 비트라인 콘택으로 예정되어있는 부분상의 식각장벽층(26)과 상부 층간절연막(24)을 콘택 마스크를 사용한 사진 식각 방법으로 순차적으로 제거하여 비트라인 콘택홀을 형성하고, 상기 구조의 전표면에 Ti. TiN 또는 Ti/TiN 적층 구조의 장벽금속층(28)과 플러그 물질인 W층(30)을 순차적으로 도포하여 상기 비트라인 콘택홀을 메운다. 여기서 상부 층간절연막(24)은 BPSG, PE-TEOS, LP-TEOS 또는 HDP 등으로 형성하고, 상기 질화막 재질의 식각장벽층(26)은 Si3N4 또는 SiON등을 사용할 수 있다. (도 5c 참조).An insulating film is then formed on the entire surface of the structure. In this case, the insulating layer may be provided with any one selected from the etch barrier layer 26 alone or the stacked structure of the upper interlayer insulating layer 24 and the etch barrier layer 26. After applying the upper interlayer insulating film 24 of an oxide film material, an etching barrier layer 26 for CMP stop is formed on the upper interlayer insulating film 24 of a nitride film material, for example, Si 3 N 4 , SiOxNy, In the landing plug 22, the etch barrier layer 26 and the upper interlayer insulating layer 24, which are supposed to be bit line contacts, are sequentially removed by a photolithography method using a contact mask to form bit line contact holes. Ti. On the entire surface of the structure. The barrier metal layer 28 having a TiN or Ti / TiN stacked structure and the W layer 30 which is a plug material are sequentially applied to fill the bit line contact hole. The upper interlayer insulating layer 24 may be formed of BPSG, PE-TEOS, LP-TEOS, or HDP, and the etch barrier layer 26 of the nitride film may be formed of Si 3 N 4, SiON, or the like. (See FIG. 5C).

그 후, 상기 W층(30)과 장벽금속층(28)을 순차적으로 CMP하여 W층(30) 및 장벽금속층(28) 패턴으로된 비트라인 콘택플러그를 형성한다. 이때 상기 CMP 공정을 금속층 식각 슬러리가 아닌 산화막용 슬러리에 첨가제를 사용하여 식각하면 상기 식각장벽층(26)에 의해 CMP 장벽이 형성되며, 금속층의 손상도 최소화할 수 있으며, 산화막용 슬러리는 pH 1-9 정도의 것을 사용하고, 산화막용 슬러리는 SiO2 베이스의 콜로이달형이며, 산화막용 슬러리에 과수를 0.5-8wt% 정도 포함하거나, 구연산을 0.01-10wt% 포함할 수도 있다. (도 5d 참조).Thereafter, the W layer 30 and the barrier metal layer 28 are sequentially CMP to form a bit line contact plug having a pattern of the W layer 30 and the barrier metal layer 28. In this case, when the CMP process is etched using an additive in an oxide film slurry rather than an metal layer etching slurry, a CMP barrier is formed by the etching barrier layer 26, and the damage of the metal layer may be minimized. The oxide film slurry is a colloidal type of SiO 2 base, and the slurry for oxide film may contain about 0.5-8 wt% of fruit tree or 0.01-10 wt% of citric acid. (See FIG. 5D).

그다음 상기 구조의 전표면에 W 재질의 비트라인용 도전층(32)을 형성하고, 비트라인 패턴닝 마스크를 이용한 사진식각 공정으로 상기 도전층(32) 패턴닝하여 비트라인을 형성한다. 이때 상기 식각장벽층(26)에 의해 상기 W이 역경사지게 식각되는 것이 방지된다. (도 5e 참조). Then, the bit line conductive layer 32 of W material is formed on the entire surface of the structure, and the bit line pattern is formed by patterning the conductive layer 32 by a photolithography process using a bit line patterning mask. In this case, the W may be prevented from being inclined reversely by the etching barrier layer 26. (See FIG. 5E).

삭제delete

이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 제조방법은 하부 층간절연막에 랜딩플러그를 형성하고, 상부 층간절연막과 식각장벽층을 순차적으로 형성한 후, 비트라인 콘택홀을 형성하고, 콘택플러그 도전층을 도포하고, CMP 공정으로 콘택플러그를 형성하였으므로, 식각장벽층이 CMP 공정시 장벽금속층의 손상을 최소화하고 도 6에서 볼 수 있는 바와 같이 콘택플러그의 장벽금속층이 손상된 것을 보상하여 이로 인한 단선이나 비트라인의 저항 증가를 방지할 수 있으며, 식각장벽층이 산소 장벽이 되어 비트라인 W층의 하부가 손상되는 것을 방지하여 패턴불량이나 비트라인 저항 증가 및 보이드 발생을 방지할 수 있는 이점이 있다. As described above, in the method of manufacturing a semiconductor device according to the present invention, a landing plug is formed in a lower interlayer insulating film, an upper interlayer insulating film and an etch barrier layer are sequentially formed, a bit line contact hole is formed, and a contact plug conductive material is formed. Since the layer was applied and the contact plug was formed by the CMP process, the etch barrier layer minimizes the damage of the barrier metal layer during the CMP process and compensates for the damage of the barrier metal layer of the contact plug as shown in FIG. The resistance of the bit line can be prevented from increasing, and the etch barrier layer becomes an oxygen barrier to prevent the lower portion of the bit line W layer from being damaged, thereby preventing pattern defects, increasing the resistance of the bit line, and generating voids.

도 1은 종래 기술에 따른 반도체소자의 단면 SEM 사진. 1 is a cross-sectional SEM photograph of a semiconductor device according to the prior art.

도 2는 종래 기술에 따른 반도체소자의 CD-SEM 사진. 2 is a CD-SEM photograph of a semiconductor device according to the prior art.

도 3은 종래 기술에 따른 반도체소자의 TEM 사진. 3 is a TEM photograph of a semiconductor device according to the prior art.

도 4는 종래 기술에 따른 반도체소자의 비트라인 형성후의 SEM 사진. Figure 4 is a SEM photograph after the formation of the bit line of the semiconductor device according to the prior art.

도 5a 내지 도 5e는 본 발명에 따른 반도체소자의 제조공정도. 5a to 5e is a manufacturing process diagram of a semiconductor device according to the present invention.

도 6은 본발명에 따른 반도체소자의 TEM 사진. 6 is a TEM photograph of a semiconductor device according to the present invention.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

10 : 반도체기판 12 : 게이트산화막10 semiconductor substrate 12 gate oxide film

14 : 게이트전극 16 : 하드마스크층 14 gate electrode 16 hard mask layer

18 : 절연 스페이서 20 : 하부 층간절연막18: insulating spacer 20: lower interlayer insulating film

22 : 랜딩플러그 24 : 상부 층간절연막22: landing plug 24: upper interlayer insulating film

26 : 식각장벽층 28 : 장벽금속층26: etching barrier layer 28: barrier metal layer

30 : W층 32 : 비트라인용 도전층30: W layer 32: conductive layer for bit line

Claims (9)

소정의 하부 구조물을 가지는 반도체기판상에 랜딩플러그를 구비하는 하부 층간절연막을 형성하는 공정과, Forming a lower interlayer insulating film having a landing plug on a semiconductor substrate having a predetermined lower structure; 상기 하부 층간절연막상에 상기 하부 층간절연막과는 다른 식각비를 가지며 식각장벽층을 구비하는 절연막을 형성하는 공정과, Forming an insulating film on the lower interlayer insulating film, the insulating film having an etch ratio different from the lower interlayer insulating film and having an etch barrier layer; 상기 절연막을 콘택 마스크를 이용한 사진 식각 공정으로 식각하여 콘택홀을 형성하는 공정과, Forming a contact hole by etching the insulating layer by a photolithography process using a contact mask; 상기 구조의 전표면에 장벽금속층과 콘택플러그용 도전층을 순차적으로 형성하는 공정과, Sequentially forming a barrier metal layer and a contact plug conductive layer on the entire surface of the structure; SiO2 베이스의 콜로이달형 산화막용 슬러리에 첨가제를 사용하는 CMP 공정으로 상기 식각장벽층이 노출될 때까지 상기 콘택플러그용 도전층과 장벽금속층을 순차적으로 식각하되, 상기 비트라인 콘택홀 내의 장벽금속층이 손상되지 않도록 콘택플러그를 형성하는 공정을 구비하는 반도체소자의 제조방법.In the CMP process using an additive in the SiO 2 based colloidal oxide film slurry, the contact plug conductive layer and the barrier metal layer are sequentially etched until the etching barrier layer is exposed, and the barrier metal layer in the bit line contact hole is etched. A method of manufacturing a semiconductor device comprising the step of forming a contact plug so as not to be damaged. 제 1 항에 있어서,The method of claim 1, 상기 장벽금속층이 Ti, TiN 또는 Ti/TiN 적층 구조인 것을 특징으로하는 반도체소자의 제조방법. The barrier metal layer is a manufacturing method of a semiconductor device, characterized in that the Ti, TiN or Ti / TiN laminated structure. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막은 BPSG, PE-TEOS, LP-TEOS 및 HDP로 이루어지는 군에서 임의로 선택되는 하나로 형성하는 것을 특징으로하는 반도체소자의 제조방법. The interlayer insulating film is a semiconductor device manufacturing method, characterized in that formed in one selected from the group consisting of BPSG, PE-TEOS, LP-TEOS and HDP. 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 CMP 슬러리는 pH 1-9인 것을 특징으로하는 반도체소자의 제조방법. The CMP slurry is a method for manufacturing a semiconductor device, characterized in that pH 1-9. 제 1 항에 있어서,The method of claim 1, 상기 CMP 슬러리는 슬러리에 과수를 0.5-8wt% 정도 포함하는 것을 특징으로하는 반도체소자의 제조방법. The CMP slurry is a manufacturing method of a semiconductor device, characterized in that containing about 0.5-8wt% fruit tree in the slurry. 제 1 항에 있어서,The method of claim 1, 상기 CMP 슬러리는 슬러리에 구연산을 0.01-10wt% 포함하는 것을 특징으로하는 반도체소자의 제조방법. The CMP slurry is a manufacturing method of a semiconductor device, characterized in that containing 0.01-10wt% citric acid in the slurry. 제 1 항에 있어서,The method of claim 1, 상기 절연막은 식각장벽층 단독이나 상부층간절연막 및 식각장벽층의 적층구조를 구비하는 것을 특징으로 하는 반도체소자의 제조방법. And the insulating film has a lamination structure of an etch barrier layer alone, an upper interlayer insulating film, and an etch barrier layer. 제 8 항에 있어서,The method of claim 8, 상기 식각장벽층은 Si3N4 또는 SiOxNy 재질로 형성하는 것을 특징으로하는 반도체소자의 제조방법.The etching barrier layer is a semiconductor device manufacturing method, characterized in that formed of Si 3 N 4 or SiOxNy material.
KR10-2003-0075099A 2003-10-27 2003-10-27 Manufacturing method for semiconductor device KR100527568B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2003-0075099A KR100527568B1 (en) 2003-10-27 2003-10-27 Manufacturing method for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0075099A KR100527568B1 (en) 2003-10-27 2003-10-27 Manufacturing method for semiconductor device

Publications (2)

Publication Number Publication Date
KR20050039998A KR20050039998A (en) 2005-05-03
KR100527568B1 true KR100527568B1 (en) 2005-11-09

Family

ID=37241909

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0075099A KR100527568B1 (en) 2003-10-27 2003-10-27 Manufacturing method for semiconductor device

Country Status (1)

Country Link
KR (1) KR100527568B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020025806A (en) * 2000-09-29 2002-04-04 가나이 쓰토무 Fabrication method of semiconductor integrated circuit device
KR20020056013A (en) * 2000-12-29 2002-07-10 박종섭 Method for fabricating dual damascene
KR20020093615A (en) * 2001-06-06 2002-12-16 닛본 덴기 가부시끼가이샤 Method of manufacturing semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020025806A (en) * 2000-09-29 2002-04-04 가나이 쓰토무 Fabrication method of semiconductor integrated circuit device
KR20020056013A (en) * 2000-12-29 2002-07-10 박종섭 Method for fabricating dual damascene
KR20020093615A (en) * 2001-06-06 2002-12-16 닛본 덴기 가부시끼가이샤 Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20050039998A (en) 2005-05-03

Similar Documents

Publication Publication Date Title
KR100350764B1 (en) Manufacturing method of semiconductor device
KR100583103B1 (en) Method for fabricating of semiconductor device
KR100527568B1 (en) Manufacturing method for semiconductor device
KR100546168B1 (en) Manufacturing method of semiconductor device
KR20010063763A (en) Manufacturing method for semiconductor device
KR100657083B1 (en) Method for fabricating semiconductor devices
KR100307560B1 (en) Manufacturing method of semiconductor device
KR20060113282A (en) Manufacturing method for semiconductor device
KR20060114446A (en) Manufacturing method for semiconductor device
KR100944344B1 (en) Manufacturing method for semiconductor device
KR100527589B1 (en) Manufacturing method for semiconductor device
KR100333548B1 (en) Fabricating method for semiconductor device
KR100482997B1 (en) Manufacturing method for semiconductor device
KR100535083B1 (en) Manufacturing method for semiconductor device
KR20050014156A (en) Manufacturing method for semiconductor device
KR100304440B1 (en) Manufacturing method of semiconductor device
KR20050040001A (en) Manufacturing method for semiconductor device
KR100861188B1 (en) Manufacturing method for semiconductor device
KR20000043205A (en) Method for forming contact hole of semiconductor device
KR20030058635A (en) Manufacturing method for semiconductor device
KR20060038632A (en) Manufacturing method of semiconductor device
KR20050014173A (en) Manufacturing method for semiconductor device
KR20030059416A (en) Manufacturing method for semiconductor device
KR20050041552A (en) Manufacturing method for semiconductor device
KR20040080574A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101025

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee