KR100474605B1 - Via first dual damascene process for copper metallization - Google Patents

Via first dual damascene process for copper metallization Download PDF

Info

Publication number
KR100474605B1
KR100474605B1 KR10-2002-7018006A KR20027018006A KR100474605B1 KR 100474605 B1 KR100474605 B1 KR 100474605B1 KR 20027018006 A KR20027018006 A KR 20027018006A KR 100474605 B1 KR100474605 B1 KR 100474605B1
Authority
KR
South Korea
Prior art keywords
insulating layer
vias
layer
copper
forming
Prior art date
Application number
KR10-2002-7018006A
Other languages
Korean (ko)
Other versions
KR20030020324A (en
Inventor
브라스가브리엘라
슈뢰더우베폴
할로웨이카렌린
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
인피네온 테크놀로지스 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션, 인피네온 테크놀로지스 아게 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20030020324A publication Critical patent/KR20030020324A/en
Application granted granted Critical
Publication of KR100474605B1 publication Critical patent/KR100474605B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

상호 연결 패턴은 패턴의 비아 및 트렌치는 모두 구리로 충진되는 실리콘 웨이퍼의 상면 상에 형성된다. 비아 및 트렌치를 충진하는 프로세스는 에칭 방지용으로 실리콘 질화물 막을 사용하고 무반사 코팅으로 비아를 충진하는 단계를 포함한다.The interconnect pattern is formed on the top surface of the silicon wafer where both the vias and trenches of the pattern are filled with copper. The process of filling vias and trenches includes using a silicon nitride film for etching prevention and filling the vias with an antireflective coating.

Description

구리 금속 배선용 비아 퍼스트 듀얼 다마신 프로세스{VIA FIRST DUAL DAMASCENE PROCESS FOR COPPER METALLIZATION} Via first dual damascene process for copper metal wiring {VIA FIRST DUAL DAMASCENE PROCESS FOR COPPER METALLIZATION}

본 발명은 반도체인 실리콘 웨이퍼의 라인 백 엔드(back-end-of-the line)의 일부로서 분리회로 부품을 상호 연결하기 위해 구리를 사용하는 집적회로에 관한 것으로, 특히 듀얼 다마신 프로세스에서 트렌치 전에 비아가 에칭될 때, 화학 에칭 동안 구리를 보호해야하는데 필요한 웨이퍼 처리의 변형에 관한 것이다.FIELD OF THE INVENTION The present invention relates to integrated circuits using copper to interconnect discrete circuit components as part of the back-end-of-the line of a semiconductor silicon wafer, particularly prior to trenching in dual damascene processes. When the vias are etched, it relates to the modification of wafer processing needed to protect copper during chemical etching.

더 빠른 집적 회로에 대한 요구에 의해, 기술자는 칩 상의 고체 상태의 부품을 보다 소형화하여 패킹 밀도를 증가시키려 노력하고 있다. 이러한 요구로 인해, 상호 연결 야금은 알루미늄계 금속에서 보다 낮은 저항률을 갖는 구리로 옮겨가고 있다. 높은 전도성과 낮은 가격의 구리는 회로 부품을 상호 연결하는데 매우 적당하다. 또한, 구리는 Al 또는 Al-Cu보다 향상된 전자이동 내성 특성(resistance to electromigration failure)을 가지므로 상대적으로 신뢰할 수 있다.Due to the demand for faster integrated circuits, technicians are trying to increase the packing density by miniaturizing the solid state components on the chip. Due to this demand, interconnect metallurgy is moving from aluminum based metals to copper with lower resistivity. High conductivity and low cost copper are well suited for interconnecting circuit components. In addition, copper has relatively improved reliability to electromigration failure than Al or Al-Cu.

구리는 바람직한 전기적 성질을 가지지만, 통상 사용되는 처리화학물질과 접촉할 때 산화되거나 부식되는 경향이 있다. 그러므로, 구리가 프로세스 동안 노출되는 경우, 즉 커버되지 않는 경우, 구리 금속 배선과 관련된 프로세스는 이들 환경에 영향을 받지 않아야 한다. Al 및 Al-Cu의 라인 백 엔드 금속 배선(back-end-of-the line metallization)은 이들 물질 내의 금속 면을 커버하는 보호 산화물로 인해 부식되는 경향이 없다.Copper has desirable electrical properties, but it tends to oxidize or corrode when contacted with commonly used processing chemicals. Therefore, if copper is exposed during the process, ie not covered, the process associated with copper metal wiring should not be affected by these environments. Back-end-of-the line metallization of Al and Al-Cu does not tend to corrode due to the protective oxides covering the metal faces in these materials.

구리는 싱글 또는 듀얼 다마신 프로세스가 사용될 때 라인 백 엔드 금속으로서 매우 유망한 물질이다. 다마신 프로세스는 절연층에 형성된 일련의 트렌치를 이용한다. 트렌치를 구리로 과충진시킨 후, 화학적, 물리적 폴리싱(Chemical Mechanical Polishing: CMP) 프로세스로 과충진물을 제거한다. 트렌치는 비아와 구분된다. 즉, 트렌치는 연장된 그루브(groove)로써, 일반적으로 실리콘 칩의 상면과 평행하게 연장하며, 라인 백 엔드 프로세스의 동일 레벨상의 회로를 상호 연결하도록 패터닝되는 반면, 비아는 일반적으로 면과 수직으로 연장되는 홀이며, 층에서 층으로 금속 라인을 연결하도록 패터닝된다.Copper is a very promising material as a line back end metal when single or dual damascene processes are used. The damascene process utilizes a series of trenches formed in the insulating layer. After overfilling the trench with copper, the overfill is removed by a chemical mechanical polishing (CMP) process. The trench is separated from the via. That is, the trench is an extended groove, which generally extends parallel to the top surface of the silicon chip, and is patterned to interconnect circuits on the same level of the line back end process, while vias generally extend perpendicular to the face. Holes, which are patterned to connect metal lines from layer to layer.

본 기술은 "트렌치 퍼스트(trench first)" 접근법을 사용한다. 초기에, "비아 퍼스트(via first)" 접근법은 상대적으로 두꺼운 다층의 실리콘 질화물 막의 필요성 때문에 사용되었다. 프로세스 동안 구리를 보호한 실리콘 질화물은 이후에도 필연적으로 많은 활성 영역에 잔존되어야 한다. 그러나, 이들 실리콘 질화물 층은 스택(stack)의 유전성을 상당히 증가시켜 회로의 성능을 악화시켰다. 실리콘 질화물 막이 얇은 경우, 그 막은 비아 에칭 동안 약화된다. 또한, 비아 에칭은 트렌치를 규정하는 산화물에 에칭된다. 0.25 ㎛의 그라운드 룰(ground rule)이 적정인 경우, 라인 규정(line definition)의 작은 변화조차도 치명적인 신뢰성 문제를 야기할 수 있다.The technique uses a "trench first" approach. Initially, a "via first" approach was used because of the need for a relatively thick multilayer silicon nitride film. Silicon nitride, which protects copper during the process, must subsequently remain in many active regions. However, these silicon nitride layers significantly increased the dielectric properties of the stack, worsening the performance of the circuit. If the silicon nitride film is thin, the film is weakened during the via etching. Via etch is also etched into the oxide defining the trench. If a ground rule of 0.25 [mu] m is adequate, even a small change in the line definition can cause fatal reliability problems.

구리가 그의 환경에 상당히 민감한 것으로 알려져 있으므로, 일반적으로 황을 포함하는 포토레지스트 및 산화 화학물질은 프로세스 동안 구리 면과 접촉되지 않아야 한다. 본 발명에서, 실리콘 질화물은 구리를 보호하는 보호층 및 식각 방지용으로 사용된다.Since copper is known to be quite sensitive to its environment, photoresist and oxidizing chemicals, including sulfur in general, should not be in contact with the copper face during the process. In the present invention, silicon nitride is used as a protective layer for protecting copper and for etching prevention.

그러나, "트렌치 퍼스트" 접근법도 역시 한계가 있다. 이들 한계는 웨이퍼의 포토리쏘그래픽 프로세스와 관련이 있다. 트렌치 형상으로 인해 포토레지스트 두께의 차가 발생하는 경우 문제점이 생긴다. 두께의 변화는 예를 들어 DRAM에서 요구되는 넓은 트렌치(넓은 라인) 또는 매우 조밀한 트렌치(간격이 좁은 라인)에서 나타나며, 이로 인해 비아 이미지의 인쇄 왜곡이 발생한다.However, the "trench first" approach is also limited. These limitations are related to the photolithographic process of the wafer. Problems arise when the trench shape causes a difference in photoresist thickness. The change in thickness occurs, for example, in the wide trenches (wide lines) or very dense trenches (narrow lines) required in DRAM, resulting in print distortion of the via image.

본 발명은 비아 및 트렌치를 동시에 에칭하는 동안 실리콘 질화물을 손상시키지 않는 신규한 접근법을 사용하면서, 구리 상에 실리콘 질화물의 보호층을 제공한다.The present invention provides a protective layer of silicon nitride on copper, using a novel approach that does not damage silicon nitride during etching vias and trenches simultaneously.

도 1 내지 6은 반도체 웨이퍼에 형성된 집적회로의 도체의 상호 연결 패턴의 일부로서 금속으로 충진된 비아 및 트렌치를 제공하기 위해, 본 발명의 예시적인 실시예에 따른 프로세스의 연속적 단계에서 반도체 웨이퍼의 일부를 도시한 도면이다.1 through 6 illustrate a portion of a semiconductor wafer in successive steps of a process in accordance with an exemplary embodiment of the present invention to provide vias and trenches filled with metal as part of an interconnection pattern of conductors of integrated circuits formed in the semiconductor wafer. Figure is a diagram.

도면은 반드시 실척일 필요는 없다.The drawings are not necessarily to scale.

본 발명은 듀얼 다마신 프로세스를 사용하는 것에 의해 패시베이션(passivation) 층의 비아(오프닝, 홀) 및 트렌치(그루브)를 형성하기 위한 바람직한 "비아 퍼스트" 접근법의 사용에 관한 것이다.The present invention relates to the use of a preferred "via first" approach for forming vias (openings, holes) and trenches (grooves) of a passivation layer by using a dual damascene process.

일예의 실시예에 있어서, 컨택트 야금(contact metallurry)는 패턴된 글래스 층(예를 들어, 보론 포스포 실리캐이트 글래스(boron phospho silicate galss: BPSG))에 증착되고, 글래스는 평탄화된다. 그후, 실리콘 산화물등의 다른 절연 물질은 글래스 층에 증착되고, 패터닝되어 컨택트와 정렬된 얕은 비아 오프닝(shallow via opening)을 형성한다. 이 비아는 구리로 충진되고, 면은 화학, 물리적 폴리싱에 의해 평탄화된다. 얇은 실리콘 질화물 층은 평탄화된 절연체 면에 증착되어 장벽 층/에칭 방지용으로 작용한다.In one embodiment, contact metallurry is deposited on a patterned glass layer (eg, boron phospho silicate galss (BPSG)) and the glass is planarized. Then, another insulating material, such as silicon oxide, is deposited on the glass layer and patterned to form a shallow via opening aligned with the contact. This via is filled with copper and the face is planarized by chemical and physical polishing. A thin silicon nitride layer is deposited on the planarized insulator face to serve as a barrier layer / etch prevention.

SiO2 층은 실리콘 질화물 층 상에 증착되고 종래의 포토리쏘그래픽 기술을 이용하여 패터닝되어, 이전 비아와 정렬된 비아 홀을 그 내부에 형성한다.The SiO 2 layer is deposited on the silicon nitride layer and patterned using conventional photolithographic techniques to form a via hole therein aligned with the previous via.

본 발명에서, 종래와는 다르게 웨이퍼에 스핀(spin)되는 무반사 코팅 물질(anti-reflective coating material: ARC)이다. ARC의 코팅은 비아를 충진시키고, 얇은 ARC 층으로 면의 나머지 부분을 커버한다. ARC 물질은 적소에 배치되고, 포토레지스트는 웨이퍼에 스핀되고 패터닝되어 트렌치의 형상을 형성한다. 비아를 갖는 SiO2 층은 재차 에칭되어 트렌치를 형성한다. 트렌치 에칭 동안, ARC 물질은 채자 에칭되지만 SiO2와 다른 속도로 에칭된다. 다른 에칭 속도의 결과, ARC 물질의 플러그(plug)는 트렌치 개구 프로세스가 완료된 후 비아의 바닥에 잔존한다. 이 ARC 플러그는 실리콘 질화물이 악화되는 것을 방지하여, 에칭액이 구리와 접촉하지 않기 때문에 아래의 구리를 보호한다.In the present invention, unlike the prior art, it is an anti-reflective coating material (ARC) that spins on a wafer. The coating of ARC fills the vias and covers the rest of the face with a thin layer of ARC. The ARC material is placed in place and the photoresist is spun and patterned onto the wafer to form the shape of the trench. The SiO 2 layer with vias is etched again to form trenches. During the trench etch, the ARC material is etched etched but at a different rate than SiO 2 . As a result of the different etch rates, a plug of ARC material remains at the bottom of the via after the trench opening process is complete. This ARC plug prevents silicon nitride from deteriorating and protects the underlying copper since the etchant does not come into contact with copper.

이를 위해, 본 발명의 일 특징은 실리콘 질화물 막을 사용하여 절연체 층의 에칭 동안 구리를 보호하는 것이다. 특히, 이 실리콘 질화물 층은 얇아 스택의 유전성의 증가가 최소한으로 유지된다.To this end, one feature of the present invention is to protect the copper during the etching of the insulator layer using a silicon nitride film. In particular, this silicon nitride layer is thin so that the dielectric constant of the stack is kept to a minimum.

본 발명의 다른 특징은 무반사 코팅(ARC)을 사용하여 실리콘 질화물 층을 보호하는 것이다. 일반적으로 반도체 칩의 제조에 있어서, 포포레지스트 물질은 보호층으로 사용될 뿐만 아니라, 실리콘, 절연체 및 금속의 부품 규정(component definition)에 포토리쏘그래픽 매체물을 제공한다.Another feature of the present invention is the use of an antireflective coating (ARC) to protect the silicon nitride layer. In general, in the manufacture of semiconductor chips, the phosphorous material is used not only as a protective layer but also provides photolithographic media in component definitions of silicon, insulators and metals.

본 발명의 관련 특징은 비아로부터 완전히는 제거되지 않는 ARC 층의 에칭을 포함한다. 비아 및 트렌치의 에칭이 완료된 후, ARC 코팅은 포토레지스트 스트립 프로세스(photoresist strip process)의 일부로서 제거된다.A related feature of the invention includes the etching of an ARC layer that is not completely removed from the vias. After etching of the vias and trenches is complete, the ARC coating is removed as part of the photoresist strip process.

본 발명의 제 1 프로세스 관점에 따르면, 본 발명은 도전성 컨택트 영역을 갖는 디바이스를 포함하는 반도체 웨이퍼 상에, 반도체 웨이퍼의 상면 상에 배열된 절연층을 관통하는 적어도 일부의 비아 및 일부의 트렌치에 구리를 사용하는 상호 연결 패턴을 형성하는 방법에 관한 것이다. 이 방법은 디바이스 상에 제 1 절연층을 형성하는 단계, 제 1 절연층의 상면으로 부터 그를 관통하여 디바이스의 컨택트 영역과 연통하는 비아를 형성하는 단계, 비아를 도체로 충진하는 단계, 제 1 절연층 상에 제 2 절연층을 형성하는 단계, 제 2 절연층을 관통하여 제 1 절연층의 도체로 충진된 비아와 연통하는 비아를 형성하는 단계, 제 2 절연층을 관통하는 비아를 구리로 충진하는 단계, 제 2 절연층의 상면 상에 제 3 절연층을 형성하는 단계, 제 3 절연층의 상면 상에, 제 3 절연층과 다른 에칭 특성을 갖는 제 4 절연층을 형성하는 단계, 제 4 절연층을 패터닝/에칭하여, 제 2 절연층을 관통하는 구리로 충진된 비아와는 제 3 절연층에 의해서 분리되지만 제 2 절연층을 관통하는 비아와 서로 정렬되는 비아를 형성하는 단계, 제 4 절연층의 상면 상에 무반사 층을 형성하고 제 4 절연층을 관통하는 비아를 무반사 물질로 충진하는 단계, 무반사 층 및 무반사 물질을 패터닝하여 제 4 절연층에 트렌치를 규정하는 단계, 무반사 층과 제 4 절연층의 일부를 제거하여 제 4 절연층에 제 4 절연층을 관통하는 비아의 상면과 연통하는 트렌치를 형성하고, 제 4 절연층을 관통하는 비아의 무반사 물질과 제 2와 제 4 절연층의 비아 사이의 제 3 절연층의 일부를 제거하는 단계, 제 4 절연층의 트렌치 및 비아와 제거된 제 3 절연층 부분을 구리로 충진하는 단계를 포함한다.According to a first process aspect of the present invention, the present invention relates to copper on at least some vias and some trenches that penetrate an insulating layer arranged on a top surface of a semiconductor wafer on a semiconductor wafer comprising a device having a conductive contact region. It relates to a method of forming an interconnection pattern using. The method includes forming a first insulating layer on the device, forming a via penetrating from the top surface of the first insulating layer to communicate with the contact area of the device, filling the via with a conductor, first insulating Forming a second insulating layer on the layer, forming a via penetrating the second insulating layer to communicate with the via filled with the conductor of the first insulating layer, and filling the via passing through the second insulating layer with copper Forming a third insulating layer on the upper surface of the second insulating layer, forming a fourth insulating layer on the upper surface of the third insulating layer, the fourth insulating layer having an etching characteristic different from that of the third insulating layer, and the fourth Patterning / etching the insulating layer to form vias separated from the copper-filled vias passing through the second insulating layer by the third insulating layer but aligned with the vias passing through the second insulating layer, a fourth Antireflection on the top surface of the insulating layer Forming a layer and filling vias through the fourth insulating layer with an antireflective material, patterning the antireflective layer and the antireflective material to define a trench in the fourth insulating layer, removing the antireflective layer and a portion of the fourth insulating layer To form a trench in the fourth insulating layer, the trench communicating with the top surface of the via penetrating the fourth insulating layer, wherein the third insulating layer is formed between the antireflective material of the via penetrating through the fourth insulating layer and the second and fourth insulating layers. Removing a portion of the layer, filling the trench and via of the fourth insulating layer and the removed third insulating layer portion with copper.

본 발명의 제 2 프로세스 관점에 따르면, 본 발명은 반도체 웨이퍼 상에, 그의 위에 마련된 절연층에 위치하고 웨이퍼의 상면과 평행하게 연장하는 트렌치내의 구리 라인과 절연층을 수직으로 연장하는 비아내의 구리 충진물을 갖는 상호 연결 패턴을 형성하는 방법에 관한 것이다. 이 방법은 반도체 웨이퍼의 상면 상에 제 1 절연층을 형성하는 단계, 제 1 절연층의 상면에 트렌치를 형성하고, 디바이스의 컨택트 영역과 연통하도록 제 1 절연층을 관통하는 트렌치의 바닥으로 부터 트렌치와 연통하는 비아를 형성하는 단계, 제 1 절연층의 비아 및 트렌치를 컨택트 금속으로 과충진시키고, 반도체 웨이퍼 상에 제 1 평탄 면이 형성되도록 평탄화하는 단계, 금속으로 충진된 제 1 절연층 상에 제 2 절연층을 형성하는 단계, 제 2 절연층에 비아 및 트렌치를 형성하고 비아 및 트렌치를 구리로 과충진하는 단계, 구리로 충진된 제 2 절연층 상에 제 2 평탄면을 형성하는 단계, 평탄화된 면 상에 실리콘 질화층을 형성하는 단계, 실리콘 질화층 상에, 실리콘 질화층과 다른 에칭 속도를 갖는 제 3 절연층을 형성하는 단계, 실리콘 질화막이 에칭 방지제로 작용하여, 제 3 절연층을 패터닝하여 아래의 구리과 정렬되는 비아를 형성하는 단계, 그를 통해 비아를 충진하는 제 3 절연층의 상면 상에 무반사 물질 층을 형성하는 단계, 무반사층 상에 포토레지스트 층을 증착하여 무반사 물질로 비아를 충진하는 단계, 포토레지스트를 패터닝하고, 비아 내의 무반사층 및 무반사 물질의 노출된 부분과 제 3 절연층의 일부를 에칭하여 제 3 절연층에 트렌치를 형성하는 단계, 패터닝된 포토레지스트, 비아로부터의 무반사 물질, 제 2와 제 3 절연층 사이의 실리콘 질화물 층의 일부를 제거하여, 제 3 절연층에서 그 아래의 각 트렌치와 비아를 제 2 절연층의 비아중 하나와 연통시키는 단계, 제 3 절연층의 비아 및 트렌치, 실리콘 질화물 층의 오프닝을 구리로 과충진하고, 면을 패터닝하여 실리콘 질화물 층의 오프닝을 통해 연장하는 제 3 절연층의 제 2 구리로 충진된 비아 및 트렌치와 제 2 절연층의 비아의 컨택트 구리를 남기는 단계를 포함한다.According to a second process aspect of the invention, the invention relates to a copper fill in a trench on a semiconductor wafer, positioned in an insulating layer provided thereon and extending parallel to the top surface of the wafer, and a copper fill in a via extending the insulating layer vertically. It relates to a method of forming an interconnection pattern having a. The method includes forming a first insulating layer on the top surface of the semiconductor wafer, forming a trench on the top surface of the first insulating layer, and trenching from the bottom of the trench through the first insulating layer to communicate with the contact area of the device. Forming vias in communication with the substrate, overfilling the vias and trenches of the first insulating layer with a contact metal, and planarizing to form a first flat surface on the semiconductor wafer, on the first insulating layer filled with metal Forming a second insulating layer, forming vias and trenches in the second insulating layer and overfilling the vias and trenches with copper, forming a second flat surface on the second insulating layer filled with copper, Forming a silicon nitride layer on the planarized surface, forming a third insulating layer on the silicon nitride layer having an etching rate different from that of the silicon nitride layer, the silicon nitride film being etched Acting as a paper, patterning the third insulating layer to form vias aligned with the underlying copper, thereby forming a layer of antireflective material on the top surface of the third insulating layer filling the vias, the photo on the antireflective layer Depositing a resist layer to fill the via with an antireflective material, patterning the photoresist, etching the exposed portion of the via and the antireflective material and a portion of the third insulating layer to form a trench in the third insulating layer Removing the portion of the silicon nitride layer between the second and third insulating layers, the patterned photoresist, the antireflective material from the vias, and the respective trenches and vias below the third insulating layer In communication with one of the vias and trenches of the third insulating layer, overfilling the opening of the silicon nitride layer with copper, and patterning the face to off of the silicon nitride layer. The second step includes leaving the contact of the copper vias and trenches with the second insulating layer filled with the second insulating layer 3 of copper vias extending through.

이러한 본 발명의 목적, 특징, 장점들은 이하 첨부된 도면을 참조하면서 상세하게 설명될 실시예에 의해 명확하게 될 것이다.These objects, features, and advantages of the present invention will become apparent from the following detailed description of exemplary embodiments with reference to the accompanying drawings.

본 명세서에 있어서, 듀얼 다마신 프로세스의 라인 백 엔드(back-end-of-the line) 프로세스는 비아 및 트렌치가 도전성 상호 연결 패턴의 다른 레벨 사이의 실리카 글래스 절연층 또는 실리콘 산화물에 에칭되는 것을 요구한다. "비아 퍼스트(via first)" 접근법을 위해, 비아 및 트렌치는 구리가 증착되기 전에 적소에 존재할 필요가 있다. 한번의 증착 프로세스로 비아 및 트렌치를 충진시켜 프로세스의 복잡성을 감소시킬 수 있는 효과가 있다. 제 1 레벨의 구리 라인과 제 1 비아 사이에 금속 대 금속의 접촉이 이루어지도록, 제 1 레벨의 금속을 보호하기 위한 실리콘 질화물 막은 연속적으로 제거되어야 한다.In the present specification, the back-end-of-the line process of the dual damascene process requires vias and trenches to be etched into a silica glass insulating layer or silicon oxide between different levels of the conductive interconnect pattern. do. For the "via first" approach, vias and trenches need to be present in place before copper is deposited. Filling vias and trenches in one deposition process can reduce the complexity of the process. The silicon nitride film for protecting the metal of the first level must be removed continuously so that metal to metal contact is made between the first level of the copper line and the first via.

상술한 바와 같이, "트렌치 퍼스트(trench first)" 접근법을 사용하는 것은 통상적인 방법이다. "비아 퍼스트" 접근법의 채용은 프로세스 동안 구리가 보호되어 남아 있어 그의 전도성이 악화하지 않는 한, 많은 장점을 제공한다.As mentioned above, it is common practice to use a "trench first" approach. The adoption of a "via first" approach offers many advantages as long as copper remains protected during the process and its conductivity does not deteriorate.

도 1에는 통상 보론 포스포 실리케이트 글래스(boron phospho silicate glass: BPSG)로 이루어지는 절연(유전)층(10)이 형성된 실리콘 웨이퍼(100)의 일부가 도시되어 있다. 도시된 바와 같이, 웨이퍼(100)은 그의 상면(100a)에 형성된 절연 게이트 전계 효과 트랜지스터를 갖는다. 전계효과 트랜지스터(디바이스)는 확산 영역(12a, 12c), 드레인 영역, 소스 영역, 게이트 영역(12b)을 포함하며, 게이트 영역(12b)는 웨이퍼(100) 상면(100a)에 배열되며, 유전 게이트 층(13) 상의 영역(12a)와 (12b) 사이의 중앙에 위치한다. 일반적으로, 유전층(13)과 게이트 영역(12b)이 우선적으로 형성되며, 영역(12b)는 영역(12a) 및 (12c)가 게이트 영역(12b)와 자기 정렬(self align)되도록 허용하는 마스크 역할을 한다. 종래의 포토프로세스를 실행하여 층(10)을 패터닝하고, 에칭 프로세스를 실행하여 층(10)을 관통하는 비아(오프닝)을 형성하여 확산 영역(12a, 12c) 및 게이트 영역(12b)을 노출시킨다. 일반적으로, 트렌치는 층(10)의 상면(10a)에 에칭된다. 그후, 층(10)의 비아 및 트렌치는 통상 텅스텐(W)인 금속(16a, 16b, 16c)로 과충진되고, 화학-물리적 폴리싱에 의해 평탄면(10a)을 형성한다. 일반적으로 SiO2로 이루어지는 절연층(18)은 평탄면(10a) 상에 증착된다. 층(18)에 종래의 포토레지스트 및 에칭을 사용하여 구리(22a, 22b, 22c)로 과충진된 비아 및 트렌치를 형성하여, 각각 텅스텐(16a, 16b, 16c)과 금속 대 금속 접촉을 제공한다. 제 1 다마신 프로세스는 층(18)의 상면(18a)이 화학, 물리적 폴리싱에 의해 평탄화되는 것에 의해 완결된다.FIG. 1 illustrates a portion of a silicon wafer 100 having an insulating (dielectric) layer 10 made of boron phospho silicate glass (BPSG). As shown, the wafer 100 has an insulated gate field effect transistor formed on its top surface 100a. The field effect transistor (device) includes diffusion regions 12a and 12c, a drain region, a source region, and a gate region 12b, and the gate region 12b is arranged on the upper surface 100a of the wafer 100 and the dielectric gate It is located centrally between the regions 12a and 12b on the layer 13. In general, dielectric layer 13 and gate region 12b are preferentially formed, and region 12b serves as a mask to allow regions 12a and 12c to self align with gate region 12b. Do it. A conventional photoprocess is performed to pattern the layer 10 and an etching process is performed to form vias (openings) through the layer 10 to expose the diffusion regions 12a, 12c and the gate region 12b. . In general, the trenches are etched into the top surface 10a of the layer 10. The vias and trenches of layer 10 are then overfilled with metals 16a, 16b, 16c, typically tungsten (W), to form flat surface 10a by chemical-physical polishing. In general, an insulating layer 18 made of SiO 2 is deposited on the flat surface 10a. Using photoresist and etching on layer 18 to form vias and trenches overfilled with copper 22a, 22b, 22c to provide metal-to-metal contact with tungsten 16a, 16b, 16c, respectively. . The first damascene process is completed by planarizing the top surface 18a of layer 18 by chemical and physical polishing.

도 2에는 통상 PECVD법에 의해 형성되는 50nm의 실리콘 질화물로 이루어지는 절연층(24)이 에칭 장벽/캡(cap) 층의 역할을 하도록 면(18a)에 증착되고, 통상 SiO2로 이루어지는 절연층(26)이 실리콘 질화물 층(24)의 상면(24a)에 각각 증착된 후의 웨이퍼(100)가 도시되어 있다. 그후, 포토레지스트(미도시)가 층(26)에 스핀된다. 포토레지스트가 패터닝된 후, 층(26)은 반응성 이온 에칭되어 비아(28a, 28b, 28c)를 개구시킨다. 포토레지스트와 노출된 층(26)의 일부를 제거하기 위해 채용되는 후 에칭 처리(post etch treatment)는 실리콘 질화물 장벽 층(24)에서 정지된다. 이 프로세스는 선단부에서의 반응성 이온 에칭의 지체(reactive ion etch(RIE) lag)를 발생시키지 않고 비아(28a, 28b, 28c)를 완전히 개구시키는 고정밀의 선택성을 부여한다.In Fig. 2, an insulating layer 24 made of 50 nm silicon nitride, which is usually formed by PECVD, is deposited on the surface 18a so as to serve as an etching barrier / cap layer, and an insulating layer usually made of SiO 2 ( Shown is wafer 100 after 26 has been deposited on top 24a of silicon nitride layer 24, respectively. Thereafter, a photoresist (not shown) is spun into layer 26. After the photoresist is patterned, layer 26 is reactive ion etched to open vias 28a, 28b, 28c. Post etch treatment employed to remove the photoresist and a portion of the exposed layer 26 is stopped at the silicon nitride barrier layer 24. This process gives high precision selectivity to completely open vias 28a, 28b, 28c without generating a reactive ion etch (RIE) lag at the tip.

도 3에는 상대적으로 얇은 무반사 코팅(anti-reflexive coating) (30)이 웨이퍼(100)에 스핀되어 면을 커버하고 층(26)의 비아(28a, 28b, 28c)를 충진시킨 후의 웨이퍼(100)가 도시되어 있다. 비아(28a, 28b, 28c)가 충진되어 보이드(void)가 존재하지 않는 것을 보장하는 것이 중요하다. 그러나 실제로, 프로세스된 웨이퍼의 단면은 ARC 물질이 트렌치(28a, 28b, 28c)를 그의 높이의 대략 3/4 까지 충진시키는 것을 보여 준다.3, a relatively thin anti-reflexive coating 30 is spun onto the wafer 100 to cover the surface and fill the vias 28a, 28b, 28c of the layer 26. Is shown. It is important that vias 28a, 28b, 28c are filled to ensure no voids are present. In practice, however, the cross-section of the processed wafer shows that the ARC material fills the trenches 28a, 28b, 28c to approximately three quarters of their height.

예를 들어, 등급 1100A인 ARC 물질은 (처음에는 95 ℃에서 그후 180 ℃)에서 가열되고, C4F8 + O2 로 40초 동안 SiO2 (26)의 표면 부터 비선택 반응성 이온 에칭된다. 그후, 통상 DUV 30 MCSIII/JSR 130/6250의 포토레지스트 층(32)는 웨이퍼(100)에서 스핀되고 패터닝되어 층(30)의 일부를 노출시키는 오프닝(31a, 31b, 31c)을 형성한다. 비아(28a) 보다 넓은 오프닝(31a)은 비아(28a) 상에 그와 연통되도록 위치한다. 비아(28b)보다 넓은 오프닝(31b)은 비아(28b) 상에 그와 연통되도록 위치한다. 비아(28c)보다 넓은 오프닝(31c)은 비아(28c) 상에 그와 연통되도록 위치한다.For example, an ARC material of grade 1100A (first at 95 ° C. and then at 180 ° C.) is heated and non-selectively reactive ion etched from the surface of SiO 2 26 for 40 seconds with C 4 F 8 + O 2 . The photoresist layer 32, typically of DUV 30 MCSIII / JSR 130/6250, is then spun and patterned on the wafer 100 to form openings 31a, 31b, 31c exposing a portion of the layer 30. An opening 31a wider than via 28a is positioned to communicate with it on via 28a. An opening 31b wider than via 28b is positioned to communicate with it on via 28b. An opening 31c wider than via 28c is positioned to communicate with it on via 28c.

통상 40초 동안 지속되는 저 선택적 반응성 이온 에칭(low selective reactive ion etch)은 C4F8, Ar 및 O2의 조합을 사용하고, ARC 층(30)의 노출 부분을 에칭하기 위해 사용되어 그 후에 에칭되는 층(26)의 일부를 노출시킨다. 그 결과, 비아(28a, 28b, 28c)와 각각 연통하는 트렌치(36a, 36b, 36c)가 형성된다. 도 4에는 이러한 에칭 이후, 비아(28a, 28b, 28c)의 바닥에 잔존하는 ARC 플러그(30a, 30b, 30c)가 도시되어 있다. 이것은 ARC 물질이 층(26)의 SiO2 보다 늦은 속도로 제거되기 때문이다. 이것은 주위의 SiO2 에칭의 환경이 실리콘 질화물 층(24)에 영향을 미치는 것을 방지한다. 또한, 도 4는 산화층(26)이 에칭되어 트렌치(36a, 36b, 36c)와 비아(28a, 28b, 28c)를 서로 각각 통합시키는 것을 보여 준다.Low selective reactive ion etch, which typically lasts for 40 seconds, is used to etch exposed portions of ARC layer 30 using a combination of C 4 F 8 , Ar and O 2 , and then Expose a portion of layer 26 to be etched. As a result, trenches 36a, 36b, 36c in communication with the vias 28a, 28b, 28c are formed. 4 shows ARC plugs 30a, 30b, 30c remaining at the bottom of vias 28a, 28b, 28c after such etching. This is because ARC material is removed at a slower rate than SiO 2 of layer 26. This prevents the environment of surrounding SiO 2 etching from affecting the silicon nitride layer 24. 4 also shows that oxide layer 26 is etched to integrate trenches 36a, 36b, 36c and vias 28a, 28b, 28c, respectively.

ARC 물질(30)이 비아 내에 있을 때, 산화물 층(26)의 에칭은 에칭 프로세스를 SiO2 뿐만이 아닌 SiO2와 ARC 물질의 에칭과 서로 양립할 수 있도록 조절하는 것에 의해, "펜스(fence)"의 형성없이 달성된다. 펜스는 에칭제가 비아로부터 물질을 그의 위치에 따라 다른 에칭 속도로 제거하는 경우 형성된다. 예를 들어, ARC/산화물 계면에서 ARC 물질과 비교할 때, 비아의 중앙부의 ARC 물질에 대해 다른 에칭 속도를 나타냄을 알 수 있다.When the ARC material 30 is in the vias, the etching of the oxide layer 26 is “fence” by adjusting the etching process to be compatible with the etching of SiO 2 and ARC materials as well as SiO 2. Is achieved without the formation of. A fence is formed when the etchant removes material from the via at a different etch rate depending on its location. For example, it can be seen that when compared to ARC material at the ARC / oxide interface, it exhibits different etch rates for the ARC material in the center of the via.

20-40초 동안의 후 에칭 처리는 비아(28a, 28b, 28c)로부터 잔존하는 ARC 물질(30a, 30b, 30c)을 각각 제거한다. 그후, 실리콘 질화물 층(24)은 대략 35초 동안 CHF3 + O2를 사용하여 선택적으로 에칭, 제거된다. 모든 트렌치가 그들과 연통하는 비아보다 넓지만, 한 비아의 한 측면 이상으로 연장될 필요는 없다.The post etching process for 20-40 seconds removes remaining ARC material 30a, 30b, 30c from the vias 28a, 28b, 28c, respectively. The silicon nitride layer 24 is then selectively etched away using CHF 3 + O 2 for approximately 35 seconds. All trenches are wider than vias in communication with them, but need not extend beyond one side of a via.

통상의 세정 공정이 완료되면, 도 4의 구조는 이미 구리로 금속 충진된다.Once the normal cleaning process is complete, the structure of FIG. 4 is already metal filled with copper.

도 5에는 비아/트렌치 오프닝(28a/36a, 28b/36b)가 전기도금된 구리(40)로 과충진된 후의 웨이퍼(100)가 도시되어 있다. 5 shows the wafer 100 after via / trench openings 28a / 36a, 28b / 36b are overfilled with electroplated copper 40.

도 6에는 얻어진 상면(42)이 도체(40a, 40b, 40c)를 남겨두고 과잉 구리를 제거하는 화학-물리적 폴리싱에 의해 평탄화된 후의 웨이퍼(100)가 도시되어 있다. 또한, 도 6에는 이 레벨의 금속 배선을 위한 최종 듀얼 다마신 프로세스의 결과가 도시되어 있다.FIG. 6 shows the wafer 100 after the resulting top surface 42 is planarized by chemical-physical polishing to remove excess copper leaving the conductors 40a, 40b, 40c. 6 also shows the results of the final dual damascene process for this level of metallization.

상술된 실시예는 본 발명의 일반적인 원칙의 예시에 불과 하며, 당업자가 알고 있는 원칙을 이탈하지 않는 한 다양한 다른 실시예가 있을 수 있음은 물론이다. 예를 들어, 절연층은 실리콘 이산화물 이외의 물질일 수도 있고, 반도체 디바이스를 컨택트하는 금속은 알루미늄일 수도 있다. 또한, 어떤 응용예에 있어서는 트렌치의 일부 또는 전부는 절연층을 완전히 연장하는 비아와 함께 사용될 필요가 없다. 그리고, 본 발명의 신규한 프로세스는 도체의 제 1 레벨의 트렌치 부에서 시작될 수 있고, 금속 (16a, 16b, 16c)는 비아내에서 텅스텐이고 트렌치 내에서는 구리일 수도 있다.The above-described embodiments are merely illustrative of the general principles of the invention, and of course, there can be various other embodiments without departing from the principles known to those skilled in the art. For example, the insulating layer may be a material other than silicon dioxide, and the metal for contacting the semiconductor device may be aluminum. Also, in some applications, some or all of the trenches do not need to be used with vias that fully extend the insulating layer. And the novel process of the present invention may begin in the trench portion of the first level of the conductor, and the metals 16a, 16b, 16c may be tungsten in the vias and copper in the trenches.

Claims (17)

도전성 컨택트 영역을 갖는 디바이스를 포함하는 반도체 웨이퍼(100) 상에, 상기 반도체 웨이퍼의 상면 상에 배열된 절연층을 관통하는 적어도 일부의 비아 및 일부의 트렌치에 구리를 사용하는 상호 연결 패턴을 형성하는 방법에 있어서,Forming an interconnection pattern using copper on at least some vias and some trenches that penetrate an insulating layer arranged on the top surface of the semiconductor wafer, the semiconductor wafer 100 comprising a device having a conductive contact region In the method, 상기 디바이스 상에 제 1 절연층(10)을 형성하는 단계,Forming a first insulating layer 10 on the device, 상기 제 1 절연층(10)의 상면(10a)으로 부터 그를 관통하여 상기 디바이스의 상기 컨택트 영역과 연통하는 비아(16a, 16b, 16c)를 형성하는 단계,Forming vias 16a, 16b, 16c penetrating from the top surface 10a of the first insulating layer 10 to communicate with the contact region of the device, 상기 비아(16a, 16b, 16c)를 도체로 충진하는 단계,Filling the vias 16a, 16b, 16c with a conductor, 상기 제 1 절연층(10) 상에 제 2 절연층(18)을 형성하는 단계,Forming a second insulating layer 18 on the first insulating layer 10, 상기 제 2 절연층(18)을 관통하여 상기 제 1 절연층(10)의 도체로 충진된 비아(16a, 16b, 16c)와 연통하는 비아(22a, 22b, 22c)를 형성하는 단계,Forming vias (22a, 22b, 22c) passing through the second insulating layer (18) to communicate with vias (16a, 16b, 16c) filled with a conductor of the first insulating layer (10); 상기 제 2 절연층(18)을 관통하는 상기 비아(22a, 22b, 22c)를 구리로 충진하는 단계,Filling the vias 22a, 22b, 22c penetrating the second insulating layer 18 with copper; 상기 제 2 절연층(18)의 상면(18a) 상에 제 3 절연층(24)을 형성하는 단계,Forming a third insulating layer 24 on the upper surface 18a of the second insulating layer 18, 상기 제 3 절연층(24)의 상면(24a) 상에, 제 3 절연층(24)과 다른 에칭 특성을 갖는 제 4 절연층(26)을 형성하는 단계,Forming a fourth insulating layer 26 having an etching characteristic different from that of the third insulating layer 24 on the upper surface 24a of the third insulating layer 24, 상기 제 4 절연층(26)을 패터닝/에칭하여, 상기 제 2 절연층(18)을 관통하는 상기 구리로 충진된 비아(22a, 22b, 22c)와는 상기 제 3 절연층(24)에 의해서 분리되지만 그들과 서로 정렬되는 비아(28a, 28b, 28c)를 형성하는 단계,The fourth insulating layer 26 is patterned / etched to separate the copper-filled vias 22a, 22b, 22c penetrating the second insulating layer 18 by the third insulating layer 24. Forming vias 28a, 28b, 28c but aligned with one another, 상기 제 4 절연층(26)의 상면 상에 무반사 층(30)을 형성하고 상기 제 4 절연층(26)을 관통하는 비아(28a, 28b, 28c)를 무반사 물질로 충진하는 단계,Forming an antireflective layer 30 on the upper surface of the fourth insulating layer 26 and filling vias 28a, 28b, and 28c penetrating the fourth insulating layer 26 with an antireflective material, 상기 무반사 층(30) 및 무반사 물질을 패터닝하여 상기 제 4 절연층(26)에 트렌치를 규정하는 단계,Patterning the antireflective layer 30 and the antireflective material to define a trench in the fourth insulating layer 26, 상기 무반사 층(30)과 상기 제 4 절연층(26)의 일부를 제거하여 상기 제 4 절연층(26)에 상기 제 4 절연층(26)을 관통하는 상기 비아(28a, 28b, 28c)의 상면과 연통하는 트렌치(31a, 31b, 31c)를 형성하고, 상기 무반사 층(30)과 상기 제 4 절연층(26)의 일부를 제 1 에칭 수단으로 제거하여 상기 제 4 절연층(26)의 비아(28a, 28b, 28c)의 바닥에 잔존하는 상기 무반사 층(30)의 플러그(30a, 30b, 30c)를 남겨 두는 단계,A portion of the vias 28a, 28b and 28c penetrating the fourth insulating layer 26 through the fourth insulating layer 26 by removing a portion of the antireflective layer 30 and the fourth insulating layer 26. The trenches 31a, 31b, and 31c communicating with the upper surface are formed, and the antireflective layer 30 and a part of the fourth insulating layer 26 are removed by a first etching means to remove the fourth insulating layer 26. Leaving the plugs 30a, 30b, 30c of the antireflective layer 30 remaining at the bottom of the vias 28a, 28b, 28c, 상기 제 4 절연층(26)의 플러그 및 상기 제 2 절연층(18)의 비아와 상기 제 4 절연층(26)의 비아 사이의 상기 제 3 절연층(24) 부분을 제 2 에칭 수단을 사용하여 제거하는 단계,The second etching means uses a portion of the third insulating layer 24 between the plug of the fourth insulating layer 26 and the via of the second insulating layer 18 and the via of the fourth insulating layer 26. Removing by 상기 제 4 절연층의 트렌치 및 비아와 제거된 상기 제 3 절연층 부분을 구리로 충진하는 단계를 포함하는Filling trenches and vias of said fourth insulating layer and portions of said third insulating layer removed with copper. 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 1 항에 있어서,The method of claim 1, 상기 제 4 절연층(26)의 비아(28a, 28b, 28c) 및 트렌치(30a, 30b, 30c)는 구리로 과충진되고, 화학, 물리적 폴리싱이 상기 형성된 구조물을 평탄화시키는데 채용되는Vias 28a, 28b and 28c and trenches 30a, 30b and 30c of the fourth insulating layer 26 are overfilled with copper and chemical and physical polishing are employed to planarize the formed structure. 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 제 1 절연층(10)은 BPSG로 이루어지고, 상기 제 2 및 제 4 절연층(18, 26)은 실리콘 산화물로 이루어지며, 상기 제 3 절연층(24)은 실리콘 질화물로 이루어지는The first insulating layer 10 is made of BPSG, the second and fourth insulating layers 18 and 26 are made of silicon oxide, and the third insulating layer 24 is made of silicon nitride. 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 도체는 텅스텐인The conductor is tungsten 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 도체는 알루미늄인The conductor is aluminum 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 제 1 절연층(10)의 상면(10a)에, 상기 제 1 절연층(10)을 관통하는 비아(16a, 16b, 16c)와 각각 연통하고, 도체로 각각 충진되는 별도의 트렌치를 형성하는 단계,On the upper surface 10a of the first insulating layer 10, respectively communicating with vias 16a, 16b, 16c penetrating through the first insulating layer 10, forming a separate trench each filled with a conductor step, 상기 제 1 절연층(10)의 비아(16a, 16b, 16c) 및 트렌치를 도체로 과충진하고, 화학, 물리적 폴리싱을 사용하여 그들을 평탄화시키는 단계,Overfilling the vias 16a, 16b, 16c and trenches of the first insulating layer 10 with conductors and planarizing them using chemical and physical polishing, 상기 제 2 절연층(18)의 상면(18a)에, 상기 제 2 절연층(18)의 비아(22a, 22b, 22c)와 각각 연통하고, 구리로 각각 충진되는 별도의 트렌치를 형성하는 단계,Forming a separate trench on the top surface 18a of the second insulating layer 18, communicating with the vias 22a, 22b, 22c of the second insulating layer 18, respectively, filled with copper; 상기 제 2 절연층(18)의 비아(22a, 22b, 22c) 및 트렌치를 구리로 과충진하고, 화학, 물리적 폴리싱을 사용하여 그들을 평탄화시키는 단계를 더 포함하는Overfilling the vias 22a, 22b, 22c and trenches of the second insulating layer 18 with copper and planarizing them using chemical and physical polishing. 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 1 항 또는 제 2 항에 있어서,The method according to claim 1 or 2, 상기 무반사 층(30)과 무반사 물질은 상기 제 3 절연층(24)과 다른 에칭 속도를 갖는The antireflective layer 30 and the antireflective material have an etching rate different from that of the third insulating layer 24. 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 제 3 항에 있어서,The method of claim 3, wherein 상기 제 3 절연층(24)의 실리콘 질화물은 플라즈마 여기 화학 기상 증착법에 의해 증착되는Silicon nitride of the third insulating layer 24 is deposited by plasma excited chemical vapor deposition 상호 연결 패턴 형성 방법.How to form an interconnect pattern. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR10-2002-7018006A 2000-06-30 2001-07-02 Via first dual damascene process for copper metallization KR100474605B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US60854000A 2000-06-30 2000-06-30
US09/608,541 2000-06-30
US09/608,540 2000-06-30
PCT/US2001/021161 WO2002003457A2 (en) 2000-06-30 2001-07-02 Via first dual damascene process for copper metallization

Publications (2)

Publication Number Publication Date
KR20030020324A KR20030020324A (en) 2003-03-08
KR100474605B1 true KR100474605B1 (en) 2005-03-10

Family

ID=24436949

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-7018006A KR100474605B1 (en) 2000-06-30 2001-07-02 Via first dual damascene process for copper metallization

Country Status (4)

Country Link
JP (1) JP2004503089A (en)
KR (1) KR100474605B1 (en)
TW (1) TW519725B (en)
WO (1) WO2002003457A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025480A (en) 2020-08-24 2022-03-03 주식회사 세움피엔에프 Horizontal moving device of combined exercise equipment
KR20220098929A (en) 2021-01-05 2022-07-12 최순복 Pilates ladder barrel

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113394184B (en) * 2021-06-09 2022-06-17 武汉新芯集成电路制造有限公司 Semiconductor device and method for manufacturing the same
US11876047B2 (en) 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6245662B1 (en) * 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
JP2000150644A (en) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp Manufacture of semiconductor device
KR100452418B1 (en) * 1999-06-30 2004-10-12 인텔 코오퍼레이션 Method of protecting an underlying wiring layer during dual damascene processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220025480A (en) 2020-08-24 2022-03-03 주식회사 세움피엔에프 Horizontal moving device of combined exercise equipment
KR20220098929A (en) 2021-01-05 2022-07-12 최순복 Pilates ladder barrel

Also Published As

Publication number Publication date
KR20030020324A (en) 2003-03-08
JP2004503089A (en) 2004-01-29
TW519725B (en) 2003-02-01
WO2002003457A2 (en) 2002-01-10
WO2002003457A3 (en) 2002-06-06

Similar Documents

Publication Publication Date Title
US6861347B2 (en) Method for forming metal wiring layer of semiconductor device
US7538023B2 (en) Method of manufacturing a semiconductor wafer device having separated conductive patterns in peripheral area
US6294315B2 (en) Method of forming a metal wiring by a dual damascene process using a photosensitive polymer
US7470616B1 (en) Damascene wiring fabrication methods incorporating dielectric cap etch process with hard mask retention
US6268283B1 (en) Method for forming dual damascene structure
US6576550B1 (en) ‘Via first’ dual damascene process for copper metallization
US5982035A (en) High integrity borderless vias with protective sidewall spacer
KR19990006766A (en) Dual inlaid integrated circuit with selectively disposed low k dielectric isolation regions and method of forming the same
US6372635B1 (en) Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
JP2002313910A (en) Semiconductor device and method of manufacturing the same
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
US6521524B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US6362527B1 (en) Borderless vias on bottom metal
US6383919B1 (en) Method of making a dual damascene structure without middle stop layer
US6660619B1 (en) Dual damascene metal interconnect structure with dielectric studs
US6054389A (en) Method of forming metal conducting pillars
JP3461761B2 (en) Method for manufacturing semiconductor device
US6350695B1 (en) Pillar process for copper interconnect scheme
US6429116B1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
KR100474605B1 (en) Via first dual damascene process for copper metallization
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
US6365505B1 (en) Method of making a slot via filled dual damascene structure with middle stop layer
US7112537B2 (en) Method of fabricating interconnection structure of semiconductor device
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
US6444573B1 (en) Method of making a slot via filled dual damascene structure with a middle stop layer

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130215

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140214

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150217

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160212

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170210

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180219

Year of fee payment: 14