KR100338768B1 - Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer - Google Patents

Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer Download PDF

Info

Publication number
KR100338768B1
KR100338768B1 KR1019990046365A KR19990046365A KR100338768B1 KR 100338768 B1 KR100338768 B1 KR 100338768B1 KR 1019990046365 A KR1019990046365 A KR 1019990046365A KR 19990046365 A KR19990046365 A KR 19990046365A KR 100338768 B1 KR100338768 B1 KR 100338768B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
oxide film
susceptor
wafer
Prior art date
Application number
KR1019990046365A
Other languages
Korean (ko)
Other versions
KR20010038404A (en
Inventor
정승필
장규환
이선정
이근택
박임수
이광욱
이문희
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019990046365A priority Critical patent/KR100338768B1/en
Priority to JP2000321430A priority patent/JP4871444B2/en
Publication of KR20010038404A publication Critical patent/KR20010038404A/en
Application granted granted Critical
Publication of KR100338768B1 publication Critical patent/KR100338768B1/en
Priority to US10/997,902 priority patent/US7488688B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

자연 산화막 등의 산화막을 제거하는 방법 및 이에 사용되는 반도체 제조 장치에 대해 기재되어 있다. 실리콘웨이퍼를 공정 챔버의 하단부에 설치되고 상.하로 이동이 가능한 서스셉터가 상기 공정 챔버의 하단부에 위치한 상태에서 서스셉터 상에 탑재한다. 진공 챔버 내부가 진공 상태가 되도록 배기한다. 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공정 챔버 내부로 공급하여 실리콘웨이퍼 표면의 산화막과 화학적으로 반응시킴으로써 반응층을 형성한다. 서스셉터를 진공 챔버 상단부로 이동시킨다. 진공 챔버 상단부에 설치된 히터로 서스셉터 상에 탑재된 실리콘웨이퍼를 어닐함으로써 반응층을 기화시킨다. 실리콘웨이퍼로부터 기화된 반응층을 배기시킨다. 따라서, 본 발명에 따르면, 산화막의 하부 막질을 손상시키거나 오염시키기 않으면서도 높은 식각 선택비를 갖고 산화막을 제거할 수 있다.A method for removing an oxide film such as a natural oxide film and a semiconductor manufacturing apparatus used therein are described. The silicon wafer is mounted on the susceptor in a state where a susceptor that is installed at the lower end of the process chamber and movable up and down is located at the lower end of the process chamber. The vacuum chamber is evacuated to a vacuum state. A reaction layer is formed by supplying a gas containing hydrogen gas and fluorine in a plasma state into the process chamber and chemically reacting with an oxide film on the surface of the silicon wafer. Move the susceptor to the top of the vacuum chamber. The reaction layer is vaporized by annealing the silicon wafer mounted on the susceptor with a heater installed at the upper end of the vacuum chamber. The vaporized reaction layer is evacuated from the silicon wafer. Therefore, according to the present invention, the oxide film can be removed with a high etching selectivity without damaging or contaminating the lower film quality of the oxide film.

Description

산화막 제거 방법 및 산화막 제거를 위한 반도체 제조 장치{Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer}Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer

본 발명은 반도체 소자의 제조 방법 및 이를 위한 반도체 장치에 관한 것으로, 특히 자연산화막 등의 산화막을 제거하는 방법 및 이에 사용되는 반도체 제조 장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device and a semiconductor device therefor, and more particularly, to a method for removing an oxide film such as a natural oxide film and a semiconductor manufacturing device used therefor.

실리콘웨이퍼의 표면은 대기 중의 산소나 수분과 쉽게 반응하여 이산화 실리콘(SiO2)으로 변한다. 따라서, 실리콘웨이퍼의 표면에는 대기 중에서의 자연스러운 산화에 의한 이산화 실리콘막이 형성되기 쉽고, 이러한 자연 산화막은 반도체 디바이스의 특성에 악영향을 미치는 것으로 알려져 있다. 예를 들면, 콘택 표면에 형성된 자연 산화막은 콘택 저항의 증가 요인으로 작용하며, 게이트 산화막 성장 전에 형성된 자연 산화막은 게이트 산화막의 특성을 저하시킨다.The surface of the silicon wafer is easily reacted with oxygen or moisture in the atmosphere to turn into silicon dioxide (SiO 2 ). Therefore, it is known that a silicon dioxide film is easily formed on the surface of the silicon wafer by natural oxidation in the air, and this natural oxide film is known to adversely affect the characteristics of the semiconductor device. For example, the natural oxide film formed on the contact surface acts as an increase factor of the contact resistance, and the natural oxide film formed before the gate oxide film growth deteriorates the characteristics of the gate oxide film.

현재, 가장 광범위하게 사용되고 있는 반도체 제조 과정에서의 자연 산화막 제거 방법은 불화 수소(HF; hydrofluoric acid) 세정액을 이용한 습식 세정 방법이다. 불화 수소 세정액은 이산화 실리콘과 실리콘웨이퍼 사이에 높은 식각 선택비를 유지하며, 자연 산화막 세정 후 실리콘웨이퍼 표면을 수소로 보호막을 입힌다는 장점이 있다.At present, the most widely used method of removing the natural oxide film in the semiconductor manufacturing process is a wet cleaning method using a hydrofluoric acid (HF) cleaning solution. Hydrogen fluoride cleaning solution maintains a high etching selectivity between silicon dioxide and silicon wafer, and has the advantage of applying a protective film to the silicon wafer surface with hydrogen after natural oxide film cleaning.

그러나, 이러한 불화 수소 세정의 경우, 인시튜(in situ) 공정 진행이 불가능하여 세정 공정 후의 오염 관리가 곤란하며 공정에 소요되는 시간이 증가한다는 문제점이 발생하며, 세정 공정 후 웨이퍼를 건조하는 공정을 필수적으로 거쳐야 하기 때문에 건조 공정 중에 발생할 수 있는 각종 오염에 대한 제어가 불가능하다. 또한, 작고 깊은 콘택홀(small & deep contact hole)을 세정할 경우, 세정액 자체의 점도에 의해 세정액이 콘택홀로 유입되거나 콘택홀로부터 유출되는 것이 어려워산화막의 제거가 불완전하고, 세정 진행 후 잔류물의 제거도 용이하지 않다는 문제점이 있다.However, in the case of hydrogen fluoride cleaning, there is a problem that it is difficult to proceed the in situ process, so that it is difficult to manage the contamination after the cleaning process and the time required for the process increases. Due to the necessity, it is impossible to control various contaminations that may occur during the drying process. In addition, when cleaning small and deep contact holes, it is difficult for the cleaning solution to flow into or out of the contact hole due to the viscosity of the cleaning solution itself, resulting in incomplete removal of the oxide film, and removal of residue after the cleaning process. There is also a problem that is not easy.

한편, 현재 산화막 패터닝을 위한 건식 식각 공정에 있어서는, 사불화메탄(CF4; tetra-fluoro methane)+수소(H2)나 삼불화메탄(CHF3; tri-fluoro methane)+산소(O2) 화학물을 사용한 반응성 이온 식각(RIE; Reactive Ion Etch)을 이용하여 산화막을 제거한다. 그러나, 이러한 건식 식각 공정의 경우, 식각 후 불소(F)가 산화막의 하부 막질로 존재하는 실리콘웨이퍼 표면에 습식 세정 방법에서보다 더 높은 농도로 잔존하게 되어 이후 공정에 나쁜 영향을 미치게 될 뿐만 아니라, 식각 가스의 주입 에너지에 의해 실리콘웨이퍼 표면이 손상되어 실리콘웨이퍼 표면이 거칠어지거나 실리콘웨이퍼 표면 근방에 형성되어 있는 pn 정션층이 파괴될 수도 있다.Meanwhile, in the dry etching process for oxide film patterning, tetrafluorofluoromethane (CF 4 ) + hydrogen (H 2 ) or trifluorofluoromethane (CHF 3 ) + oxygen (O 2 ) The oxide layer is removed by using reactive ion etching (RIE) using a chemical. However, in such a dry etching process, fluorine (F) remains on the surface of the silicon wafer, which is present as a lower film of the oxide film after etching, at a higher concentration than in the wet cleaning method, thus adversely affecting subsequent processes. The surface of the silicon wafer may be damaged by the injection energy of the etching gas, thereby roughening the surface of the silicon wafer or destroying the pn junction layer formed near the surface of the silicon wafer.

본 발명의 목적은 산화막의 하부 막질을 손상시키거나 오염시키지 않으면서도 높은 식각 선택비를 갖고 산화막을 제거할 수 있는 산화막 제거 방법을 제공하는데 있다.An object of the present invention is to provide an oxide film removal method capable of removing an oxide film with a high etching selectivity without damaging or contaminating the lower film quality of the oxide film.

본 발명의 다른 목적은 산화막의 하부 막질을 손상시키거나 오염시키지 않으면서도 높은 식각 선택비를 갖고 산화막을 제거하는데 사용되는 반도체 제조 장치를 제공하는데 있다.Another object of the present invention is to provide a semiconductor manufacturing apparatus used to remove an oxide film with a high etching selectivity without damaging or contaminating the underlying film quality of the oxide film.

도 1은 본 발명의 일 실시 예에 의한 산화막 제거 방법을 실현하기 위한 반도체 제조 장치 Ⅰ을 도시한 단면도이다.1 is a cross-sectional view illustrating a semiconductor manufacturing apparatus I for realizing an oxide film removing method according to an embodiment of the present invention.

도 2는 반도체 제조 장치 Ⅰ의 진공 챔버 상단부를 도시한 평면도이다.2 is a plan view showing the upper end of the vacuum chamber of the semiconductor manufacturing apparatus I. FIG.

도 3은 본 발명의 일 실시 예에 의한 산화막 제거 방법을 실현하기 위한 반도체 제조 장치 Ⅱ를 도시한 평면도이다.3 is a plan view illustrating a semiconductor manufacturing apparatus II for realizing an oxide film removing method according to an embodiment of the present invention.

도 4는 상기 도 3의 반도체 제조 장치 Ⅱ의 변형 장치를 도시한 평면도이다.FIG. 4 is a plan view illustrating a modification device of the semiconductor manufacturing apparatus II of FIG. 3.

도 5는 다운 플로우 모듈의 구성을 도시하는 단면도이다.5 is a cross-sectional view illustrating a configuration of a downflow module.

도 6은 어닐 모듈을 도시하는 평면도이다.6 is a plan view illustrating an anneal module.

상기 목적을 달성하기 위한, 본 발명의 일 실시예에 의한 산화막 제거 방법은, 그 표면에 산화막이 형성되어 있는 실리콘웨이퍼에 플라즈마 상태의 수소 가스 및 불소를 포함하는 가스를 공급하여 상기 산화막과 공급 가스를 화학적으로 반응시키는 단계와, 어닐링을 실시하여 상기 화학적 반응에 의해 생성된 부산물을 기화시키는 단계를 구비하는 것을 특징으로 한다.In order to achieve the above object, the method for removing an oxide film according to an embodiment of the present invention comprises supplying a gas containing hydrogen gas and fluorine in a plasma state to a silicon wafer having an oxide film formed on a surface thereof, thereby providing the oxide film and a supply gas. Chemically reacting with each other, and performing annealing to vaporize by-products generated by the chemical reaction.

상기 화학적 반응 단계와 어닐 단계를 하나의 챔버 내에서 반복 연속적으로 진행한다. 예컨대, 화학적 반응 단계는 상기 챔버의 하단부에서 진행하고, 어닐 단계는 상기 챔버의 상단부에서 진행하거나, 상기 화학적 반응 단계와 어닐 단계를 하나의 챔버 내에 설치된 여러 개의 공정 모듈들, 즉 화학적 반응 단계는 다운플로우 모듈에서, 어닐 단계는 어닐 모듈에서 연속적으로 진행한다.The chemical reaction step and the annealing step are repeated successively in one chamber. For example, the chemical reaction step proceeds at the lower end of the chamber, the annealing step proceeds at the upper end of the chamber, or the chemical reaction step and the annealing step have several process modules installed in one chamber, that is, the chemical reaction step is down In the flow module, the anneal step proceeds continuously in the anneal module.

상기 다른 목적을 달성하기 위한, 본 발명에 의한 반도체 제조 장치 Ⅰ은, 공정 챔버의 하단부에 설치되고, 상.하로 이동이 가능하며, 그 상부에 웨이퍼를 탑재하는 서스셉터와, 공정 챔버의 상단부에 설치된 히터와, 상기 히터 하부에 설치되어 사용 가스를 공정 챔버 내부로 공급하는 가스 확산기를 구비하는 것을 특징으로 한다.In order to achieve the above object, the semiconductor manufacturing apparatus I according to the present invention is provided at a lower end of the process chamber, and is movable up and down, and a susceptor for mounting a wafer thereon, and an upper end of the process chamber. And a gas diffuser installed under the heater and supplying a use gas into the process chamber.

상기 서스셉터 내부에는 그 상부에 탑재된 웨이퍼의 온도를 조절하기 위한 냉각 라인이 설치되어 있으며, 상기 가스 확산기는 공정 챔버 외부에 설치된 파이프들에서 가스가 공급되는 가스 공급 라인과, 상기 가스 라인의 단부와 연결된 공정 챔버 내부 전체에 걸쳐 골고루 가스를 공급하기 위한 다공성의 판으로 구성된다. 이때, 상기 파이프들은 수소 가스와 불소를 포함하는 가스를 소정의 혼합비로혼합한 혼합 가스나 수소 가스를 플라즈마 상태로 변형하는 마이크로웨이브 유도 장치를 구비하는 제1 파이프와, 불소를 포함하는 가스를 공급하는 제2 파이프로 구성된다. 한편, 상기 히터는 램프 또는 레이저이며, 상기 레이저는 네오디뮴(Nd)-야그(YAG) 레이저, 이산화탄소(CO2) 레이저 또는 엑시머 레이저다.The susceptor is provided with a cooling line for adjusting the temperature of the wafer mounted thereon, the gas diffuser is a gas supply line for supplying gas from pipes provided outside the process chamber, and the end of the gas line It consists of a porous plate for supplying the gas evenly throughout the process chamber connected with. In this case, the pipes supply a first pipe having a microwave induction device for converting a mixed gas or hydrogen gas into a plasma state by mixing a gas containing hydrogen gas and fluorine at a predetermined mixing ratio, and a gas containing fluorine. It is composed of a second pipe. Meanwhile, the heater is a lamp or a laser, and the laser is a neodymium (Nd) -yag (YAG) laser, a carbon dioxide (CO 2 ) laser, or an excimer laser.

상기 목적을 달성하기 위한, 반도체 제조 장치 Ⅰ을 이용한 산화막 제거 방법은, 공정 챔버의 하단부에 설치되고 상.하로 이동이 가능한 서스셉터가 상기 공정 챔버의 하단부에 위치한 상태에서 웨이퍼를 탑재하는 단계와, 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공정 챔버 내부로 공급하여 상기 웨이퍼 표면의 산화막과 화학적으로 반응시키는 단계와, 상기 서스셉터를 공정 챔버 상단부로 이동시키는 단계와, 공정 챔버 상단부에 설치된 히터로 상기 서스셉터 상에 탑재된 웨이퍼를 어닐함으로써 산화막 제거시의 부산물을 기화시키는 단계와, 상기 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 구비하는 것을 특징으로 한다.In order to achieve the above object, the method for removing an oxide film using the semiconductor manufacturing apparatus I includes the steps of: mounting a wafer with a susceptor installed at a lower end of a process chamber and movable up and down positioned at a lower end of the process chamber; Supplying a gas containing hydrogen gas and fluorine in a plasma state into a process chamber to chemically react with an oxide film on the wafer surface, moving the susceptor to an upper end of the process chamber, and a heater installed at the upper end of the process chamber And evaporating the by-products upon removal of the oxide film by annealing the wafer mounted on the susceptor, and evacuating the vaporized by-products from the wafer.

웨이퍼로부터 기화된 부산물을 배기시킨 후, 상기 서스셉터를 공정 챔버의 하단부로 이동시키는 단계와, 상기 웨이퍼 표면의 산화막을 제거하는 단계에서 상기 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 1회 이상 반복함으로써 소정이상 두께의 산화막을 완전히 제거한다.After evacuating the vaporized by-products from the wafer, by moving the susceptor to the lower end of the process chamber, and evacuating the vaporized by-products from the wafer in one or more steps by removing the oxide film on the wafer surface. The oxide film of a predetermined thickness or more is completely removed.

플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공정 챔버 내부로 공급하는 공정은 수소 가스와 불소를 포함하는 가스를 소정 비율로 혼합한 혼합 가스를 플라즈마 상태로 만든 후 공정 챔버 내부로 공급하거나, 수소 가스는 플라즈마 상태로 공정 챔버로 공급하고 불소를 포함하는 가스는 자연 상태로 공정 챔버로 공급하는 공정이며, 이때 사용되는 불소를 포함하는 가스는 삼불화질소(NF3), 육불화황(SF6) 또는 삼불화염소(ClF3) 등과 같이 가스이며, 수소 가스에 대한 불소를 포함하는 가스의 혼합비는 0.1 ∼ 100 Vol% 정도이다. 또한, 수소 가스와 불소를 포함하는 가스를 소정 비로 혼합한 혼합 가스를 질소(N2)와 아르곤(Ar) 가스를 함께 플라즈마 상태로 공급할 수도 있다.In the process of supplying a gas containing hydrogen gas and fluorine in a plasma state into the process chamber, a mixed gas obtained by mixing a hydrogen gas and a gas containing fluorine in a predetermined ratio is converted into a plasma state and then supplied into the process chamber, or The gas is supplied to the process chamber in a plasma state, and the gas containing fluorine is supplied to the process chamber in a natural state. The gas containing fluorine is nitrogen trifluoride (NF 3 ) or sulfur hexafluoride (SF 6). ) Or a chlorine trifluoride (ClF 3 ) or the like, and the mixing ratio of the gas containing fluorine to hydrogen gas is about 0.1 to 100 vol%. In addition, nitrogen (N 2 ) and argon (Ar) gas may be supplied together in a plasma state in a mixed gas obtained by mixing a gas containing hydrogen gas and fluorine in a predetermined ratio.

상기 다른 목적을 달성하기 위한, 본 발명에 의한 반도체 제조 장치 Ⅱ는, 공정 챔버 하단부에 설치된 회전 플레이트와, 회전 플레이트 중앙에 설치되어 상기 회전 플레이트를 회전시키는 회전 모터와, 상기 회전 모터를 중심으로하여 그 주변의 회전 플레이트에 설치된 로딩/ 언로딩 및 후처리 모듈, 다운플로우 모듈 및 어닐 모듈을 구비하는 것을 특징으로 한다.The semiconductor manufacturing apparatus II which concerns on this invention for achieving the said another object is centered on a rotating plate provided in the lower end of a process chamber, the rotating motor provided in the center of a rotating plate, and rotating the said rotating plate, and the said rotating motor. And a loading / unloading and aftertreatment module, a downflow module and an anneal module installed on the rotating plate around it.

다운플로우 모듈은, 웨이퍼를 탑재하기 위해 회전 플레이트에 설치된 서스셉터와, 상기 서스셉터를 덮는 형상으로 그 상부에 설치된 상.하 이동이 가능한 다운플로우용 챔버와, 상기 다운 플로우용 챔버 내 상단부에 설치되며 사용 가스를 서스셉터 상에 탑재된 웨이퍼로 공급하는 가스 확산기와, 상기 가스 확산기에 연결된 가스 공급 파이프와, 상기 서스셉터가 설치된 회전 플레이트에 다운플로우용 챔버를 밀착하기 위해 상기 다운 플로우용 챔버의 단부에 설치된 가이드 링으로 구성되고, 어닐 모듈은, 웨이퍼를 탑재하는 서스셉터와, 상기 서스셉터를 덮도록 그 상부에 설치된 상.하 이동이 가능한 어닐용 챔버와, 상기 어닐용 챔버 내 상단부에 설치되어 웨이퍼를 어닐하는 히터와, 상기 서스셉터가 설치된 회전 플레이트에 어닐 챔버를 밀착하기 위해 상기 어닐 챔버의 단부에 구비된 가이드 링으로 구성된다.The downflow module includes a susceptor installed on a rotating plate for mounting a wafer, a downflow chamber capable of moving up and down installed on top of the susceptor, and an upper end portion of the downflow chamber. And a gas diffuser for supplying used gas to the wafer mounted on the susceptor, a gas supply pipe connected to the gas diffuser, and a downflow chamber to closely contact the downflow chamber with the susceptor. The anneal module includes a susceptor on which a wafer is mounted, an annealing chamber capable of moving up and down, which is installed at an upper portion of the anneal chamber to cover the susceptor, and an upper end of the anneal chamber. To anneal the wafer and the anneal chamber to closely adhere to the rotating plate provided with the susceptor. It is composed of a guide ring provided at the end of the year the annealing chamber.

상기 다운플로우 모듈과 어닐 모듈이 반복적으로 하나 이상씩 설치된다.One or more downflow modules and annealing modules are repeatedly installed.

상기 목적을 달성하기 위한, 반도체 제조 장치 Ⅱ를 이용한 산화막 제거 방법은, 공정 챔버의 회전 플레이트에 설치된 로딩/ 언로딩 및 후처리 모듈의 서스셉터 상에 웨이퍼를 탑재하는 단계와, 회전 플레이트 중앙에 설치된 회전 모터를 구동하여 상기 서스셉터를 다운 플로우 모듈의 다운 플로우용 챔버 하부로 이동시키는 단계와, 상기 다운플로우용 챔버를 하부로 이동시켜 회전 플레이트와 밀착시킴으로써 상기 다운플로우 모듈 내부를 완전히 밀폐시키는 단계와, 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 다운플로우용 챔버 내부로 공급하여 웨이퍼 표면의 산화막과 화학적으로 반응시키는 단계와, 다운플로우용 챔버를 상부로 이동시켜 상기 회전 플레이트와 탈착시킨 후, 상기 서스셉터를 어닐 모듈의 어닐용 챔버 하부로 이동시키는 단계와, 상기 어닐용 챔버를 하부로 이동시켜 회전 플레이트와 밀착시킴으로써 어닐 모듈 내부를 완전히 밀폐시키는 단계와, 어닐용 챔버 내 상단부에 설치된 히터를 이용하여 상기 웨이퍼를 어닐시킴으로써 웨이퍼 표면의 산화막과 공급 가스의 화학적 반응에 의해 형성된 부산물을 기화시키는 단계와, 상기 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 구비하는 것을 특징으로 한다.In order to achieve the above object, an oxide film removing method using the semiconductor manufacturing apparatus II includes the steps of mounting a wafer on a susceptor of a loading / unloading and aftertreatment module installed in a rotating plate of a process chamber, and installed in the center of the rotating plate. Moving the susceptor to a lower portion of the downflow chamber of the downflow module by driving a rotary motor; and completely enclosing the inside of the downflow module by moving the downflow chamber downward to closely contact the rotating plate; Supplying a gas containing hydrogen gas and fluorine in a plasma state into the downflow chamber to chemically react with an oxide film on the surface of the wafer; and moving the downflow chamber upward to desorb the rotating plate. Move the susceptor to the bottom of the anneal chamber of the anneal module. And completely sealing the inside of the anneal module by moving the annealing chamber downward to closely contact the rotating plate, and annealing the wafer using a heater installed at an upper end of the annealing chamber to supply an oxide film and a supply gas on the wafer surface. Vaporizing the by-product formed by the chemical reaction of; and evacuating the vaporized by-product from the wafer.

서스셉터를 다운플로우 모듈의 다운플로우용 챔버 하부로 이동시키는 단계에서 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 1회이상 순차적으로 반복한다.In the step of moving the susceptor to the bottom of the downflow chamber of the downflow module, the step of evacuating the vaporized by-product from the wafer is sequentially repeated one or more times.

이하, 첨부 도면을 참조하여 본 발명의 실시 예를 상세히 설명한다. 그러나,본 발명의 실시 예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시 예들로 인해 한정되어지는 것으로 해석되어져서는 안 된다. 본 발명의 실시 예들은 당 업계에서 평균적인 지식을 가진 자에게 본 발명을 보다 완전하게 설명하기 위해서 제공되어지는 것이다. 따라서, 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이며, 도면상에서 동일한 부호로 표시된 요소는 동일한 요소를 의미한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, embodiments of the present invention may be modified in many different forms, and the scope of the present invention should not be construed as being limited to the embodiments described below. Embodiments of the present invention are provided to more completely describe the present invention to those skilled in the art. Accordingly, the shape and the like of the elements in the drawings are exaggerated to emphasize a more clear description, and the elements denoted by the same reference numerals in the drawings means the same elements.

산화막 제거 방법How to remove oxide

실리콘웨이퍼 표면에 자연적으로 형성된 자연 산화막이나 임의의 산화막을 하부 막질의 손상 없이 효과적으로 제거하는 방법에 대해 설명한다. 이는, 불화 수소 세정액을 사용한 습식 세정 방법이 아닌 가스를 사용한 건식 세정 방법에 대한 것이다.A method of effectively removing a native oxide film or any oxide film naturally formed on the surface of a silicon wafer without damaging the underlying film quality will be described. This relates to a dry cleaning method using a gas rather than a wet cleaning method using a hydrogen fluoride cleaning liquid.

본 발명의 일 실시 예에 의한 산화막 제거 방법은 수소 플라즈마와 불소를 포함한 가스를 사용한 건식 세정 방법으로, 구체적으로, 그 표면에 산화막이 형성되어 있는 실리콘웨이퍼에 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공급하여 상기 산화막과 공급 가스를 화학적으로 반응시키는 단계와, 어닐링을 실시하여 상기 화학적 반응에 의해 생성된 부산물, 즉 반응층을 기화시키는 단계로 진행한다. 이때, 상기 산화막은 자연 산화막일 수도 있고 임의의 산화막 패턴을 형성하기 위해 식각되어져야 하는 피식각 산화막일 수도 있다.Oxide film removal method according to an embodiment of the present invention is a dry cleaning method using a gas containing hydrogen plasma and fluorine, specifically, the silicon wafer having an oxide film formed on its surface containing hydrogen gas and fluorine in the plasma state Supplying a gas to chemically react the oxide film and the supply gas, and annealing is performed to vaporize the by-products generated by the chemical reaction, that is, the reaction layer. In this case, the oxide film may be a natural oxide film or an etched oxide film to be etched to form an arbitrary oxide pattern.

수소 가스는 반드시 플라즈마 상태로 공급되어야 하나, 불소를 포함하는 가스의 경우엔 자연 상태로나 플라즈마 상태로 모두 사용이 가능하다. 즉, 수소 가스와 불소를 포함하는 가스를 소정 비율로 혼합한 혼합 가스를 플라즈마 상태로 만든 후 실리콘웨이퍼로 공급하거나, 수소 가스는 플라즈마 상태로 공급하면서 불소를 포함하는 가스는 자연 상태로 실리콘웨이퍼로 공급하는 방법 모두가 가능하다. 이때, 상기 불소를 포함하는 가스는 삼불화질소(NF3), 육불화황(SF6) 또는 삼불화염소(ClF3) 등과 같은 가스이다.Hydrogen gas must be supplied in a plasma state, but a gas containing fluorine can be used in a natural state or a plasma state. That is, a mixed gas obtained by mixing a gas containing hydrogen gas and fluorine in a predetermined ratio is made into a plasma state and then supplied to a silicon wafer, or hydrogen gas is supplied in a plasma state while a gas containing fluorine is naturally transferred to the silicon wafer. Both methods of supply are possible. In this case, the gas containing fluorine is a gas such as nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ) or chlorine trifluoride (ClF 3 ).

상기 어닐링은 램프나 레이저와 같은 히터를 사용하여 진행한다. 이때, 실리콘웨이퍼 표면상에 형성되어 있는 부산물, 즉 반응층을 기화시키는 것이 어닐링의 목적이므로 히터는 실리콘웨이퍼의 상부에 설치되는 것이 더욱 효과적이다.The annealing proceeds using a heater such as a lamp or a laser. At this time, since the by-product formed on the surface of the silicon wafer, that is, the vaporization of the reaction layer is the purpose of the annealing, it is more effective that the heater is installed above the silicon wafer.

실리콘웨이퍼에 플라즈마 상태의 수소 가스와 불소를 포함하는 가스(예컨대, 수소 플라즈마 가스에 대한 삼불화질소(NF3) 가스의 혼합비를 0.1∼100으로 설정)를 공급하면, 상기 공급 가스는 산화막, 즉 이산화실리콘(SiO2)과 화학적인 반응을 하게 되어 상기 공급 가스와 산화막이 만나는 곳에 공급 가스와 산화막이 결합한 형태의 (NF4)2SiF6와 같은 부산물, 즉 반응층을 형성하게 된다. 이러한 반응층이 어느 정도 형성되고 나면, 상기 반응층이 화학적 반응에 대한 장벽층 역할을 하게 되어 공급 가스와 산화막 사이의 화학적 반응은 멈추게 된다. 공급 가스와 산화막 사이의 화학적 반응이 멈춘 상태에서 어닐링을 행하면 반응층은 기화되어 외부로 배출되고 상기 반응층이 존재했던 곳의 산화막은 제거된 상태가 된다.When the silicon wafer is supplied with a plasma containing hydrogen gas and fluorine (for example, a mixing ratio of nitrogen trifluoride (NF 3 ) gas to hydrogen plasma gas is set at 0.1 to 100), the supply gas is an oxide film, that is, The chemical reaction with silicon dioxide (SiO 2 ) forms a by-product, such as (NF 4 ) 2 SiF 6 , in which the feed gas and the oxide film are bonded to each other where the supply gas and the oxide film meet. After the reaction layer is formed to some extent, the reaction layer serves as a barrier layer for the chemical reaction and the chemical reaction between the supply gas and the oxide film is stopped. When annealing is performed while the chemical reaction between the supply gas and the oxide film is stopped, the reaction layer is vaporized and discharged to the outside, and the oxide film where the reaction layer was present is removed.

상기 가스 공급 단계와 어닐링 단계는, 제거되어야 할 산화막이 자연 산화막일 경우에는 일반적으로 1회의 공정만으로도 그 제거가 용이하나, 제거되어야 할산화막이 일반적인 패턴을 형성하기 위한 산화막일 경우에는 산화막의 두께에 따라 1회 이상 상기 단계들을 반복적으로 행하는 것이 필요하다. 이는, 전술한 바와 같이, 공급 가스와 산화막과의 화학적인 반응은 반응층의 생성에 의해 어느 정도에서 제한되기 때문이다. 즉, 공급 가스와 산화막의 화학적 반응이 무한대로 (공정 진행자의 의도한 바 대로) 진행할 수 있다면, 제거되어야 할 산화막의 두께가 어느 정도이던 관계없이 단 1회의 가스 공급 단계와 어닐링 단계만으로도 산화막을 제거할 수 있다. 그러나, 공급 가스와 산화막이 어느 정도 화학적으로 반응하면 이들 사이에 반응층이 생성되어 더 이상의 화학적 반응이 진행되지 않으므로 1회의 공정만으론 원하는 두께의 산화막을 제거할 수 없게 된다. 따라서, 1회 이상 상기 단계들을 반복하여 원하는 두께만큼 산화막을 제거한다.The gas supply step and the annealing step are generally easy to remove in one step when the oxide film to be removed is a natural oxide film, but when the oxide film to be removed is an oxide film for forming a general pattern, Therefore, it is necessary to repeat the above steps one or more times. This is because, as described above, the chemical reaction between the supply gas and the oxide film is limited to some extent by the generation of the reaction layer. That is, if the chemical reaction between the feed gas and the oxide film can proceed indefinitely (as the process operator intended), the oxide film may be removed by only one gas supply and annealing step, regardless of the thickness of the oxide film to be removed. can do. However, when the supply gas and the oxide film react chemically to some extent, a reaction layer is formed between them, so that no further chemical reaction proceeds, so that only one step does not remove the oxide film having a desired thickness. Therefore, the above steps are repeated one or more times to remove the oxide film by the desired thickness.

본 발명의 일 실시 예에서는 공급 가스와 산화막과의 화학적 반응 단계 (즉, 가스 공급 단계)와 어닐링 단계를 하나의 챔버 내에서 연속적으로 진행한다. 예컨대, 상기 화학적 반응 단계는 챔버의 하단부에서 진행하고 상기 어닐 단계는 챔버의 상단부에서 진행하거나, 상기 화학적 반응 단계와 어닐 단계를 하나의 챔버 내에 설치된 여러 개의 공정 모듈들에서 연속적으로 진행한다. 즉, 상기 화학적 반응 단계는 챔버 내의 다운플로우 모듈에서 진행하고, 상기 어닐 단계는 챔버 내의 어닐 모듈에서 진행한다.In an embodiment of the present invention, the chemical reaction step (ie, gas supply step) and annealing step of the supply gas and the oxide film are continuously performed in one chamber. For example, the chemical reaction step proceeds at the lower end of the chamber and the annealing step proceeds at the upper end of the chamber, or the chemical reaction step and the annealing step proceed continuously in several process modules installed in one chamber. That is, the chemical reaction step proceeds in the downflow module in the chamber and the anneal step proceeds in the anneal module in the chamber.

따라서, 화학적 반응 단계와 어닐링 단계를 1회 이상 반복하여 진행할 경우, 공정 진행에 소요되는 시간을 줄일 수 있음과 동시에 각 단계별 공정을 진행하기 위해 실리콘웨이퍼를 하나의 챔버에서 다른 챔버로 이동시킬 때 발생할지도 모를 2차적인 자연 산화막의 생성과 입자(particle) 오염 등을 방지할 수 있다.Therefore, when the chemical reaction step and the annealing step are repeated one or more times, the time required for the process can be reduced, and at the same time, when the silicon wafer is moved from one chamber to another chamber to proceed with each step process, It is possible to prevent the formation of secondary natural oxide film and particle contamination.

기존의 불화 수소 세정액을 사용한 습식 세정법과 본 발명의 일 실시예에 의한 건식 세정법 사이의 차이점은, 1) 반응에 사용하는 반응종의 상태가 다르다. 즉, 기존의 경우엔 불화 수소를 액체 상태로 사용하지만, 본 발명의 일 실시예의 경우엔 수소 가스 및 불소를 포함한 가스를 플라즈마 상태로 사용한다. 따라서, 가스 상태의 반응종을 사용하는 본 발명의 일 실시예의 경우, 기존의 습식 세정법에 비해 비용 절감이 가능하다. 2) 본 발명의 일 실시예의 경우 각 단계들을 하나의 챔버 내에서 연속적으로 진행하므로 공정의 집적도를 높일 수 있다. 따라서, 전체 공정에 소요되는 시간을 줄일 수 있을 뿐만아니라 이동 중에 발생할 수 있는 각종의 공정 변수들의 제어가 용이하며, 설비의 크기면에도 기존의 습식 세정법에서보다 더 작은 설비가 필요하다. 3) 작고 깊은 콘택홀에서의 산화막 제거가 기존 습식 세정법의 경우보다 본 발명의 일 실시예에서 더욱 유리하다. 즉, 기존에는 세정액의 점도에 의해 콘택홀로 세정액을 유입하거나 콘택홀로부터 세정액을 유출하는 것이 곤란하여 산화막 제거에 여러 가지 문제가 있었으나, 본 발명의 일 실시예의 경우, 플라즈마 상태의 가스를 사용하므로 이러한 문제를 해결할 수 있다. 4) 본 발명의 일 실시예의 경우, 플라즈마 상태의 가스를 사용하므로 반응 전후의 주위 환경을 제어하기가 용이하며 전후 공정에 있어서 최적의 표면 상태를 제어할 수 있다.The difference between the conventional wet cleaning method using a hydrogen fluoride cleaning liquid and the dry cleaning method according to an embodiment of the present invention is that 1) the state of the reactive species used for the reaction is different. That is, in the conventional case, hydrogen fluoride is used in a liquid state, but in an embodiment of the present invention, a gas containing hydrogen gas and fluorine is used in a plasma state. Therefore, in the case of the embodiment of the present invention using the reactive species in the gas state, it is possible to reduce the cost compared to the conventional wet cleaning method. 2) In the exemplary embodiment of the present invention, since the steps are continuously performed in one chamber, the integration degree of the process may be increased. Therefore, not only the time required for the entire process can be reduced, but also the control of various process variables that may occur during the movement is easy, and the size of the equipment requires a smaller equipment than in the conventional wet cleaning method. 3) Oxide removal in small deep contact holes is more advantageous in one embodiment of the present invention than in the case of conventional wet cleaning methods. That is, in the past, it was difficult to inject the cleaning liquid into the contact hole or to drain the cleaning liquid from the contact hole due to the viscosity of the cleaning liquid, but there were various problems in removing the oxide film. You can solve the problem. 4) In the embodiment of the present invention, since the gas in the plasma state is used, it is easy to control the surrounding environment before and after the reaction, and the optimum surface state can be controlled in the before and after process.

또한, 본 발명의 일 실시 예에서 사용하는 혼합 가스는 각종의 산화막 막질에 대해 낮은 선택비를 가지므로, 예컨대 콘택홀 세정과 같은 공정을 행할 경우, 콘택홀 측벽의 프로파일을 변형시키지 않으면서도 세정 공정을 용이하게 할 수 있다.In addition, since the mixed gas used in one embodiment of the present invention has a low selectivity for various oxide film quality, for example, when performing a process such as contact hole cleaning, the cleaning process is performed without modifying the profile of the contact hole sidewall. Can be facilitated.

본 발명의 일 실시 예에 의하면, 전술한 바와 같은 여러 가지 장점외에도, 공급 가스의 주입 에너지로 산화막을 구성하는 입자들의 결합을 파괴하는 방법으로 산화막을 제거하던 기존의 건식 세정법과 달리, 공급 가스와 산화막의 화학적 반응을 유도한 후 이 반응에서 비롯되는 반응물을 제거하는 방법을 이용하므로 공급 가스의 에너지에 의해 산화막의 하부 막질이 손상되는 일은 발생하지 않는다.According to an embodiment of the present invention, in addition to the various advantages described above, unlike the conventional dry cleaning method that removes the oxide film by a method of breaking the bond of the particles constituting the oxide film by the injection energy of the supply gas, Since a method of inducing a chemical reaction of the oxide film and then removing a reactant resulting from the reaction is performed, the lower film quality of the oxide film is not damaged by the energy of the supply gas.

장치 ⅠDevice Ⅰ

도 1은 본 발명의 일 실시예에 의한 산화막 제거 방법을 실현하기 위한 반도체 제조 장치 Ⅰ을 도시한 단면도로서, 상기 반도체 제조 장치 Ⅰ은 진공 분위기에서 공정을 진행할 수 있도록 구성되어져 있는 진공 챔버(10)와, 반응 가스를 플라즈마 상태로 유입시킬 수 있는 플라즈마 발생 장치(44)와, 가스 확산기(50 및 52)와, 어닐 공정을 동일 챔버 내에서 연속적으로 진행할 수 있는 히터(54)와, 실리콘웨이퍼의 위치를 진공 챔버 내에서 조절할 수 있는 서스셉터 구동부(12, 20 및 22)로 구성되어져 있다. 도 1을 참조하여, 반도체 제조 장치 Ⅰ을 좀더 상세하게 설명하고자 한다.1 is a cross-sectional view showing a semiconductor manufacturing apparatus I for realizing an oxide film removing method according to an embodiment of the present invention, wherein the semiconductor manufacturing apparatus I is a vacuum chamber 10 configured to proceed a process in a vacuum atmosphere. A plasma generator 44 capable of introducing the reaction gas into the plasma state, gas diffusers 50 and 52, a heater 54 capable of continuously performing annealing in the same chamber, and a silicon wafer. It consists of susceptor drives 12, 20 and 22 which can adjust the position in the vacuum chamber. Referring to FIG. 1, the semiconductor manufacturing apparatus I will be described in more detail.

피식각 물질로서의 산화막이 형성되어 있는 실리콘웨이퍼(14)를 그 상부에 탑재하는 서스셉터(12)는 진공 챔버(10)의 하단 중앙부에 설치되어 있고, 이 서스셉터(12)는 모터(22)의 작동에 의해 상,하 이동 샤프트(shaft)(20)를 통해 진공 챔버(10)의 하단부에서 상단부 또는 상단부에서 하단부로 이동한다 (화살표( ↕) 참조). 상기 서스셉터(12) 내부에는 공정의 재현성 확보를 위해 실리콘웨이퍼의 온도를 용이하게 제어할 수 있도록 냉각수 및 가스를 공급하는 냉각 라인(16a)이 설치되어 있고, 이 냉각 라인(16a)에는 냉각수 및 가스 공급 장치(18)에서부터 냉각 가스를 공급해주는 제1 파이프(16)가 연결되어 있다. 실리콘웨이퍼(14)의 온도는 상기 서스셉터(12)의 온도에 의해 조절되는데, 서스셉터(12)의 온도는 냉각 라인(16a)을 통해 공급되는 냉각수 및 가스의 양에 의해 조절된다.The susceptor 12 which mounts the silicon wafer 14 in which the oxide film as an etched material is formed in the upper part is provided in the lower center part of the vacuum chamber 10, This susceptor 12 is a motor 22 By the operation of the upper and lower moving shaft (shaft) 20, the lower end of the vacuum chamber 10 is moved from the upper end or the upper end to the lower end (see arrow (↕)). The susceptor 12 is provided with a cooling line 16a for supplying cooling water and gas to easily control the temperature of the silicon wafer to ensure reproducibility of the process, and the cooling line 16a is provided with cooling water and The first pipe 16 for supplying the cooling gas from the gas supply device 18 is connected. The temperature of the silicon wafer 14 is controlled by the temperature of the susceptor 12, the temperature of the susceptor 12 is controlled by the amount of coolant and gas supplied through the cooling line 16a.

실리콘웨이퍼 표면의 산화막과 반응하여 이를 제거하기 위한 반응 가스는 가스 확산기를 통해 진공 챔버(10) 내부로 공급되는데, 상기 가스 확산기는 진공 챔버(10) 외부에 설치된 제2 및 제3 파이프들(32 및 34)에서부터 가스를 공급받는 가스 공급 라인(50)과, 상기 가스 공급 라인(50)의 단부와 연결되며 진공 챔버(10) 내부 전체에 걸쳐 골고루 가스를 공급하기 위한 다공성의 판(52)으로 구성되어 있다. 제2 파이프(32)는 플라즈마로 여기된 상태로 가스를 공급하기 위한 것으로, 그 일단부에는 수소 가스 공급 소오스('H2'로 표시)와 불소를 포함한 가스 공급 소오스('NF3'로 표시)가 연결되어 있으며, 상기 수소 가스 공급 소오스 및 불소를 포함한 가스 공급 소오스 각각에는 스위칭 밸브(36 및 38)들과 가스 량을 조절하기 위한 매스 플로우 콘트롤(MFC)(40 및 42)들이 설치되어 있다. 스위칭 밸브(36 및 38)들과 제2 파이프(32)의 타단부 사이에는 수소 가스 공급 소오스 및/또는 불소를 포함한 가스 공급 소오스에서 스위칭 밸브(36 및 38)들과 매스 플로우 콘트롤(40 및 42)들을 통과한 가스를 플라즈마 상태로 여기시키는 플라즈마 발생 장치로서의 마이크로웨이브 가이드(microwave guide)(44)가 설치되어 있다. 제3 파이프(34)는자연 상태의 불소를 포함한 가스를 공급하기 위한 것으로, 그 일단부에는 불소를 포함한 가스 공급 소오스('NF3'로 표시)가 연결되어 있으며, 그 타단부와 상기 소오스 사이에는 스위칭 밸브(46)와 매스 플로우 콘트롤(48)이 연결되어 있다.Reactant gas for reacting with and removing the oxide film on the surface of the silicon wafer is supplied into the vacuum chamber 10 through a gas diffuser, which is provided with second and third pipes 32 disposed outside the vacuum chamber 10. And a gas supply line 50 for receiving gas from 34, and a porous plate 52 connected to an end of the gas supply line 50 and uniformly supplying gas throughout the vacuum chamber 10. Consists of. The second pipe 32 is for supplying gas in a state excited by plasma, and at one end thereof, a hydrogen gas supply source (denoted as 'H 2 ') and a gas supply source containing fluorine (denoted as 'NF 3 '). Are connected, and each of the hydrogen gas supply source and the gas supply source including fluorine is provided with switching valves 36 and 38 and mass flow control (MFC) 40 and 42 for adjusting the gas amount. . Between the switching valves 36 and 38 and the other end of the second pipe 32 the switching valves 36 and 38 and the mass flow control 40 and 42 in a gas supply source comprising hydrogen gas supply and / or fluorine. A microwave guide 44 as a plasma generator that excites the gas passing through the cells into a plasma state is provided. The third pipe 34 is for supplying a gas containing fluorine in a natural state, and a gas supply source (denoted as 'NF 3 ') containing fluorine is connected at one end thereof, and is connected between the other end and the source. The switching valve 46 and the mass flow control 48 are connected.

이때, 수소 가스 공급 소오스(H2) 및 불소를 포함하는 가스 공급 소오스(NF3)는 오직 수소 가스 또는 불소를 포함하는 가스만을 공급하는 소오스로 한정되기 보단, 공정에 따라 사용 가스의 소오스의 위치가 바뀔 수도 있으며, 필요에 따라 질소(N2) 가스 뿐만 아니라 아르곤(Ar) 가스도 공급할 수 있다.In this case, the hydrogen gas supply source (H 2 ) and the gas supply source (NF 3 ) containing fluorine is not limited to the source supplying only hydrogen gas or a gas containing fluorine, the position of the source of the gas used according to the process May be changed, and if necessary, argon (Ar) gas may be supplied as well as nitrogen (N 2 ) gas.

배기구(24)는 진공 챔버(10)의 하단부에 설치되며, 진공 챔버(10)를 진공 상태로 유지하기 위해 진공 챔버(10) 내부의 가스 등의 공기를 배기하는 통로이다. 상기 배기구(24)에는 제4 파이프(26)이 연결되어 있으며, 제4 파이프(26)에는 스위칭 밸브(28)와 진공 펌프(30)가 설치되어 있다.The exhaust port 24 is installed at the lower end of the vacuum chamber 10 and is a passage for exhausting air such as gas inside the vacuum chamber 10 to maintain the vacuum chamber 10 in a vacuum state. A fourth pipe 26 is connected to the exhaust port 24, and a switching valve 28 and a vacuum pump 30 are installed in the fourth pipe 26.

반응 가스 공급('다운플로우(downflow)'라고도 함) 시의 진공 챔버 내의 압력은 진공 챔버(10) 하단부에 설치된 스마트 밸브(미도시)에 의해 자동으로 조절되며, 다운플로우 진행 중의 진공 챔버 내의 압력은 반응 가스를 실리콘웨이퍼(14) 상에 용이하게 흡착시키기 위해 0.1Torr ∼ 10Torr로 유지되어져야 한다.The pressure in the vacuum chamber during the reaction gas supply (also referred to as 'downflow') is automatically controlled by a smart valve (not shown) installed at the bottom of the vacuum chamber 10, and the pressure in the vacuum chamber during the downflow process. The silver should be maintained at 0.1 Torr to 10 Torr to easily adsorb the reaction gas onto the silicon wafer 14.

가스 공급 라인(50)과 진공 챔버(10)의 천장 사이에 실리콘웨이퍼(14)를 어닐링하기 위한 히터(54)가 설치되어 있다. 상기 히터(54)는 램프 또는 레이저로 구성되며, 상기 레이저는 네오디뮴(Nd)-야그(YAG) 레이저, 이산화탄소(CO2) 레이저 또는 엑시머 레이저이다.A heater 54 for annealing the silicon wafer 14 is provided between the gas supply line 50 and the ceiling of the vacuum chamber 10. The heater 54 consists of a lamp or a laser, which is a neodymium (Nd) -yag (YAG) laser, a carbon dioxide (CO 2 ) laser or an excimer laser.

도 2는 반도체 제조 장치 Ⅰ의 진공 챔버 상단부를 도시한 평면도로서, 도면부호 10은 진공 챔버를, 50은 가스 공급 라인을, 52는 다공성의 판을, 그리고 54는 히터를 나타낸다. 히터(54)는 실리콘웨이퍼를 균일하게 가열하기 위해 상기 실리콘웨이퍼와 동일 형상의 원형이 반복적으로 배치된 형태로 설치된다.FIG. 2 is a plan view showing the upper end of the vacuum chamber of the semiconductor fabrication apparatus I, wherein 10 is a vacuum chamber, 50 is a gas supply line, 52 is a porous plate, and 54 is a heater. The heater 54 is installed in such a manner that a circular shape having the same shape as the silicon wafer is repeatedly arranged to uniformly heat the silicon wafer.

장치 Ⅰ을 이용한 산화막 제거 방법Removal Method of Oxide Using Device I

진공 챔버(10)의 하단부에 설치되고 상.하로 이동이 가능한 서스셉터(12)가 상기 진공 챔버(10)의 하단부에 위치한 상태에서 상기 서스셉터(12) 상에 실리콘웨이퍼(14)를 탑재한다. 진공 챔버(10)의 내부가 진공 상태가 되도록 스위칭 밸브(28)와 진공 펌프(30)를 이용해서 배기구(24)와 제4 파이프(26)을 통해 진공 챔버(10) 내부에 존재하는 가스 등의 공기를 외부로 배출한다. 상기 서스셉터(12) 내부에 장착된 냉각 라인(16a)을 통해 냉각수 및 가스 공급 장치(18) 및 제1 파이프(16)로부터 냉각수 및 가스를 공급함으로써 서스셉터(12), 즉 실리콘웨이퍼(14)의 온도를 조정한다. 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 진공 챔버(10) 내부로 공급하여 (즉, 다운플로우(downflow) 공정)상기 실리콘웨이퍼(14) 표면의 산화막과 화학적으로 반응시킨다. 반응층(미도시)의 생성에 의해 상기 화학적 반응이 더 이상 진행되지 않을 때 상기 서스셉터(12)를 상,하 이동 샤프트(20) 및 모터(22)를 이용해 진공 챔버(10) 상단부로 이동시킨다. 진공 챔버 상단부에 설치된 히터(54)를 동작시켜 상기 서스셉터(12) 상에 탑재된 실리콘웨이퍼(14)를 어닐함으로써 산화막 제거시의 부산물, 즉 반응층을 기화시킨다. 상기 실리콘웨이퍼(14)로부터 기화된 부산물을 배기구(24)와 제4 파이프(26)를 통해 외부로 배출시킨다. 진공 챔버(10) 상단부에 위치하고 있는 상기 서스셉터(12)를 상,하 이동 샤프트(20) 및 모터(22)를 이용해 진공 챔버(10)의 하단부로 이동시킨다.The silicon wafer 14 is mounted on the susceptor 12 in a state where the susceptor 12 installed at the lower end of the vacuum chamber 10 and movable up and down is located at the lower end of the vacuum chamber 10. . Gas, etc. present in the vacuum chamber 10 through the exhaust port 24 and the fourth pipe 26 by using the switching valve 28 and the vacuum pump 30 so that the inside of the vacuum chamber 10 is in a vacuum state. Air to the outside. The susceptor 12, that is, the silicon wafer 14, is supplied by cooling water and gas from the cooling water and gas supply device 18 and the first pipe 16 through the cooling line 16a mounted inside the susceptor 12. Adjust the temperature of). A gas containing hydrogen gas and fluorine in a plasma state is supplied into the vacuum chamber 10 (that is, a downflow process) to chemically react with an oxide film on the surface of the silicon wafer 14. When the chemical reaction no longer proceeds due to the generation of a reaction layer (not shown), the susceptor 12 is moved to the upper end of the vacuum chamber 10 by using the up and down moving shaft 20 and the motor 22. Let's do it. The heater 54 installed at the upper end of the vacuum chamber is operated to anneal the silicon wafer 14 mounted on the susceptor 12 to vaporize the by-product during the removal of the oxide film, that is, the reaction layer. The by-products vaporized from the silicon wafer 14 are discharged to the outside through the exhaust port 24 and the fourth pipe 26. The susceptor 12 located at the upper end of the vacuum chamber 10 is moved to the lower end of the vacuum chamber 10 by using the up and down moving shafts 20 and the motor 22.

상술한 공정은 상기 실리콘웨이퍼 표면의 산화막이 완전히 제거될 때까지 1회 이상 반복적으로 행한다. 반복 공정의 필요성에 대해서는 전술한 바 있다.The above-described process is repeatedly performed one or more times until the oxide film on the surface of the silicon wafer is completely removed. The need for an iterative process has been described above.

상기 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 진공 챔버(10) 내부로 공급하는 공정은 수소 가스와 불소를 포함하는 가스를 소정 비율로 혼합한 혼합 가스를 플라즈마 상태로 만든 후 진공 챔버(10) 내부로 공급하거나, 수소 가스는 플라즈마 상태로 진공 챔버(10)로 공급하고 불소를 포함하는 가스는 자연 상태로 진공 챔버(10)로 공급하는 공정이다.In the process of supplying the gas containing hydrogen gas and fluorine in the plasma state into the vacuum chamber 10, the mixed gas obtained by mixing hydrogen gas and gas containing fluorine in a predetermined ratio is converted into a plasma state and then the vacuum chamber 10. ), Or hydrogen gas is supplied to the vacuum chamber 10 in a plasma state, and a gas containing fluorine is supplied to the vacuum chamber 10 in a natural state.

전자의 경우는, 불소를 포함한 가스 공급 소오스(NF3) 및 수소 가스 공급 소오스(H2)로부터 공급된 불소를 포함한 가스 및 수소 가스를 매스 플로우 콘트롤들(40 및 42)을 통과시키면서 그 혼합 양을 조절한 후, 스위칭 밸브들(36 및 38)을 통해 제2 파이프(32)로 공급한다. 제2 파이프(32)로 공급된 혼합 가스, 즉 반응 가스는 마이크로웨이브 가이드(44)를 통과하면서 플라즈마 상태로 여기되고, 상기 제2 파이프(32)와 연결된 가스 공급 라인(50)으로 공급된 후, 다공성의 판(52)를 통과해 진공 챔버(10) 내부 전체에 걸쳐 균일하게 공급된다. 이때, 산화막 제거의 효과를 높이기 위해, 필요에 따라, 아르곤(Ar) 가스와 질소(N2) 가스도 함께 플라즈마 상태로 공급할 수도 있다.In the former case, the mixed amount of the fluorine-containing gas and hydrogen gas supplied from the fluorine-containing gas supply source (NF 3 ) and the hydrogen-gas supply source (H 2 ) is passed through the mass flow controls 40 and 42. After adjusting, it is supplied to the second pipe 32 through the switching valves 36 and 38. The mixed gas supplied to the second pipe 32, that is, the reactant gas is excited in a plasma state while passing through the microwave guide 44, and then supplied to the gas supply line 50 connected to the second pipe 32. Through the porous plate 52, it is uniformly supplied throughout the vacuum chamber 10. At this time, in order to enhance the effect of removing the oxide film, argon (Ar) gas and nitrogen (N 2 ) gas may also be supplied together in a plasma state if necessary.

한편, 후자의 경우, 수소 가스 공급 소오스(H2)로부터 공급된 수소 가스를매스 플로우 콘트롤(42)을 통과시키면서 양을 조절한 후 스위칭 밸브(38)을 통해 제2 파이프(32)로 공급하여 마이크로웨이브 가이드(44)를 통과시키면서 플라즈마 상태로 여기시켜서 진공 챔버(10) 내로 공급하고, 제3 파이프(34)와 연결된 불소를 포함한 가스 공급 소오스(NF3)로부터 공급된 불소를 포함한 가스를 매스 플로우 콘트롤(48)을 통과시키면서 양을 조절한 후 스위칭 밸브(46)을 통해 제3 파이프(34)로 공급하여 자연 상태 그대로 진공 챔버(10) 내로 공급한다. 이때, 전자의 경우와 같이, 산화막 제거의 효과를 높이기 위해, 필요에 따라, 아르곤(Ar) 가스와 질소(N2) 가스도 함께 플라즈마 상태로 공급할 수도 있다.In the latter case, the hydrogen gas supplied from the hydrogen gas supply source H 2 is adjusted while passing through the mass flow control 42, and then supplied to the second pipe 32 through the switching valve 38. While passing through the microwave guide 44, it is excited in a plasma state and supplied into the vacuum chamber 10, and the gas containing fluorine supplied from the gas supply source NF 3 including fluorine connected to the third pipe 34 is massed. The amount is adjusted while passing through the flow control 48, and then supplied to the third pipe 34 through the switching valve 46 and into the vacuum chamber 10 as it is. At this time, as in the case of the former, in order to increase the effect of removing the oxide film, argon (Ar) gas and nitrogen (N 2 ) gas may also be supplied together in a plasma state if necessary.

상기 불소를 포함하는 가스는 삼불화질소(NF3), 육불화황(SF6) 또는 삼불화염소(ClF3) 등이며, 수소 가스에 대한 불소를 포함하는 가스(예컨대, NF3)의 혼합비는 0.1 ∼ 100 정도인 것이 가장 효과적이다.The fluorine-containing gas is nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ), chlorine trifluoride (ClF 3 ), or the like, and a mixing ratio of a gas containing fluorine to hydrogen gas (eg, NF 3 ) It is most effective that is about 0.1-100.

장치 Ⅰ을 이용한 산화막 제거 방법은, 플라즈마를 이용한 건식 세정법에 의한 실리콘웨이퍼의 손상을 최소화하기 위해 진공 챔버(10) 내 최하단 위치에서 다운플로우 공정(즉, 반응 가스 공급 공정)을 진행하고, 이후 용이한 어닐 진행을 위해 실리콘웨이퍼(14)를 진공 챔버(10) 최상단부로 이동시킨 후 진공 챔버(10) 상부에 설치된 IR 램프 또는 레이저에 의해서 어닐 공정을 진행함으로써 동일 챔버 내에서 다운플로우 공정과 어닐 공정을 연속적으로 진행할 수 있다. 또한, 서스셉터(12) 내에는 공정의 재현성을 높이기 위해 실리콘웨이퍼(14)의 온도를 용이하게 제어할 수 있도록 냉각 라인(16a)이 설치되어 있으며, 이러한 냉각라인(16a) 및 이와 관련한 제반의 장치(제1 파이프(16), 냉각 가스 공급 장치(18) 및 온도 조절기(미도시) 등)에 의해 실리콘웨이퍼(14)의 온도를 균일하게 조절할 수 있도록 하였다. 또한, 다운플로우 공정 시 진공 챔버(10) 내의 압력은 스마트 밸브(미도시)에 의해 자동으로 조절되도록 되어 있으며, 다운플로우 진행 중 진공 챔버(10)의 내부는 상기 스마트 밸브에 의해 0.1Torr - 10Torr로 유지된다.The oxide film removal method using the apparatus I performs a downflow process (ie, a reactive gas supply process) at a lowermost position in the vacuum chamber 10 in order to minimize the damage of the silicon wafer by the dry cleaning method using plasma. The silicon wafer 14 is moved to the top of the vacuum chamber 10 for annealing, and then the annealing process is performed by an IR lamp or a laser installed on the vacuum chamber 10. The downflow process and the annealing process are performed in the same chamber. Can proceed continuously. In addition, in the susceptor 12, a cooling line 16a is installed to easily control the temperature of the silicon wafer 14 in order to increase the reproducibility of the process. The temperature of the silicon wafer 14 can be controlled uniformly by the apparatus (the 1st pipe 16, the cooling gas supply apparatus 18, and a thermostat (not shown)). In addition, the pressure in the vacuum chamber 10 is automatically controlled by a smart valve (not shown) during the downflow process, and the interior of the vacuum chamber 10 is 0.1 Torr-10 Torr by the smart valve during the downflow process. Is maintained.

본 발명의 자연 산화막 제거 기구(mechanism)는, 먼저 삼불화질소(NF3) 가스 및 수소 플라즈마로부터 활성화된 각종의 래디컬(radical)들이 실리콘웨이퍼 표면의 산화막과 반응하여 실리콘웨이퍼 표면에 기화 및 제거가 용이한 반응층을 형성하고, 이후 어닐 공정으로 실리콘웨이퍼 표면에 형성되어 있는 반응층 내의 N-H 및 Si-F 계열의 물질을 기화시켜 제거한다. 반응이 진행된 후 실리콘웨이퍼의 표면은 자연 산화막 (또는 산화막)이 모두 제거되고 수소로 피복된 상태가 된다.In the natural oxide film removing mechanism of the present invention, first, various radicals activated from nitrogen trifluoride (NF 3 ) gas and hydrogen plasma react with the oxide film on the surface of the silicon wafer, so that the surface of the silicon wafer is vaporized and removed. An easy reaction layer is formed, and then annealing process removes the NH and Si-F-based materials in the reaction layer formed on the surface of the silicon wafer by vaporization. After the reaction proceeds, the surface of the silicon wafer is in a state where all natural oxide films (or oxide films) are removed and coated with hydrogen.

장치 ⅡDevice II

도 3은 본 발명의 일 실시예에 의한 산화막 제거 방법을 실현하기 위한 반도체 제조 장치 Ⅱ를 도시한 평면도로서, 도면부호 60은 진공 챔버를, 62는 회전 모터를, 64는 로딩/ 언로딩 및 후처리 모듈을, 66은 다운플로우 모듈을, 그리고 68은 어닐 모듈을 나타낸다. 도 4는 상기 도 3의 반도체 제조 장치 Ⅱ의 변형 장치를 도시한 평면도로서, 다운플로우 모듈과 어닐 모듈이 반복적으로 설치되어 있다. 도 4에 있어서, 도면부호 70은 진공 챔버를, 72는 회전 모터를, 74는 로딩/ 언로딩 및 후처리 모듈을, 76은 제1 다운플로우 모듈을, 78은 제1 어닐 모듈을, 80은 제2 다운플로우 모듈을, 그리고 82는 제2 어닐 모듈을 나타낸다.FIG. 3 is a plan view showing a semiconductor manufacturing apparatus II for realizing an oxide film removing method according to an embodiment of the present invention, wherein reference numeral 60 denotes a vacuum chamber, 62 a rotary motor, and 64 a load / unload and A processing module, 66 represents a downflow module, and 68 represents an anneal module. FIG. 4 is a plan view showing a modification of the semiconductor manufacturing apparatus II of FIG. 3, in which a downflow module and an anneal module are repeatedly provided. In Fig. 4, reference numeral 70 denotes a vacuum chamber, 72 a rotary motor, 74 a loading / unloading and post-processing module, 76 a first downflow module, 78 a first anneal module, 80 a A second downflow module, and 82 represents a second anneal module.

진공 챔버(60) 하단부에는 회전 플레이트(진공 챔버(60) 내부의 전 공간)가 설치되어 있고, 이 회전 플레이트 중앙에는 상기 회전 플레이트를 회전시키기 위한 회전 모터(62)가 설치되어 있다. 로딩/ 언로딩 및 후처리 모듈(64), 다운플로우 모듈(66) 및 어닐 모듈(68)은 상기 회전 모터(62)를 중심으로 하여 그 주변의 회전 플레이트에 설치되어 있다.At the lower end of the vacuum chamber 60, a rotating plate (the whole space inside the vacuum chamber 60) is provided, and a rotating motor 62 for rotating the rotating plate is provided at the center of the rotating plate. The loading / unloading and aftertreatment module 64, the downflow module 66, and the annealing module 68 are installed on the rotary plate around the rotary motor 62.

진공 챔버(60)에는 진공 분위기에서 공정 진행이 가능하도록 진공 시스템(미도시)이 설치되어 있으며, 진공 챔버(60) 내에서 실리콘웨이퍼의 위치를 용이하게 변경하기 위해 회전 플레이트를 설치한다. 즉, 회전 플레이트의 이동에 의해 하나의 모듈에서 다른 모듈로 실리콘웨이퍼의 위치를 변경할 수 있으므로 동일 챔버 내에서 연속적으로 다운플로우 공정 및 어닐 공정을 진행할 수 있으며, 또한 연속적으로 다운플로우 공정과 어닐 공정을 수회 반복적으로 진행하는 것이 가능하다.A vacuum system (not shown) is installed in the vacuum chamber 60 to allow the process to proceed in a vacuum atmosphere, and a rotating plate is installed to easily change the position of the silicon wafer in the vacuum chamber 60. That is, since the position of the silicon wafer can be changed from one module to another by moving the rotating plate, the downflow process and the annealing process can be continuously performed in the same chamber, and the downflow process and the annealing process can be continuously performed. It is possible to proceed several times repeatedly.

도 5는 다운플로우 모듈의 구성을 도시하는 단면도이고, 도 6은 어닐 모듈을 도시하는 평면도로서, 도 5 및 도 6을 참조하여 다운플로우 모듈 및 어닐 모듈의 구성을 설명한다.FIG. 5 is a cross-sectional view showing the configuration of the downflow module, and FIG. 6 is a plan view showing the anneal module, and the configurations of the downflow module and the anneal module will be described with reference to FIGS. 5 and 6.

다운플로우 모듈(66)은 실리콘웨이퍼(92)를 탑재하기 위해 회전 플레이트에 설치된 서스셉터(90)(도 3에 있어서는 다운플로우 모듈(66) 전체 영역)와, 상기 서스셉터(90)를 덮는 형상으로 그 상부에 설치된 상.하 이동이 가능한 다운플로우용 챔버(94)와, 상기 다운플로우용 챔버(94) 내 상단부에 설치되며 사용 가스를 서스셉터 상에 탑재된 웨이퍼로 공급하는 가스 확산기(100 및 102)와, 상기 가스 확산기에 연결된 가스 공급 파이프(98)로 구성되어 있다. 상기 서스셉터(90)가 설치된 회전 플레이트에 다운플로우용 챔버(94)를 밀착하기 위해 상기 다운플로우용 챔버(94)의 단부에 가이드 링(96)이 설치되어 있다.The downflow module 66 covers a susceptor 90 (the entire area of the downflow module 66 in FIG. 3) provided on the rotating plate for mounting the silicon wafer 92, and the susceptor 90. A downflow chamber (94) capable of moving up and down installed at an upper portion thereof, and a gas diffuser (100) installed at an upper end of the downflow chamber (94) to supply a used gas to a wafer mounted on a susceptor. And a gas supply pipe 98 connected to the gas diffuser. A guide ring 96 is provided at the end of the downflow chamber 94 to closely contact the downflow chamber 94 to the rotating plate on which the susceptor 90 is installed.

가스 확산기는 가스 공급 파이프(98)로부터 가스를 공급받는 가스 공급 라인(100)과 실리콘웨이퍼(92) 전체에 걸쳐 골고루 반응 가스를 공급하기 위해 상기 가스 공급 라인(100)의 단부에 설치된 다공성의 판(102)으로 구성되어 있다.The gas diffuser is a porous plate installed at the end of the gas supply line 100 to supply the reaction gas evenly across the gas supply line 100 and the silicon wafer 92 that receive gas from the gas supply pipe 98. It consists of 102.

상기 가스 공급 파이프(98)의 일단에는 반응 가스 공급 소오스(N2, H2, NF3로 표시)가 설치되어 있다. 상기 반응 가스 공급 소오스로부터 공급된 반응 가스는 상기 파이프(98)에 설치되어 있는 매스 플로우 콘트롤(104)을 거치면서 반응 가스의 혼합 양이 조절되고, 스위칭 밸브(106)를 통과한다. 스위칭 밸브(106)와 파이프의 타단 사이에는 마이크로웨이브 가이드(108)가 설치되어 있어 파이프(98)를 통과하는 반응가스를 플라즈마 상태로 여기시킨다.One end of the gas supply pipe 98 is provided with a reactive gas supply source (denoted by N 2 , H 2 , NF 3 ). The reactant gas supplied from the reactant gas supply source passes through the mass flow control 104 installed in the pipe 98, and the amount of reactant gas is adjusted and passes through the switching valve 106. A microwave guide 108 is provided between the switching valve 106 and the other end of the pipe to excite the reaction gas passing through the pipe 98 to the plasma state.

어닐 모듈(68)은 실리콘웨이퍼(116)를 탑재하는 서스셉터(110) (도 6에 있어서 진공 챔버(112) 내부 전체 영역, 즉 도 3에 있어서 어닐 모듈(68) 전체 영역)와, 상기 서스셉터(110)를 덮도록 그 상부에 설치된 상.하 이동이 가능한 어닐용 챔버(미도시) (도 5의 다운플로우용 챔버(94) 참조)와, 상기 어닐용 챔버 내 상단부에 설치되어 실리콘웨이퍼를 어닐하는 히터(114)로 구성되어 있다. 또한, 상기 서스셉터가 설치된 회전 플레이트에 어닐용 챔버를 밀착하기 위해 상기 어닐용 챔버의 단부에 가이드 링(미도시) (도 5의 가이드 링(96) 참조)이 설치되어 있다.The anneal module 68 includes a susceptor 110 (the entire region inside the vacuum chamber 112 in FIG. 6, that is, the entire region of the anneal module 68 in FIG. 3) on which the silicon wafer 116 is mounted, and the susceptor 110. An annealing chamber (not shown) (see Fig. 5, downflow chamber 94) capable of moving up and down installed at an upper portion of the annealing chamber to cover the acceptor 110, and a silicon wafer installed at an upper end of the annealing chamber. It consists of the heater 114 which anneals. In addition, a guide ring (not shown) (see guide ring 96 in FIG. 5) is provided at an end of the annealing chamber to closely adhere the chamber for annealing to the rotating plate provided with the susceptor.

상기 히터(114)는 실리콘웨이퍼(116)를 균일하게 가열하기 위해 상기 실리콘웨이퍼(116)와 동일 형상의 원형이 반복적으로 배치된 형태로 설치된다.The heater 114 is installed in a form in which a circular shape having the same shape as the silicon wafer 116 is repeatedly arranged to uniformly heat the silicon wafer 116.

도 3은 다운플로우 모듈과 어닐 모듈이 각 하나씩 설치된 장치를 도시하며, 도 4는 각 모듈이 각각 2개씩 반복적으로 설치된 장치를 도시한다.FIG. 3 shows a device in which a downflow module and an anneal module are installed one by one, and FIG. 4 shows a device in which two modules are repeatedly installed, respectively.

플라즈마 상태의 산소 가스와 불소를 포함한 가스를 혼합한 가스를 사용하여 산화막을 제거하는 방법에 의하면, 다운플로우 공정 중에 실리콘웨이퍼 표면에 상기 혼합 가스와 산화막의 화학적 결합에 의해 (NF4)2SiF6형태의 반응층이 형성되고, 이는 후속으로 진행되는 어닐 공정에 의해 제거된다. 이때, 상기 반응층은 어닐 공정 이전에는 표면 반응을 억제하는 장벽층으로 작용하기 때문에 산화막을 일정량 이상으로 식각하는 것을 불가능하게 하는 문제점이 있다. 따라서, 일정량 이상의 산화막을 식각하기 위해서는 1회 이상의 공정을 진행해야만 한다. 도 1 및 도 2의 장치 Ⅰ의 경우, 진공 챔버 하단부에서 다운플로우 공정을 진행한 후 진공 챔버 상단부로 서스셉터를 이동시켜 어닐 공정을 진행하는데, 이 경우, 진공 챔버 내의 온도가 불안정하게 되거나, 매 공정 시 실리콘웨이퍼의 온도를 동일하게 조절하는 것이 어렵거나, 진공 챔버 내 파티클 관리 문제 등의 문제가 발생될 수 있다.According to the method of removing an oxide film using a gas mixed with oxygen gas in a plasma state and fluorine-containing gas, (NF 4 ) 2 SiF 6 by chemical bonding of the mixed gas and the oxide film to the surface of the silicon wafer during the downflow process. A reaction layer in the form is formed, which is removed by a subsequent annealing process. At this time, since the reaction layer acts as a barrier layer to suppress the surface reaction prior to the annealing process, there is a problem in that it is impossible to etch the oxide film more than a predetermined amount. Therefore, in order to etch a certain amount or more of the oxide film, one or more steps must be performed. In the case of the apparatus I of FIGS. 1 and 2, the downflow process is performed at the lower end of the vacuum chamber, and then the susceptor is moved to the upper end of the vacuum chamber to perform the annealing process. In this case, the temperature in the vacuum chamber becomes unstable, During the process, it is difficult to control the temperature of the silicon wafers equally, or problems such as particle management in the vacuum chamber may occur.

장치 Ⅱ는 이러한 문제를 해결하기 위한 것으로, 다운플로우 공정과 어닐 공정을 서로 다른 모듈에서 진행함으로써 하나의 공정에 의해 다른 공정이 영향받는 것을 최소화하기 위해 하나의 진공 챔버 내에 다운플로우 모듈과 어닐 모듈을 별도로 설치한다.Apparatus II is intended to solve this problem, in which the downflow and anneal modules are integrated into one vacuum chamber in order to minimize the influence of other processes by one process by running the downflow and anneal processes in different modules. Install separately.

장치 Ⅱ에 의하면, 다운플로우 공정과 어닐 공정을 동일 챔버 내에서 연속 반복적으로 진행할 수 있으므로 산화막 식각량을 임의대로 증가시킴과 동시에 그처리량(throughput)을 향상시킬 수 있고, 다운플로우 공정과 어닐 공정을 분리하여 진행할 수 있으므로 공정 재현성 및 안정성을 향상시킬 수 있다.According to the apparatus II, since the downflow process and the annealing process can be continuously and repeatedly performed in the same chamber, the amount of oxide etching can be arbitrarily increased and the throughput can be improved. Since it can proceed separately, process reproducibility and stability can be improved.

장치 Ⅱ를 이용한 산화막 제거 방법Oxide removal method using apparatus II

도 3, 도 5 및 도 6을 참조하여, 장치 Ⅱ를 이용한 산하막 제거 방법을 상세하게 설명한다.Referring to Figs. 3, 5 and 6, the method of removing the underlayer film using the apparatus II will be described in detail.

진공 챔버(60)의 회전 플레이트에 설치된 로딩/ 언로딩 및 후처리 모듈(64)의 서스셉터(90) 상에 실리콘웨이퍼(92)를 탑재한다. 회전 플레이트 중앙에 설치된 회전 모터(62)를 구동하여 상기 서스셉터(90)를 다운플로우 모듈(66)의 다운플로우용 챔버(94) 하부로 이동시킨다. 상기 다운플로우용 챔버(94)를 하부로 이동시킨 후 가이드 링(96)을 이용하여 회전 플레이트와 밀착시킴으로써 상기 다운플로우 모듈(66) 내부를 완전히 밀폐시킨다. 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 다운플로우용 챔버(94) 내부로 공급하여 실리콘웨이퍼(92) 표면의 산화막(미도시)과 화학적으로 반응시켜 반응층을 형성한다. 다운플로우용 챔버(94)를 상부로 이동시켜 상기 회전 플레이트와 탈착시킨 후, 회전 모터(62)를 이용하여 상기 서스셉터(90)를 어닐 모듈(68)의 어닐용 챔버 하부로 이동시킨다. 상기 어닐용 챔버를 하부로 이동시킨 후 가이드 링을 이용하여 회전 플레이트와 밀착시킴으로써 어닐 모듈 내부를 완전히 밀폐시킨다. 어닐용 챔버 내 상단부에 설치된 히터(114)를 이용하여 상기 실리콘웨이퍼를 어닐시킴으로써 실리콘웨이퍼 표면에 형성되어 있는 상기 반응층을 기화시킨다. 상기 실리콘웨이퍼로부터 기화된 반응층, 즉 부산물을 배기시킨다. 어닐용 챔버를 상부로 이동시켜 상기 회전 플레이트와 탈착시킨후, 상기 서스셉터를 로딩/ 언로딩 및 후처리 모듈(64)의 로딩/ 언로딩 및 후처리용 챔버(미도시) 하부로 이동시킨다. 상기 로딩/ 언로딩 및 후처리용 챔버를 하부로 이동시킨 후 가이드 링을 이용하여 회전 플레이트와 밀착시킴으로써 상기 로딩/ 언로딩 및 후처리용 모듈 내부를 완전히 밀폐시킨다. 상기 실리콘웨이퍼의 표면을 처리하는 것이 필요한 경우 수소 가스로 후처리함으로써 그 표면에 수소 보호막을 형성한다. 실리콘웨이퍼를 언로딩한다.The silicon wafer 92 is mounted on the susceptor 90 of the loading / unloading and aftertreatment module 64 installed on the rotating plate of the vacuum chamber 60. The susceptor 90 is moved below the downflow chamber 94 of the downflow module 66 by driving a rotation motor 62 installed at the center of the rotation plate. After moving the downflow chamber 94 downward, the inside of the downflow module 66 is completely sealed by closely contacting the rotating plate using the guide ring 96. A gas containing hydrogen gas and fluorine in a plasma state is supplied into the downflow chamber 94 to chemically react with an oxide film (not shown) on the surface of the silicon wafer 92 to form a reaction layer. After the downflow chamber 94 is moved upward and detached from the rotating plate, the susceptor 90 is moved to the lower part of the annealing chamber of the annealing module 68 using the rotating motor 62. After moving the annealing chamber downward, the inside of the annealing module is completely sealed by being in close contact with the rotating plate using a guide ring. The reaction layer formed on the surface of the silicon wafer is vaporized by annealing the silicon wafer using the heater 114 provided at the upper end of the annealing chamber. The vaporized reaction layer, ie by-products, is exhausted from the silicon wafer. After the annealing chamber is moved upward to detach the rotating plate, the susceptor is moved below the loading / unloading and post-treatment chamber (not shown) of the loading / unloading and aftertreatment module 64. After moving the loading / unloading and post-treatment chamber to the lower side, the inside of the loading / unloading and post-treatment module is completely sealed by closely contacting the rotating plate using a guide ring. If it is necessary to treat the surface of the silicon wafer, a hydrogen protective film is formed on the surface by post-treatment with hydrogen gas. Unload the silicon wafer.

서스셉터를 다운플로우 모듈의 다운플로우용 챔버(94) 하부로 이동시키는 단계에서 실리콘웨이퍼로부터 기화된 반응층을 배기시키는 단계를 1회 이상 순차적으로 반복함으로써 원하는 량 만큼 산화막을 식각할 수 있다.The oxide film may be etched by a desired amount by repeatedly repeating the step of evacuating the vaporized reaction layer from the silicon wafer in the step of moving the susceptor below the downflow chamber 94 of the downflow module.

이때, 반응에 사용되는 상기 불소를 포함하는 가스는 삼불화질소(NF3), 육불화황(SF6) 또는 삼불화염소(ClF3) 등이며, 수소 가스에 대한 불소를 포함하는 가스의 혼합비는 0.1 ∼ 100 정도이다. 또한, 히터(114)는 램프 또는 레이저이다.In this case, the fluorine-containing gas used in the reaction is nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ) or chlorine trifluoride (ClF 3 ), etc., the mixing ratio of the gas containing fluorine to hydrogen gas Is about 0.1-100. In addition, the heater 114 is a lamp or a laser.

명세서 전반에 걸쳐, 산화막이 형성된 기판을 실리콘웨이퍼로 표현하고 있으나, 이는 반도체 웨이퍼를 대표하여 표시한 것으로, 본 발명의 기술적 사상이 실리콘웨이퍼에만 한정됨을 의미하는 것은 아니다.Throughout the specification, the substrate on which the oxide film is formed is represented by a silicon wafer, but this is represented by representing a semiconductor wafer, and the technical concept of the present invention is not limited to the silicon wafer.

본 발명에 의한 산화막 제거 방법 및 산화막 제거를 위한 반도체 제조 장치에 의하면, 산화막의 하부 막질을 손상시키거나 오염시키지 않으면서도 높은 식각 선택비를 갖고 산화막을 제거할 수 있다.According to the oxide film removing method and the semiconductor manufacturing apparatus for removing the oxide film according to the present invention, the oxide film can be removed with a high etching selectivity without damaging or contaminating the lower film quality of the oxide film.

Claims (37)

그 표면에 산화막이 형성되어 있는 실리콘웨이퍼에 플라즈마 상태의 수소 가스 및 불소를 포함하는 가스를 공급하여 상기 산화막과 공급 가스를 화학적으로 반응시키는 단계; 및Chemically reacting the oxide film with a supply gas by supplying a gas containing hydrogen gas and fluorine in a plasma state to a silicon wafer having an oxide film formed on a surface thereof; And 어닐링을 실시하여 상기 화학적 반응에 의해 생성된 부산물을 기화시키는 단계를 구비하는 것을 특징으로 하는 산화막 제거 방법.Performing annealing to vaporize the by-products generated by the chemical reaction. 제1항에 있어서,The method of claim 1, 상기 화학적 반응 단계와 어닐 단계를 반복하여 진행하는 것을 특징으로 하는 산화막 제거 방법.And removing the oxide film by repeating the chemical reaction step and the annealing step. 제1항에 있어서,The method of claim 1, 상기 화학적 반응 단계와 어닐 단계를 하나의 챔버 내에서 연속적으로 진행하는 것을 특징으로 하는 산화막 제거 방법.And removing the chemical reaction step and the annealing step in one chamber. 제3항에 있어서,The method of claim 3, 화학적 반응 단계는 상기 챔버의 하단부에서 진행하고, 어닐 단계는 상기 챔버의 상단부에서 진행하는 것을 특징으로 하는 산화막 제거 방법.The chemical reaction step is carried out at the lower end of the chamber, the annealing step is characterized in that proceeding at the upper end of the chamber. 제1항에 있어서,The method of claim 1, 상기 화학적 반응 단계와 어닐 단계를 하나의 챔버 내에 설치된 여러 개의 공정 모듈들에서 연속적으로 진행하는 것을 특징으로 하는 산화막 제거 방법.And removing the chemical reaction step and the annealing step in a plurality of process modules installed in one chamber. 제5항에 있어서,The method of claim 5, 화학적 반응 단계는 다운플로우 모듈에서 진행하고, 어닐 단계는 어닐 모듈에서 진행하는 것을 특징으로 하는 산화막 제거 방법.Wherein the chemical reaction step proceeds in the downflow module and the anneal step proceeds in the anneal module. 공정 챔버의 하단부에 설치되고, 상.하로 이동이 가능하며, 그 상부에 웨이퍼를 탑재하는 서스셉터;A susceptor installed at a lower end of the process chamber and movable up and down, and for mounting a wafer on the upper part; 공정 챔버의 상단부에 설치된 히터; 및A heater installed at an upper end of the process chamber; And 상기 히터 하부에 설치되어 사용 가스를 공정 챔버 내부로 공급하는 가스 확산기를 구비하는 것을 특징으로 하는 산화막 제거를 위한 반도체 제조 장치.And a gas diffuser installed under the heater to supply a use gas into the process chamber. 제7항에 있어서,The method of claim 7, wherein 상기 서스셉터 내부에는 그 상부에 탑재된 웨이퍼의 온도를 조절하기 위한 냉각 라인이 설치되어 있는 것을 특징으로 하는 산화막 제거를 위한 반도체 제조 장치.The semiconductor manufacturing apparatus for removing an oxide film, characterized in that the cooling line for adjusting the temperature of the wafer mounted on the upper portion of the susceptor is installed. 제7항에 있어서,The method of claim 7, wherein 상기 가스 확산기는 공정 챔버 외부에 설치된 파이프들에서 가스가 공급되는 가스 공급 라인과, 상기 가스 라인의 단부와 연결된 공정 챔버 내부 전체에 걸쳐 골고루 가스를 공급하기 위한 다공성의 판으로 구성된 것을 특징으로 하는 산화막 제거를 위한 반도체 제조 장치.The gas diffuser is formed of a gas supply line through which gas is supplied from pipes installed outside the process chamber, and an oxide film comprising a porous plate for uniformly supplying gas throughout the process chamber connected to an end of the gas line. Semiconductor manufacturing apparatus for removal. 제9항에 있어서,The method of claim 9, 상기 파이프들은 수소 가스와 불소를 포함하는 가스를 소정의 혼합비로 혼합한 혼합 가스나 수소 가스를 플라즈마 상태로 변형하는 마이크로 웨이브 유도 장치를 구비하는 제1 파이프와, 불소를 포함하는 가스를 공급하는 제2 파이프로 구성된것을 특징으로 하는 산화막 제거를 위한 반도체 제조 장치.The pipes may include a first pipe including a mixed gas obtained by mixing a gas containing hydrogen gas and fluorine at a predetermined mixing ratio or a microwave induction device for transforming hydrogen gas into a plasma state, and a first gas supplying gas containing fluorine. A semiconductor manufacturing apparatus for removing an oxide film, characterized in that consisting of two pipes. 제7항에 있어서,The method of claim 7, wherein 상기 히터는 램프 또는 레이저인 것을 특징으로 하는 산화막 제거를 위한 반도체 제조 장치.The heater is a semiconductor manufacturing apparatus for removing the oxide film, characterized in that the lamp or a laser. 공정 챔버의 하단부에 설치되고 상.하로 이동이 가능한 서스셉터가 상기 공정 챔버의 하단부에 위치한 상태에서 웨이퍼를 탑재하는 단계;Mounting a wafer in a state in which a susceptor installed at a lower end of the process chamber and movable up and down is positioned at a lower end of the process chamber; 상기 서스셉터 내부에 장착된 냉각 라인을 통해 냉각수 및 가스를 공급함으로써 웨이퍼의 온도를 조정하는 단계;Adjusting the temperature of the wafer by supplying coolant and gas through a cooling line mounted inside the susceptor; 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공정 챔버 내부로 공급하여 상기 웨이퍼 표면의 산화막과 화학적으로 반응시키는 단계;Supplying a gas containing hydrogen gas and fluorine in a plasma state into a process chamber to chemically react with an oxide film on the wafer surface; 상기 서스셉터를 공정 챔버 상단부로 이동시키는 단계;Moving the susceptor to the top of the process chamber; 공정 챔버 상단부에 설치된 히터로 상기 서스셉터 상에 탑재된 웨이퍼를 어닐함으로써 산화막 제거시의 부산물을 기화시키는 단계; 및Vaporizing a byproduct upon removal of the oxide film by annealing the wafer mounted on the susceptor with a heater installed at an upper end of the process chamber; And 상기 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 구비하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.And evacuating the vaporized by-product from the wafer. 제12항에 있어서,The method of claim 12, 웨이퍼로부터 기화된 부산물을 배기시킨 후, 상기 서스셉터를 공정 챔버의하단부로 이동시키는 단계와, 상기 웨이퍼 표면의 산화막을 제거하는 단계에서 상기 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 1회이상 반복하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.After evacuating the vaporized by-products from the wafer, moving the susceptor to the bottom of the process chamber and evacuating the vaporized by-products from the wafer in one or more steps of removing the oxide film on the wafer surface. An oxide film removal method using a semiconductor manufacturing apparatus. 제12항에 있어서,The method of claim 12, 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공정 챔버 내부로 공급하는 공정은 수소 가스와 불소를 포함하는 가스를 소정 비율로 혼합한 혼합 가스를 플라즈마 상태로 만든 후 공정 챔버 내부로 공급하는 공정인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.The process of supplying a gas containing hydrogen gas and fluorine in a plasma state into a process chamber is a process of supplying a mixed gas of hydrogen gas and fluorine containing gas at a predetermined ratio into a plasma state and then supplying it into the process chamber. An oxide film removing method using a semiconductor manufacturing apparatus, characterized in that. 제12항에 있어서,The method of claim 12, 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 공정 챔버 내부로 공급하는 공정은 수소 가스는 플라즈마 상태로 공정 챔버로 공급하고 불소를 포함하는 가스는 자연 상태로 공정 챔버로 공급하는 공정인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.The process of supplying a gas containing hydrogen gas and fluorine in a plasma state into the process chamber is characterized in that the hydrogen gas is supplied to the process chamber in a plasma state, and the gas containing fluorine is supplied to the process chamber in a natural state. An oxide film removal method using a semiconductor manufacturing apparatus. 제12항에 있어서,The method of claim 12, 불소를 포함하는 가스는 삼불화질소(NF3), 육불화황(SF6) 및 삼불화염소(ClF3) 등과 같이 불소를 포함하는 가스 중 어느 하나인 것을 특징으로하는 반도체 제조 장치를 이용한 산화막 제거 방법.The fluorine-containing gas is any one of a gas containing fluorine, such as nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ), and chlorine trifluoride (ClF 3 ). How to remove. 제12항에 있어서,The method of claim 12, 수소 가스에 대한 불소를 포함하는 가스의 혼합비는 0.1 ∼ 100Vol% 정도인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.The mixing ratio of the gas containing fluorine with respect to hydrogen gas is about 0.1-100Vol%, The oxide film removal method using the semiconductor manufacturing apparatus characterized by the above-mentioned. 제12항에 있어서,The method of claim 12, 수소 가스와 불소를 포함하는 가스를 소정 비로 혼합한 혼합 가스를 질소(N2)와 아르곤(Ar) 가스를 함께 플라즈마 상태로 공급하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.A method of removing an oxide film using a semiconductor manufacturing apparatus, characterized by supplying nitrogen (N 2 ) and argon (Ar) gas together in a plasma state with a mixed gas obtained by mixing a gas containing hydrogen gas and fluorine in a predetermined ratio. 제12항에 있어서,The method of claim 12, 상기 어닐은 램프 또는 레이저를 이용하는 진행하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.The annealing is carried out using a lamp or a laser, characterized in that the oxide film removal method using a semiconductor manufacturing apparatus. 제19항에 있어서,The method of claim 19, 상기 레이저는 네오디뮴(Nd)-야그(YAG) 레이저, 이산화탄소(CO2) 레이저 또는 엑시머 레이저인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.And the laser is a neodymium (Nd) -yag (YAG) laser, a carbon dioxide (CO 2 ) laser, or an excimer laser. 삭제delete 삭제delete 공정 챔버 하단부에 설치된 회전 플레이트;A rotating plate installed at the bottom of the process chamber; 회전 플레이트 중앙에 설치되어 상기 회전 플레이트를 회전시키는 회전 모터; 및A rotating motor installed at the center of the rotating plate to rotate the rotating plate; And 상기 회전 모터를 중심으로하여 그 주변의 회전 플레이트에 설치된 로딩/ 언로딩 및 후처리 모듈, 다운플로우 모듈 및 어닐 모듈을 구비하는 것을 특징으로 하는 산화막 제거를 위한 반도체 장치.And a loading / unloading and post-processing module, a downflow module, and an anneal module installed on a rotary plate around the rotary motor. 제23항에 있어서,The method of claim 23, wherein 상기 다운플로우 모듈은, 웨이퍼를 탑재하기 위해 회전 플레이트에 설치된 서스셉터와, 상기 서스셉터를 덮는 형상으로 그 상부에 설치된 상.하 이동이 가능한 다운플로우용 챔버와, 상기 다운플로우용 챔버 내 상단부에 설치되며 사용 가스를 서스셉터 상에 탑재된 웨이퍼로 공급하는 가스 확산기와, 상기 가스 확산기에 연결된 가스 공급 파이프로 구성된 것을 특징으로 하는 산화막 제거를 위한 반도체 장치.The downflow module includes a susceptor installed on a rotating plate for mounting a wafer, a downflow chamber capable of moving up and down installed on top of the susceptor, and an upper end portion of the downflow chamber. And a gas diffuser installed to supply the used gas to the wafer mounted on the susceptor, and a gas supply pipe connected to the gas diffuser. 제24항에 있어서,The method of claim 24, 상기 서스셉터가 설치된 회전 플레이트에 다운플로우용 챔버를 밀착하기 위해 상기 다운플로우용 챔버의 단부에 가이드 링을 더 구비하는 것을 특징으로 하는산화막 제거를 위한 반도체 장치.And a guide ring at an end of the downflow chamber to closely contact the downflow chamber to the rotating plate provided with the susceptor. 제24항에 있어서,The method of claim 24, 상기 가스 확산기에 수소 가스와 불소를 포함하는 가스를 소정의 혼합비로 혼합한 혼합 가스나 수소 가스를 플라즈마 상태로 변형하는 마이크로 웨이브 유도 장치를 구비하는 파이프가 연결되어 있는 것을 특징으로 하는 산화막 제거를 위한 반도체 장치.The gas diffuser is connected to a pipe having a mixed gas obtained by mixing a gas containing hydrogen gas and fluorine at a predetermined mixing ratio or a microwave induction device for transforming hydrogen gas into a plasma state. Semiconductor device. 제23항에 있어서,The method of claim 23, wherein 상기 어닐 모듈은, 웨이퍼를 탑재하는 서스셉터와, 상기 서스셉터를 덮도록 그 상부에 설치된 상.하 이동이 가능한 어닐용 챔버와, 상기 어닐용 챔버 내 상단부에 설치되어 웨이퍼를 어닐하는 히터로 구성된 것을 특징으로 하는 산화막 제거를 위한 반도체 장치.The annealing module includes a susceptor on which a wafer is mounted, an annealing chamber capable of moving up and down provided on an upper portion of the annealing chamber to cover the susceptor, and a heater installed at an upper end of the annealing chamber to anneal the wafer. A semiconductor device for removing an oxide film, characterized in that. 제27항에 있어서,The method of claim 27, 상기 서스셉터가 설치된 회전 플레이트에 어닐 챔버를 밀착하기 위해 상기 어닐 챔버의 단부에 가이드 링을 더 구비하는 것을 특징으로 하는 산화막 제거를 위한 반도체 장치.And an guiding ring at an end of the anneal chamber to closely anneal the anneal chamber to the rotating plate provided with the susceptor. 제23항에 있어서,The method of claim 23, wherein 회전 플레이트에 상기 다운플로우 모듈과 어닐 모듈이 반복적으로 하나 이상씩 설치되어 있는 것을 특징으로 하는 산화막 제거를 위한 반도체 장치.And at least one of the downflow module and the annealing module is repeatedly provided on the rotating plate. 공정 챔버의 회전 플레이트에 설치된 로딩/ 언로딩 및 후처리 모듈의 서스셉터 상에 웨이퍼를 탑재하는 단계;Mounting a wafer on a susceptor of a loading / unloading and aftertreatment module installed in a rotating plate of a process chamber; 회전 플레이트 중앙에 설치된 회전 모터를 구동하여 상기 서스셉터를 다운플로우 모듈의 다운플로우용 챔버 하부로 이동시키는 단계;Driving the susceptor to a lower portion of the downflow chamber of the downflow module by driving a rotation motor installed at the center of the rotation plate; 상기 다운플로우용 챔버를 하부로 이동시켜 회전 플레이트와 밀착시킴으로써 상기 다운플로우 모듈 내부를 완전히 밀폐시키는 단계;Moving the downflow chamber downward to closely contact the rotating plate to completely seal the inside of the downflow module; 플라즈마 상태의 수소 가스와 불소를 포함하는 가스를 다운플로우용 챔버 내부로 공급하여 웨이퍼 표면의 산화막과 화학적으로 반응시키는 단계;Supplying a gas containing hydrogen gas and fluorine in a plasma state into the downflow chamber to chemically react with an oxide film on the wafer surface; 다운플로우용 챔버를 상부로 이동시켜 상기 회전 플레이트와 탈착시킨 후, 상기 서스셉터를 어닐 모듈의 어닐용 챔버 하부로 이동시키는 단계;Moving the downflow chamber upward to detach the rotating plate, and then moving the susceptor below the annealing chamber of the anneal module; 상기 어닐용 챔버를 하부로 이동시켜 회전 플레이트와 밀착시킴으로써 어닐 모듈 내부를 완전히 밀폐시키는 단계;Moving the annealing chamber downward to closely contact the rotating plate to completely seal the inside of the annealing module; 어닐용 챔버 내 상단부에 설치된 히터를 이용하여 상기 웨이퍼를 어닐시킴으로써 웨이퍼 표면의 산화막과 공급 가스의 화학적 반응에 의해 형성된 부산물을 기화시키는 단계; 및Vaporizing the by-product formed by chemical reaction between the oxide film and the supply gas on the wafer surface by annealing the wafer using a heater installed at an upper end of the annealing chamber; And 상기 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 구비하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.And evacuating the vaporized by-product from the wafer. 제30항에 있어서,The method of claim 30, 어닐용 챔버를 상부로 이동시켜 상기 회전 플레이트와 탈착시킨 후, 상기 서스셉터를 로딩/ 언로딩 및 후처리 모듈의 로딩/ 언로딩 및 후처리용 챔버 하부로 이동시키는 단계와, 상기 로딩/ 언로딩 및 후처리용 챔버를 하부로 이동시켜 회전 플레이트와 밀착시킴으로써 상기 로딩/ 언로딩 및 후처리용 모듈 내부를 완전히 밀폐시키는 단계와, 상기 웨이퍼를 수소 가스로 후처리하는 단계를 더 구비하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.Moving the annealing chamber to the upper side to detach the rotating plate, and then moving the susceptor to the lower part of the chamber for loading / unloading and post-treatment of the loading / unloading and post-treatment module; And completely sealing the inside of the loading / unloading and post-treatment module by moving the post-treatment chamber to be in close contact with the rotating plate, and post-processing the wafer with hydrogen gas. An oxide film removal method using a semiconductor manufacturing apparatus. 제30항에 있어서,The method of claim 30, 서스셉터를 다운플로우 모듈의 다운플로우용 챔버 하부로 이동시키는 단계에서 웨이퍼로부터 기화된 부산물을 배기시키는 단계를 1회이상 순차적으로 반복하는 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.And removing the vaporized by-products from the wafer in the step of moving the susceptor to the bottom of the downflow chamber of the downflow module. 제30항에 있어서,The method of claim 30, 상기 불소를 포함하는 가스는 삼불화질소(NF3), 육불화황(SF6) 및 삼불화염소(ClF3) 등과 같이 불소를 포함하는 가스 중 어느 하나인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.The fluorine-containing gas is any one of a gas containing fluorine, such as nitrogen trifluoride (NF 3 ), sulfur hexafluoride (SF 6 ), and chlorine trifluoride (ClF 3 ). Oxide removal method. 제30항에 있어서,The method of claim 30, 수소 가스에 대한 불소를 포함하는 가스의 혼합비는 0.1 ∼ 100 정도인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.The mixing ratio of the gas containing fluorine with respect to hydrogen gas is about 0.1-100, The oxide film removal method using the semiconductor manufacturing apparatus characterized by the above-mentioned. 제30항에 있어서,The method of claim 30, 상기 히터는 램프 또는 레이저인 것을 특징으로 하는 반도체 제조 장치를 이용한 산화막 제거 방법.And the heater is a lamp or a laser. 삭제delete 삭제delete
KR1019990046365A 1999-10-25 1999-10-25 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer KR100338768B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1019990046365A KR100338768B1 (en) 1999-10-25 1999-10-25 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
JP2000321430A JP4871444B2 (en) 1999-10-25 2000-10-20 Oxide film removing method and semiconductor manufacturing apparatus for removing oxide film
US10/997,902 US7488688B2 (en) 1999-10-25 2004-11-29 Method of removing oxide layer and semiconductor manufacturing apparatus for removing oxide layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990046365A KR100338768B1 (en) 1999-10-25 1999-10-25 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020010064778A Division KR100327349B1 (en) 2001-10-19 2001-10-19 Method for fabricating of double oxide layer of semiconductor device

Publications (2)

Publication Number Publication Date
KR20010038404A KR20010038404A (en) 2001-05-15
KR100338768B1 true KR100338768B1 (en) 2002-05-30

Family

ID=19616765

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990046365A KR100338768B1 (en) 1999-10-25 1999-10-25 Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer

Country Status (3)

Country Link
US (1) US7488688B2 (en)
JP (1) JP4871444B2 (en)
KR (1) KR100338768B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101332252B1 (en) 2011-02-23 2013-11-22 도쿄엘렉트론가부시키가이샤 Microwave irradiation apparatus
KR101346850B1 (en) 2007-05-11 2014-01-07 주성엔지니어링(주) Substrate processing apparatus for heating substrate uniformly and removal method of natural oxide layer and substrate processing method using the same

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3929261B2 (en) * 2000-09-25 2007-06-13 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
US6912029B2 (en) 2000-12-18 2005-06-28 Nippon Kayaku Kabushiki Kaisha Optical film and polarizing film using the same, and method for improving view angle of the polarizing film
KR100573929B1 (en) * 2001-12-14 2006-04-26 (주)에이피엘 Apparatus and method for surface cleaning using plasma
KR100431657B1 (en) * 2001-09-25 2004-05-17 삼성전자주식회사 Method and apparatus for processing a wafer, method and apparatus for etching a wafer
KR100442167B1 (en) * 2001-12-26 2004-07-30 주성엔지니어링(주) Method of removing native oxide film
US20070062647A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Method and apparatus for isolative substrate edge area processing
JP4977316B2 (en) * 2002-05-24 2012-07-18 カーハーエス コーポプラスト ゲーエムベーハー Plasma processing method and apparatus for workpiece
US20060239916A1 (en) * 2005-01-07 2006-10-26 Kai Licha Use of cyanine dyes for the diagnosis of proliferative diseases
WO2007103643A2 (en) 2006-03-08 2007-09-13 Applied Materials, Inc. Method and apparatus for thermal processing structures formed on a substrate
US7569463B2 (en) * 2006-03-08 2009-08-04 Applied Materials, Inc. Method of thermal processing structures formed on a substrate
US7718032B2 (en) 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
KR100827476B1 (en) * 2006-08-29 2008-05-06 동부일렉트로닉스 주식회사 Degas chamber for manufacturing a semiconductor wafer and degas process using thereof
US20080069952A1 (en) * 2006-09-18 2008-03-20 Atmel Corporation Method for cleaning a surface of a semiconductor substrate
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
KR100924653B1 (en) * 2007-09-13 2009-11-03 피에스케이 주식회사 Apparatus and methed for treating substrates
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8883650B2 (en) * 2008-01-24 2014-11-11 United Microelectronics Corp. Method of removing oxides
US10655219B1 (en) * 2009-04-14 2020-05-19 Goodrich Corporation Containment structure for creating composite structures
US8211808B2 (en) * 2009-08-31 2012-07-03 Applied Materials, Inc. Silicon-selective dry etch for carbon-containing films
US8435902B2 (en) * 2010-03-17 2013-05-07 Applied Materials, Inc. Invertable pattern loading with dry etch
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5713808B2 (en) * 2010-07-09 2015-05-07 東京エレクトロン株式会社 Plasma processing method and semiconductor device manufacturing method
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101870667B1 (en) * 2011-08-17 2018-06-26 세메스 주식회사 Substrate Processing Apparatus and Substrate Processing Methode
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR20130039963A (en) * 2011-10-13 2013-04-23 주식회사 테스 Substrate processing system and substrate processing method using the same
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136173B2 (en) 2012-11-07 2015-09-15 Semiconductor Components Industries, Llc Singulation method for semiconductor die having a layer of material along one major surface
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
KR102086362B1 (en) * 2013-03-08 2020-03-09 삼성전자주식회사 Apparatus of Monitoring a Process Using Poarized Light and Method Thereof
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
WO2015016149A1 (en) * 2013-07-29 2015-02-05 株式会社日立国際電気 Substrate processing device, method for producing semiconductor device, and recording medium
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9418894B2 (en) 2014-03-21 2016-08-16 Semiconductor Components Industries, Llc Electronic die singulation method
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
JP6418794B2 (en) * 2014-06-09 2018-11-07 東京エレクトロン株式会社 MODIFICATION TREATMENT METHOD AND SEMICONDUCTOR DEVICE MANUFACTURING METHOD
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160355947A1 (en) * 2015-06-05 2016-12-08 Sensor Electronic Technology, Inc. Susceptor Heating For Epitaxial Growth Process
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10366923B2 (en) 2016-06-02 2019-07-30 Semiconductor Components Industries, Llc Method of separating electronic devices having a back layer and apparatus
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
KR102204116B1 (en) * 2016-09-14 2021-01-19 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 Strip process with high aspect ratio structures
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP7073098B2 (en) * 2017-12-27 2022-05-23 株式会社日立ハイテク Wafer processing method and wafer processing equipment
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102099886B1 (en) * 2018-05-23 2020-04-14 세메스 주식회사 Method for treating substrate and apparatus for treating substrate
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102117354B1 (en) * 2018-08-27 2020-06-02 세메스 주식회사 Apparatus for treating substrate and method for treating substrate
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI740709B (en) 2020-11-10 2021-09-21 禾聚實業有限公司 Styrene copolymer composition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2801003B2 (en) 1987-06-26 1998-09-21 株式会社日立製作所 Organic matter removal equipment
US4836905A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Processing apparatus
JPH04196528A (en) 1990-11-28 1992-07-16 Toshiba Corp Magnetron etching system
US5372969A (en) * 1991-12-31 1994-12-13 Texas Instruments Incorporated Low-RC multi-level interconnect technology for high-performance integrated circuits
JP3084497B2 (en) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
JP3148004B2 (en) 1992-07-06 2001-03-19 株式会社東芝 Optical CVD apparatus and method for manufacturing semiconductor device using the same
US5610105A (en) * 1992-10-23 1997-03-11 Vlsi Technology, Inc. Densification in an intermetal dielectric film
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6143081A (en) 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5863327A (en) 1997-02-10 1999-01-26 Micron Technology, Inc. Apparatus for forming materials
KR100551980B1 (en) 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 Method and Apparatus of Processing Wafers with Low Mass Support
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4124543B2 (en) * 1998-11-11 2008-07-23 東京エレクトロン株式会社 Surface treatment method and apparatus
JP4057198B2 (en) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 Processing apparatus and processing method
US6313042B1 (en) * 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101346850B1 (en) 2007-05-11 2014-01-07 주성엔지니어링(주) Substrate processing apparatus for heating substrate uniformly and removal method of natural oxide layer and substrate processing method using the same
KR101332252B1 (en) 2011-02-23 2013-11-22 도쿄엘렉트론가부시키가이샤 Microwave irradiation apparatus

Also Published As

Publication number Publication date
JP4871444B2 (en) 2012-02-08
US7488688B2 (en) 2009-02-10
JP2001135622A (en) 2001-05-18
KR20010038404A (en) 2001-05-15
US20050087893A1 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
KR100338768B1 (en) Method for removing oxide layer and semiconductor manufacture apparatus for removing oxide layer
KR100382725B1 (en) Method of manufacturing semiconductor device in the clustered plasma apparatus
US6776874B2 (en) Processing method and apparatus for removing oxide film
KR100580584B1 (en) Method for cleaning a surface of a remote plasma generating tube and method and apparatus for processing a substrate using the same
US9449850B2 (en) Processing systems and methods for halide scavenging
US8991333B2 (en) Substrate processing method and system
KR100316721B1 (en) Method of manufacturing semiconductor device having a silicide layer
JPH028361A (en) Treatment apparatus and method
JP2007538397A (en) Substrate surface cleaning method
KR100360399B1 (en) Method of manufacturing semiconductor capacitor having a hemispherical grain layer
US8124536B2 (en) Manufacturing method of capacitor electrode, manufacturing system of capacitor electrode, and storage medium
KR100605884B1 (en) Surface treatment method and surface treatment apparatus
KR102606417B1 (en) Etching method, damage layer removal method, and storage medium
WO2020188958A1 (en) Substrate processing method and substrate processing device
JPH02320A (en) Apparatus and method of treatment
JPH02319A (en) Apparatus and method of treatment
KR100327349B1 (en) Method for fabricating of double oxide layer of semiconductor device
US20050284572A1 (en) Heating system for load-lock chamber
US20220267909A1 (en) Substrate processing method and substrate processing apparatus
JPH01152629A (en) Apparatus and method for treatment
TW202335084A (en) Highly selective silicon etching
KR20030061515A (en) Dry etching apparatus of semiconductor device and method for dry etching therby

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120430

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20130430

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee