JPH10321725A - Method and apparatus for designing semiconductor integrated circuit - Google Patents

Method and apparatus for designing semiconductor integrated circuit

Info

Publication number
JPH10321725A
JPH10321725A JP9124378A JP12437897A JPH10321725A JP H10321725 A JPH10321725 A JP H10321725A JP 9124378 A JP9124378 A JP 9124378A JP 12437897 A JP12437897 A JP 12437897A JP H10321725 A JPH10321725 A JP H10321725A
Authority
JP
Japan
Prior art keywords
cell
delay time
standard
supply voltage
standard cells
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9124378A
Other languages
Japanese (ja)
Inventor
Migaku Uchino
琢 内野
Masako Murofushi
真佐子 室伏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP9124378A priority Critical patent/JPH10321725A/en
Publication of JPH10321725A publication Critical patent/JPH10321725A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To enable design of a semiconductor integrated circuit in consideration of a voltage drop by determining a delay time between standard cells and an interconnection therebetween from an estimated supply voltage while referring to a cell library, and subjecting the circuit to a given processing, when the delay time violates a preset timing limitation. SOLUTION: Delay times 15 relative to supply voltages 17 of standard cells are written in a cell library 1 beforehand for estimation of voltages to be supplied with respect to individual standard cells from the once determined arbitrary arrangement of the standard cells and an interconnection therebetween. By referring to the cell library 1, a delay time 15 between the standard cells and the interconnection therebetween obtained from the estimated supply voltage 17. When a preset timing analyzer 5 judges that the delay time 15 violates a timing limitation, a predetermined treatment is performed. In this way malfunctions of a chip caused by the drop in supply voltage can be avoided at a design stage, thereby enobling design of circuits while taking the voltage drop into account.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、CAD(Computer
Aided Design :計算機支援設計)を利用した半導体集
積回路の設計方法及び装置に関し、特に、セミカスタム
ICの設計に適した設計方法及び装置に関する。
[0001] The present invention relates to a CAD (Computer).
The present invention relates to a method and an apparatus for designing a semiconductor integrated circuit using Aided Design (Computer Aided Design), and more particularly to a design method and an apparatus suitable for designing a semi-custom IC.

【0002】[0002]

【従来の技術】近年、特定用途集積回路(ASIC,Ap
plication Specific Integrated Circuit )の市場が増
大しつつある。特定用途集積回路(以下、「ASIC」
と呼ぶ)とは、その名の通り、特定用途に向けて、必要
な機能を集積して構成するLSI(Large Scale Integr
ated Circuit)のことであり、その代表的な例としてゲ
ートアレイやスタンダートセルがある。これらは、全設
計工程を人手によって行うフルカスタムICに対して、
セミカスタムICと呼ばれ、予め標準の基本回路(論理
セル)を準備し、ユーザの希望するLSIを、これらの
論理セルの計算機による自動設計を行うことにより、短
期間に開発することを可能にしたものである。
2. Description of the Related Art In recent years, integrated circuits for specific applications (ASIC, Ap) have been developed.
The market for replication specific integrated circuits) is growing. Application Specific Integrated Circuit (hereinafter "ASIC")
As the name implies, an LSI (Large Scale Integrated) that integrates and configures necessary functions for a specific application.
ated circuit), typical examples of which include gate arrays and standard cells. These are for full custom ICs where the entire design process is performed manually.
It is called a semi-custom IC, and it is possible to develop a standard basic circuit (logic cell) in advance and to develop the LSI desired by the user in a short period of time by automatically designing these logic cells using a computer. It was done.

【0003】例えば、スタンダードセルは、基本論理回
路を組み合わせて作った少し複雑な論理回路(ブロッ
ク)を、最適設計してコンピュータのデータベースに標
準セルとして登録しておき、実際に、LSIを設計する
場合に、そのデータベースにあるこれらの各種の標準セ
ルを組み合わせて実現する。図4に示すように、半導体
チップ101上に、必要な標準セルをセル列103とし
て何列かに並べ、各セル間を結ぶ全体の配線長が最も短
くなるように、コンピュータを用いてセルの配置と配線
パターン及び配線チャネル(セル列とセル列の間の領
域)105の幅が決められる。標準セルが登録されるデ
ータベースは、一般に、セルライブラリ(Cell Librar
y)と呼ばれ、そこに登録されているセルの種類が豊富
なほど、無駄の少ないLSIを設計することができる。
For example, in a standard cell, a slightly complicated logic circuit (block) formed by combining basic logic circuits is optimally designed and registered as a standard cell in a computer database, and an LSI is actually designed. In such a case, it is realized by combining these various standard cells in the database. As shown in FIG. 4, necessary standard cells are arranged in several rows on a semiconductor chip 101 as a cell row 103, and the cells are arranged using a computer so that the entire wiring length connecting the cells becomes the shortest. The arrangement, the wiring pattern, and the width of the wiring channel (region between cell columns) 105 are determined. The database in which standard cells are registered is generally a cell library (Cell Librar
This is called y), and the more types of cells registered therein, the more wasteful LSIs can be designed.

【0004】[0004]

【発明が解決しようとする課題】近年、LSIの微細化
による配線断面積の縮小、高集積化による配線長の増大
等の理由から配線抵抗が増加する一方であり、電源供給
線もその例外ではない。通常、LSIでは、図4に示す
ように、チップ外部からの電源をチップ周辺部に配置さ
れたボンディングパッド106を介して外部からチップ
内部に電圧を供給するが、チップ周辺部から離れたチッ
プ中心部では、上記電源供給線の配線抵抗のために、セ
ルに対する供給電圧が降下してしまう。そして、この電
圧降下は、直接的、あるいは間接的に次のようなチップ
の誤動作を招くおそれがあった。
In recent years, wiring resistance has been increasing due to reasons such as reduction in wiring cross-sectional area due to miniaturization of LSI and increase in wiring length due to higher integration, and power supply lines are not exceptional. Absent. Normally, in an LSI, as shown in FIG. 4, a power supply from the outside of the chip supplies a voltage from the outside to the inside of the chip through a bonding pad 106 arranged on the periphery of the chip. In the section, the supply voltage to the cell drops due to the wiring resistance of the power supply line. The voltage drop may directly or indirectly cause the following malfunction of the chip.

【0005】(1)直接的誤動作 セルに供給される電圧が、そのセルの正常動作可能領域
を逸脱している場合、そのセルは誤動作する可能性があ
る。具体的には、例えば、供給される電圧がそのセルを
構成するトランジスタのしきい値以下となり、そのトラ
ンジスタがオンしない場合である。
(1) Direct malfunction If the voltage supplied to a cell deviates from the normal operable region of the cell, the cell may malfunction. Specifically, for example, a case where the supplied voltage becomes equal to or lower than the threshold value of the transistor constituting the cell and the transistor does not turn on.

【0006】(2)間接的誤動作 セルに供給される電圧が、そのセルの正常動作可能領域
内にはあるが、そのセルの遅延時間が著しく変化してし
まう場合、そのセルに接続されている別のセルが誤動作
する可能性がある。具体的には、例えば、供給される電
圧がそのセルを構成するトランジスタのしきい値以上で
はあるが、十分な大きさではないためにそのトランジス
タの動作スピードが低下し、それによりそのセル内の遅
延時間が増大してしまう場合である。
(2) Indirect malfunction If the voltage supplied to a cell is within the normal operable region of the cell, but the delay time of the cell changes significantly, the cell is connected to the cell. Another cell may malfunction. Specifically, for example, the supplied voltage is equal to or higher than the threshold value of the transistor constituting the cell, but is not large enough to decrease the operation speed of the transistor, thereby reducing the operation speed of the cell. This is the case where the delay time increases.

【0007】このような直接的誤動作、間接的誤動作
は、LSIの大規模化、微細化に伴い、ますます増大す
る傾向にあり、上記電圧降下を考慮した設計を行う必要
が生じている。
[0007] Such direct malfunctions and indirect malfunctions tend to increase further with the scale-up and miniaturization of LSIs, and it is necessary to design in consideration of the above voltage drop.

【0008】さらに、ASICの測定・評価・解析は、
通常、プロセス終了後のES(Engineering Sample)を
入手してから行われており、設計の段階から上記電圧降
下を考慮することが、開発期間短縮を図る上でも重要で
ある。
Further, ASIC measurement, evaluation and analysis
Usually, the process is performed after obtaining an ES (Engineering Sample) after the process is completed. It is important to consider the above voltage drop from the design stage in order to shorten the development period.

【0009】本発明は上記事情に鑑みて成されたもので
あり、その目的は、各標準セルの供給電圧依存性をセル
ライブラリに記述し、そのセルライブラリを参照してセ
ミカスタム設計を行うことにより、電圧降下を考慮した
設計を可能とする半導体集積回路の設計方法及び装置を
提供することにある。
The present invention has been made in view of the above circumstances, and has as its object to describe the supply voltage dependence of each standard cell in a cell library and to perform a semi-custom design with reference to the cell library. Accordingly, it is an object of the present invention to provide a method and an apparatus for designing a semiconductor integrated circuit which enables a design in consideration of a voltage drop.

【0010】[0010]

【課題を解決するための手段】上記の目的を達成するた
めに本発明では、セルライブラリに予め登録された複数
の標準セルを組み合わせることにより設計を行うセミカ
スタム設計において、前記セルライブラリに、従来のセ
ルライブラリには記述されていなかった各標準セルの供
給電圧に対する遅延時間をさらに追加した構成となって
いる。
In order to achieve the above object, the present invention provides a semi-custom design for designing by combining a plurality of standard cells registered in advance in a cell library. In this configuration, a delay time with respect to the supply voltage of each standard cell, which is not described in the cell library, is further added.

【0011】上記構成によれば、セルライブラリに各標
準セルの供給電圧に対する遅延時間が記述されているの
で、一旦決定された任意の標準セルの配置及びそれらの
間の配線から各標準セルに供給される電圧を予測すれ
ば、その予測された供給電圧から前記セルライブラリを
参照することにより前記標準セル及びそれらを結ぶ配線
の遅延時間を求めることができる。そして、その遅延時
間が予め設定されているタイミング制約を違反している
のであれば、例えば前記標準セルを結ぶ配線を短縮する
ことでタイミング違反を回避することができる。また、
その予測された供給電圧では正常動作が不可能であると
判断された標準セルに対しては前記セルライブラリを参
照してその供給電圧で正常動作が可能な標準セルによる
置き換えを行うこともできる。
According to the above configuration, since the delay time for the supply voltage of each standard cell is described in the cell library, the arrangement of any standard cells once determined and the wiring between them are supplied to each standard cell. By predicting the voltage to be applied, the delay time of the standard cells and the wiring connecting them can be obtained by referring to the cell library from the predicted supply voltage. If the delay time violates a preset timing constraint, for example, the timing violation can be avoided by shortening the wiring connecting the standard cells. Also,
The standard cell that is determined to be unable to operate normally at the predicted supply voltage can be replaced with a standard cell that can operate normally at the supply voltage with reference to the cell library.

【0012】このように、本発明によれば、実際のプロ
セスを行ってチップを製造する前に、従来の課題である
電圧降下による直接的誤動作、間接的後動作を設計段階
で回避する処理を施すことができる。従って、開発期間
を大幅に短縮することができる。
As described above, according to the present invention, before manufacturing a chip by performing an actual process, a process of avoiding a direct malfunction and an indirect post-operation due to a voltage drop, which is a conventional problem, at a design stage. Can be applied. Therefore, the development period can be significantly reduced.

【0013】[0013]

【発明の実施の形態】以下、本発明の実施の形態につい
て図面を用いて説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0014】第1の実施の形態 図1は、本発明の実施の形態に係る半導体集積回路の設
計装置の構成を示す図である。図1に示すように、本実
施の形態に係る半導体集積回路の設計装置は、セルライ
ブラリ1と、電圧降下測定装置3と、タイミング解析装
置5と、最適化設計装置7と具備するものである。
FIG. 1 is a diagram showing a configuration of a semiconductor integrated circuit designing apparatus according to an embodiment of the present invention. As shown in FIG. 1, the semiconductor integrated circuit design apparatus according to the present embodiment includes a cell library 1, a voltage drop measurement apparatus 3, a timing analysis apparatus 5, and an optimization design apparatus 7. .

【0015】セルライブラリ1は、従来のセルライブラ
リと同様、最適設計された標準セルが登録されたデータ
ベースであるが、従来と異なる点は、登録されている標
準セルの遅延時間の供給電圧依存性が新たに記述されて
いる点であり、まさにそれが本発明の特徴部分である。
図1に示すライブラリデータ9は、セルライブラリ1に
登録されている標準セルに関するデータの一例を示した
ものである。ライブラリデータ9は、2入力AND回路
(macro AN2 )モデルのセルデータを示したものであ
り、「slew」は入力信号の変化時間(図中11で示
す部分)、「C」は出力負荷容量(図中13で示す部
分)、「delay」はセル内部の入力端子から出力端
子までの遅延時間(図中15で示す部分)をそれぞれ示
しており、例えば、「slew」が1.0、「C」が
1.3の場合には「delay」は4.1となる。ここ
までは、従来のセルライブラリと同様であり、上述した
ように、本発明ではセルの遅延時間の供給電圧依存性も
記述してある。すなわち、図1に示す「VDD」は供給
電圧(図中17で示す部分)を示しており、例えば、
「slew」が1.0、「C」が1.3で、さらに、
「VDD」が2.1の場合に「delay」が4.1と
なる。
The cell library 1 is a database in which standard cells that have been optimally designed are registered in the same manner as the conventional cell library. The difference from the conventional one is that the delay time of the registered standard cells depends on the supply voltage. Is newly described, and that is the characteristic part of the present invention.
Library data 9 shown in FIG. 1 shows an example of data relating to standard cells registered in the cell library 1. The library data 9 shows cell data of a two-input AND circuit (macro AN2) model, where "slew" is a change time of an input signal (portion indicated by 11 in the figure), and "C" is an output load capacitance ( “Delay” indicates a delay time from an input terminal to an output terminal inside the cell (portion indicated by 15 in the figure), and “slew” is 1.0, “C”, for example. Is 1.3, "delay" is 4.1. Up to this point, it is the same as the conventional cell library, and as described above, the present invention also describes the supply voltage dependency of the cell delay time. That is, “VDD” shown in FIG. 1 indicates a supply voltage (a portion indicated by 17 in the drawing).
"Slew" is 1.0, "C" is 1.3, and
When “VDD” is 2.1, “delay” is 4.1.

【0016】電圧降下測定装置3は、各セルに供給され
る電圧の値を上述した電圧降下を考慮して予測を行うも
のであり、例えば、各セルのスウィッチング確率により
各セルから流れ出る電流を見積もり、また、電源供給線
自体で消費される電流を見積もることによりかかる予測
を行うことは可能である。
The voltage drop measuring device 3 predicts the value of the voltage supplied to each cell in consideration of the above-mentioned voltage drop. For example, the current flowing out of each cell is determined by the switching probability of each cell. It is possible to make such a prediction by estimating and also estimating the current consumed by the power supply line itself.

【0017】タイミング解析装置5は、指定した2点間
のパスの遅延時間を算出し、そのパスのタイミング制約
を満たしているか否かを解析するものである。
The timing analyzer 5 calculates the delay time of a path between two designated points and analyzes whether or not the timing constraint of the path is satisfied.

【0018】最適化設計装置7は、タイミング解析装置
5の解析結果に基づき、タイミング制約が満たされるよ
うに所定の処理を施すものである。
The optimization design device 7 performs a predetermined process based on the analysis result of the timing analysis device 5 so that the timing constraint is satisfied.

【0019】次に、本実施の形態に係る半導体集積回路
の設計装置の動作(すなわち、設計方法)について説明
する。なお、ここでは、各標準セルの配置及びそれらセ
ル間の配線が一旦決定されているものとする(以下、
「初期配置配線」と呼ぶ)。
Next, the operation (that is, the design method) of the semiconductor integrated circuit designing apparatus according to the present embodiment will be described. Here, it is assumed that the arrangement of each standard cell and the wiring between the cells have been once determined (hereinafter, referred to as “standard cell”).
"Initial placement and routing").

【0020】図2に示す、指定したパスA→N→Zのタ
イミング制約が11nsecであるとする。初期配置配
線では、外部からの供給電圧に上述した電圧降下がない
ものとして設計が行われているので、例えば、標準供給
電圧が3.3Vである場合に、、セル19の内部遅延時
間が3nsec、セル21の内部遅延時間が3nse
c、セル19の出力端子とセル21の入力端子との間の
遅延時間を4nsecであるとすると、パスA→N→Z
の遅延時間は3+3+4=10nsecとなる。従っ
て、タイミング解析装置5により、初期配置配線におい
ては、パスA→N→Zの遅延時間はそのタイミング制約
を満たしていると判断される。
Assume that the timing constraint of the designated path A → N → Z shown in FIG. 2 is 11 nsec. In the initial arrangement wiring, the design is performed assuming that the above-mentioned voltage drop does not occur in the external supply voltage. For example, when the standard supply voltage is 3.3 V, the internal delay time of the cell 19 is 3 nsec. , The internal delay time of the cell 21 is 3 ns
c, assuming that the delay time between the output terminal of the cell 19 and the input terminal of the cell 21 is 4 nsec, the path A → N → Z
Is 3 + 3 + 4 = 10 nsec. Therefore, the timing analyzer 5 determines that the delay time of the path A → N → Z satisfies the timing constraint in the initial placement and routing.

【0021】次に、電圧降下測定装置3により、実際に
は、セル19の最低供給電圧が2.8V、セル21の最
低供給電圧が3.0Vであることが予測されたとする。
この時、セルライブラリ1を参照することにより、セル
19の内部遅延時間が4nsec、セル21の内部遅延
時間が3.5nsecに、また、セル19の出力端子と
セル21の入力端子との間の遅延時間が5nsecにな
ることがわかったとする。タイミング解析装置5は、パ
スA→N→Zの遅延時間は4+3.5+5=12.5n
secであるから、このままではパスA→N→Zのタイ
ミング制約に違反すると判断する。
Next, it is assumed that the voltage drop measuring device 3 actually predicts that the minimum supply voltage of the cell 19 is 2.8 V and the minimum supply voltage of the cell 21 is 3.0 V.
At this time, by referring to the cell library 1, the internal delay time of the cell 19 is 4 nsec, the internal delay time of the cell 21 is 3.5 nsec, and the time between the output terminal of the cell 19 and the input terminal of the cell 21 is changed. It is assumed that the delay time is found to be 5 nsec. The timing analyzer 5 determines that the delay time of the path A → N → Z is 4 + 3.5 + 5 = 12.5n
Since it is sec, it is determined that the timing constraint of the path A → N → Z is violated as it is.

【0022】次に、最適化設計装置7は、セル19の出
力端子とセル21の入力端子との間の配線(ネット)N
を短くし、それによりこの遅延時間を5nsecから
3.5nsecとする。そうすれば、全遅延時間を4+
3.5+3.5=11nsecとなり、タイミング制約
が満たされることになる。
Next, the optimization design apparatus 7 uses a wiring (net) N between the output terminal of the cell 19 and the input terminal of the cell 21.
To shorten the delay time from 5 nsec to 3.5 nsec. Then the total delay time is 4+
3.5 + 3.5 = 11 nsec, which satisfies the timing constraint.

【0023】第2の実施の形態 本実施の形態では、所定のセルに供給される電圧がその
セルの正常可能領域から逸脱している場合に、論理的に
は等価であるが、そのセルよりも低電圧で正常動作が可
能な別のセルに置き換える構成としたものである。
Second Embodiment In this embodiment, when the voltage supplied to a given cell deviates from the normal range of the cell, it is logically equivalent, Is replaced with another cell that can operate normally at a low voltage.

【0024】第1の実施の形態と同様、図2に示す、指
定したパスA→N→Zのタイミング制約が11nsec
であるとする。初期配置配線では、外部からの供給電圧
に上述した電圧降下がないものとして設計が行われてい
るので、例えば、標準供給電圧が3.3Vである場合
に、、セル19の内部遅延時間が3nsec、セル21
の内部遅延時間が3nsec、セル19の出力端子とセ
ル21の入力端子との間の遅延時間を4nsecである
とすると、パスA→N→Zの遅延時間は3+3+4=1
0nsecとなる。従って、タイミング解析装置5によ
り、初期配置配線においては、パスA→N→Zの遅延時
間はそのタイミング制約を満たしていると判断される。
As in the first embodiment, the timing constraint of the specified path A → N → Z shown in FIG.
And In the initial arrangement wiring, the design is performed assuming that the above-mentioned voltage drop does not occur in the external supply voltage. For example, when the standard supply voltage is 3.3 V, the internal delay time of the cell 19 is 3 nsec. , Cell 21
Is 3 nsec and the delay time between the output terminal of the cell 19 and the input terminal of the cell 21 is 4 nsec, the delay time of the path A → N → Z is 3 + 3 + 4 = 1.
0 nsec. Therefore, the timing analyzer 5 determines that the delay time of the path A → N → Z satisfies the timing constraint in the initial placement and routing.

【0025】次に、電圧降下測定装置3により、実際に
は、セル19の最低供給電圧が2.8V、セル21の最
低供給電圧が3.0Vであることが予測されたとする。
この時、セル19の最低供給電圧2.8Vがその正常動
作領域を逸脱し、誤動作のおそれがある場合には、セル
19を最低供給電圧2.8V付近で正常動作が可能な別
のセル23に交換する(図3参照)。
Next, it is assumed that the voltage drop measuring device 3 actually predicts that the minimum supply voltage of the cell 19 is 2.8 V and the minimum supply voltage of the cell 21 is 3.0 V.
At this time, if the minimum supply voltage 2.8V of the cell 19 deviates from its normal operation region and there is a possibility of malfunction, the cell 19 is switched to another cell 23 capable of normal operation near the minimum supply voltage 2.8V. (See FIG. 3).

【0026】次に、セルライブラリ1を参照することに
より、セル23の内部遅延時間が3.5nsec、セル
21の内部遅延時間が3.5nsecに、また、セル2
3の出力端子とセル21の入力端子との間の遅延時間が
5nsecになることがわかったとする。タイミング解
析装置5は、パスA→N→Zの遅延時間は3.5+3.
5+5=12nsecであるから、このままではパスA
→N→Zのタイミング制約に違反すると判断する。
Next, by referring to the cell library 1, the internal delay time of the cell 23 is 3.5 nsec, the internal delay time of the cell 21 is 3.5 nsec, and the cell 2
Assume that it has been found that the delay time between the output terminal of No. 3 and the input terminal of cell 21 is 5 nsec. The timing analyzer 5 determines that the delay time of the path A → N → Z is 3.5 + 3.
Since 5 + 5 = 12 nsec, the path A is left as it is.
It is determined that the timing constraint of → N → Z is violated.

【0027】次に、最適化設計装置7は、セル23の出
力端子とセル21の入力端子との間のネットNを短く
し、それによりこの遅延時間を5nsecから4nse
cとする。そうすれば、全遅延時間を3.5+3.5+
4=11nsecとなり、タイミング制約が満たされる
ことになる。
Next, the optimization design apparatus 7 shortens the net N between the output terminal of the cell 23 and the input terminal of the cell 21, thereby reducing this delay time from 5 nsec to 4 nsec.
c. Then the total delay time will be 3.5 + 3.5 +
4 = 11 nsec, and the timing constraint is satisfied.

【0028】以上説明したように本発明の第1の実施の
形態、第2の実施の形態によれば、従来の課題である電
圧降下により供給電圧がセルの正常動作可能領域を逸脱
している場合には、その供給電圧で正常動作するセルに
置き換え、また、正常動作可能領域内であっても、その
セルの遅延時間が増加する場合には、遅延時間が短縮さ
れるように最適な設計変更を施すことが可能となる。従
って、従来では、プロセス終了後のES(Engineering
Sample)を入手し測定評価した後に上記電圧降下による
チップの誤動作が確認された場合、設計変更しなければ
ならなかったが、本実施の形態によれば、設計段階でか
かるチップの誤動作を回避する処理を施すことができ、
それにより開発期間を大幅に短縮することができる。
As described above, according to the first and second embodiments of the present invention, the supply voltage deviates from the normal operation area of the cell due to the voltage drop which is a conventional problem. In that case, replace the cell with a cell that operates normally at the supply voltage. Also, if the delay time of the cell increases even within the normal operable area, the optimal design is designed to reduce the delay time. Changes can be made. Therefore, conventionally, ES (Engineering) after the process is completed.
If a malfunction of the chip due to the voltage drop is confirmed after obtaining and measuring and evaluating the sample, the design must be changed. According to the present embodiment, such a malfunction of the chip is avoided at the design stage. Processing can be applied,
As a result, the development period can be significantly reduced.

【0029】なお、上記実施の形態のセルライブラリに
おいては、登録されている標準セルの遅延時間について
新たに供給電圧の依存性を記述したが、本発明はそれに
限定されるものではなく、温度等の外的条件に左右され
るすべてのパラメータに対する遅延時間の依存性を記述
することができる。
In the cell library according to the above embodiment, the dependence of the supply voltage on the delay time of the registered standard cell is newly described. However, the present invention is not limited to this. The dependence of the delay time on all the parameters that depend on the external conditions can be described.

【0030】さらに、上記実施の形態では遅延時間を記
述したセルライブラリについて説明したが、例えば、消
費電力について記述したセルライブラリについても本発
明を適用することは可能である。
Further, in the above embodiment, the cell library describing the delay time has been described, but the present invention can be applied to a cell library describing the power consumption, for example.

【0031】[0031]

【発明の効果】以上説明したように本発明によれば、登
録されている標準セルの遅延時間について新たに供給電
圧の依存性をセルライブラリに記述してあるので、従来
の課題である供給電圧の降下が生じた場合であっても、
各標準セルに供給される電圧を考慮して回路設計を行う
ことができる。従って、設計段階で供給電圧降下による
チップの誤動作を回避することができ、製品開発期間を
大幅に短縮することができる。
As described above, according to the present invention, the dependence of the supply voltage on the delay time of the registered standard cell is newly described in the cell library, which is a conventional problem of the supply voltage. Even if the fall of
The circuit can be designed in consideration of the voltage supplied to each standard cell. Therefore, it is possible to avoid a chip malfunction due to a supply voltage drop in a design stage, and to greatly shorten a product development period.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施の形態に係る半導体集積回路の設
計装置の構成を示す図である。
FIG. 1 is a diagram showing a configuration of a semiconductor integrated circuit designing apparatus according to an embodiment of the present invention.

【図2】本発明の第1の実施の形態を説明するための図
である。
FIG. 2 is a diagram for explaining a first embodiment of the present invention.

【図3】本発明の第2の実施の形態を説明するための図
である。
FIG. 3 is a diagram for explaining a second embodiment of the present invention.

【図4】スタンダードセルによるレイアウトを示す図で
ある。
FIG. 4 is a diagram showing a layout using standard cells.

【符号の説明】[Explanation of symbols]

1 セルライブラリ 3 電圧降下測定装置 5 タイミング解析装置 7 最適化設計装置 9 ライブラリデータ 11 入力信号の変化時間 13 出力負荷容量 15 遅延時間 17 供給電圧 19、21、23 セル 101 半導体チップ 103 セル列 105 配線チャネル 106 ボンディングパッド Reference Signs List 1 cell library 3 voltage drop measurement device 5 timing analysis device 7 optimization design device 9 library data 11 input signal change time 13 output load capacitance 15 delay time 17 supply voltage 19, 21, 23 cell 101 semiconductor chip 103 cell column 105 wiring Channel 106 bonding pad

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 セルライブラリに予め登録された複数の
標準セルを組み合わせることにより設計を行う半導体集
積回路の設計方法において、 前記セルライブラリには前記標準セルの供給電圧に対す
る遅延時間が記述されている半導体集積回路の設計方法
であって、 一旦決定された任意の標準セルの配置及びそれらの間の
配線から各標準セルに供給される電圧を予測し、 予測された供給電圧から前記セルライブラリを参照する
ことにより前記標準セル及びそれらを結ぶ配線の遅延時
間を求め、 求められた遅延時間が予め設定されているタイミング制
約を違反すると判断された場合には所定の処理を施すこ
とを特徴とする半導体集積回路の設計方法。
1. A method of designing a semiconductor integrated circuit that performs design by combining a plurality of standard cells registered in advance in a cell library, wherein the cell library describes a delay time with respect to a supply voltage of the standard cells. A method for designing a semiconductor integrated circuit, comprising predicting a voltage supplied to each standard cell from an arrangement of arbitrary standard cells once determined and wiring between them, and referring to the cell library from the predicted supply voltage A delay time of the standard cell and a wiring connecting them, and performing a predetermined process when it is determined that the determined delay time violates a preset timing constraint. How to design integrated circuits.
【請求項2】 セルライブラリに予め登録された複数の
標準セルを組み合わせることにより設計を行う半導体集
積回路の設計方法において、 前記セルライブラリには前記標準セルの供給電圧に対す
る遅延時間が記述されている半導体集積回路の設計方法
であって、 一旦決定された任意の標準セルの配置及びそれらの間の
配線から各標準セルに供給される電圧を予測し、 予測された供給電圧では正常動作が不可能であると判断
された標準セルに対しては前記セルライブラリを参照し
てその供給電圧で正常動作が可能な標準セルによる置き
換えを行い、 さらに、前記予測された供給電圧から前記セルライブラ
リを参照することにより前記標準セル及びそれらを結ぶ
配線の遅延時間を求め、 求められた遅延時間が予め設定されているタイミング制
約を違反すると判断された場合には所定の処理を施すこ
とを特徴とする半導体集積回路の設計方法。
2. A method of designing a semiconductor integrated circuit, which designs by combining a plurality of standard cells registered in a cell library in advance, wherein the cell library describes a delay time with respect to a supply voltage of the standard cells. A semiconductor integrated circuit design method that predicts the voltage supplied to each standard cell from the arrangement of any standard cells once determined and the wiring between them, and normal operation cannot be performed with the predicted supply voltage With respect to the standard cell determined to be, the cell library is replaced with a standard cell capable of normal operation at the supply voltage with reference to the cell library, and further, the cell library is referenced from the predicted supply voltage. Thus, the delay time of the standard cell and the wiring connecting them are obtained, and the obtained delay time is set in advance by a timing system. Method for designing a semiconductor integrated circuit, characterized in that for performing a predetermined process when it is determined to violate the.
【請求項3】 予め複数の標準セルが登録されているセ
ルライブラリを備えた半導体集積回路の設計装置におい
て、 前記セルライブラリには前記標準セルの供給電圧に対す
る遅延時間が記述されていると共に、 一旦決定された任意の標準セルの配置及びそれらの間の
配線から各標準セルに供給される電圧を予測する電圧降
下予測装置と、 前記電圧降下予測装置により予測された供給電圧から前
記セルライブラリを参照することにより標準セル及びそ
れらを結ぶ配線の遅延時間を求め、その遅延時間が予め
設定されているタイミング制約を違反するか否かを判断
するタイミング解析装置と、 前記タイミング解析装置の解析結果に基づき、前記標準
セル及びそれらを結ぶ配線の遅延時間がそのタイミング
制約を満たすように所定の処理を施す最適化設計装置と
を具備することを特徴とする半導体集積回路の設計装
置。
3. A design apparatus for a semiconductor integrated circuit including a cell library in which a plurality of standard cells are registered in advance, wherein the cell library describes a delay time with respect to a supply voltage of the standard cells, and A voltage drop predicting device for predicting a voltage supplied to each standard cell from the determined arrangement of the standard cells and a wiring therebetween, and referencing the cell library from the supply voltage predicted by the voltage drop predicting device A delay time of a standard cell and a wiring connecting them, and a timing analyzer that determines whether or not the delay time violates a preset timing constraint, based on an analysis result of the timing analyzer. And performing predetermined processing so that the delay time of the standard cells and the wiring connecting them satisfy the timing constraint. Apparatus for designing a semiconductor integrated circuit, characterized by comprising a Design device.
【請求項4】 前記最適化設計装置は、さらに、前記電
圧降下予測装置により予測された供給電圧では正常動作
が不可能であると判断された標準セルに対しては前記セ
ルライブラリを参照してその供給電圧で正常動作が可能
である標準セルによる置き換えを行うことを特徴とする
請求項3記載の半導体集積回路の設計装置。
4. The optimization design apparatus further refers to the cell library for a standard cell determined to be incapable of normal operation with the supply voltage predicted by the voltage drop prediction apparatus. 4. The semiconductor integrated circuit designing apparatus according to claim 3, wherein replacement is performed with a standard cell capable of normal operation at the supplied voltage.
JP9124378A 1997-05-14 1997-05-14 Method and apparatus for designing semiconductor integrated circuit Pending JPH10321725A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9124378A JPH10321725A (en) 1997-05-14 1997-05-14 Method and apparatus for designing semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9124378A JPH10321725A (en) 1997-05-14 1997-05-14 Method and apparatus for designing semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JPH10321725A true JPH10321725A (en) 1998-12-04

Family

ID=14883932

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9124378A Pending JPH10321725A (en) 1997-05-14 1997-05-14 Method and apparatus for designing semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JPH10321725A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006059894A (en) * 2004-08-18 2006-03-02 Fujitsu Ltd Lay-out method and lay-out program of semiconductor integrated circuit
JP2007066239A (en) * 2005-09-02 2007-03-15 Fujitsu Ltd Layout design method of semiconductor integrated circuit
US7295938B2 (en) 2005-03-30 2007-11-13 Matsushita Electric Industrial Co., Ltd. Clock jitter calculation device, clock jitter calculation method, and clock jitter calculation program
JP2014501968A (en) * 2010-11-18 2014-01-23 シノプシス, インコーポレイテッド Method and apparatus for correcting design requirement violations in multiple multi-corner multi-mode scenarios

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006059894A (en) * 2004-08-18 2006-03-02 Fujitsu Ltd Lay-out method and lay-out program of semiconductor integrated circuit
US7295938B2 (en) 2005-03-30 2007-11-13 Matsushita Electric Industrial Co., Ltd. Clock jitter calculation device, clock jitter calculation method, and clock jitter calculation program
JP2007066239A (en) * 2005-09-02 2007-03-15 Fujitsu Ltd Layout design method of semiconductor integrated circuit
JP4558612B2 (en) * 2005-09-02 2010-10-06 富士通セミコンダクター株式会社 Layout design method for semiconductor integrated circuit
JP2014501968A (en) * 2010-11-18 2014-01-23 シノプシス, インコーポレイテッド Method and apparatus for correcting design requirement violations in multiple multi-corner multi-mode scenarios

Similar Documents

Publication Publication Date Title
US8732635B2 (en) Apparatus and methods for power management in integrated circuits
US7487475B1 (en) Systems, methods, and apparatus to perform statistical static timing analysis
US6047247A (en) Method of estimating degradation with consideration of hot carrier effects
US7475366B2 (en) Integrated circuit design closure method for selective voltage binning
US7675317B2 (en) Integrated circuits with adjustable body bias and power supply circuitry
US5619420A (en) Semiconductor cell having a variable transistor width
US6493856B2 (en) Automatic circuit generation apparatus and method, and computer program product for executing the method
US20100037188A1 (en) Systematic, normalized metric for analyzing and comparing optimization techniques for integrated circuits employing voltage scaling and integrated circuits designed thereby
US20100115475A1 (en) Integrated Circuit Performance Enhancement Using On-Chip Adaptive Voltage Scaling
US5787008A (en) Simulation corrected sensitivity
US7240304B2 (en) Method for voltage drop analysis in integreted circuits
JPH0749903A (en) Simulation method and layout method of integrated circuit
US20080086706A1 (en) Methods and circuits to reduce threshold voltage tolerance and skew in multi-threshold voltage applications
US20130214380A1 (en) Area and power saving standard cell methodology
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US20040054979A1 (en) Region-based voltage drop budgets for low-power design
JP2009111385A (en) Design optimization
US7092838B1 (en) Method and apparatus for the analysis and optimization of variability in nanometer technologies
US7644385B1 (en) Programmable logic device with performance variation compensation
US6869808B2 (en) Method for evaluating property of integrated circuitry
US7100140B2 (en) Generation of graphical congestion data during placement driven synthesis optimization
JPH10321725A (en) Method and apparatus for designing semiconductor integrated circuit
US20090177442A1 (en) On-chip variation, speed and power regulator
US6668356B2 (en) Method for designing circuits with sections having different supply voltages
US6127874A (en) Skew adjustable IC and a method for designing the same