JPH09281186A - Circuit for measuring delay time characteristic - Google Patents

Circuit for measuring delay time characteristic

Info

Publication number
JPH09281186A
JPH09281186A JP8115539A JP11553996A JPH09281186A JP H09281186 A JPH09281186 A JP H09281186A JP 8115539 A JP8115539 A JP 8115539A JP 11553996 A JP11553996 A JP 11553996A JP H09281186 A JPH09281186 A JP H09281186A
Authority
JP
Japan
Prior art keywords
circuit
signal
delay time
output
flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8115539A
Other languages
Japanese (ja)
Inventor
Tadashi Iwata
正 岩田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP8115539A priority Critical patent/JPH09281186A/en
Publication of JPH09281186A publication Critical patent/JPH09281186A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To simplify measuring of delay time characteristic of a critical path by activating the critical path by a single control signal and observing the direct input and output of signal with the same control signal. SOLUTION: The input of a circuit 20 including a signal transmission path of the largest delay time among an integrated circuit 1, namely, a critical path is connected to data outputs Q of FF10, FF12, FF13 synchronously operating with a clock signal CLK. The output of the circuit 20 is connected to a data input D of an FF11 synchronously operating with the signal CLK. A scan path function is provided in every FF10-13. Means 102, 103 for activating the circuit 20 including the critical path, a means 100 for feeding a direct input signal to the critical path and a means 101 for allowing the observation of an output of the critical path are provided. Since the same control signal SFT2 enables the observation of the direct input signal to the circuit 20 and the output signal, a delay time characteristic can be measured simply.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、遅延時間特性測定
回路に関し、特に集積回路の遅延時間特性測定回路に関
する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a delay time characteristic measuring circuit, and more particularly to a delay time characteristic measuring circuit of an integrated circuit.

【0002】[0002]

【従来の技術】従来、集積回路の遅延時間特性等、AC
電気的特性の測定方法としては、インバータ論理を奇数
段接続してなるリングオシレータの発振周波数を測定し
てAC特性を簡単に測定する方法がある(例えば特開昭
62−63462号公報の従来技術の記載参照)。
2. Description of the Related Art Conventionally, AC such as delay time characteristics of an integrated circuit is used.
As a method of measuring the electrical characteristics, there is a method of measuring the oscillation frequency of a ring oscillator formed by connecting inverter logic in odd stages to easily measure the AC characteristics (for example, the conventional technique disclosed in JP-A-62-63462). (See the description of).

【0003】図5は、従来の遅延時間特性測定回路の一
例を示す回路図である。集積回路1の中にはフリップフ
ロップ10,11,12,13で挟まれた、集積回路1
の中で最も遅延時間の大きい信号伝搬経路すなわちクリ
ティカルパスを含む回路20があり、これとは別にイン
バータを奇数段接続したリングオシレータ201が設け
られている。
FIG. 5 is a circuit diagram showing an example of a conventional delay time characteristic measuring circuit. The integrated circuit 1 sandwiched by the flip-flops 10, 11, 12, 13 in the integrated circuit 1
Among them, there is a circuit 20 including a signal propagation path having the longest delay time, that is, a critical path, and a ring oscillator 201 having inverters connected in odd stages is provided separately from the circuit 20.

【0004】クリティカルパスを含む回路20は、フリ
ップフロップ11,12,13の出力Qを入力とし、回
路20の出力は、フリップフロップ10の入力端Dに接
続されている。この場合のクリティカルパスは、フリッ
プフロップ10の出力Qからフリップフロップ11の入
力Dまでの信号経路である。
The circuit 20 including the critical path receives the output Q of the flip-flops 11, 12, 13 as an input, and the output of the circuit 20 is connected to the input terminal D of the flip-flop 10. The critical path in this case is a signal path from the output Q of the flip-flop 10 to the input D of the flip-flop 11.

【0005】次に、上記従来例の動作を説明する。リン
グオシレータ201は、入力CNTに制御信号を与える
ことにより、発振状態となり、出力ROには、その発振
信号が出力される。集積回路1の遅延時間特性は、出力
ROに出力される発振信号の発振周波数を測定すること
により得られる。すなわち、リングオシレータ201を
構成するインバータの段数と発振周波数から該インバー
タ1段当たりの遅延時間が得られ、これを集積回路1の
遅延時間特性としている。
Next, the operation of the above conventional example will be described. The ring oscillator 201 is oscillated by applying a control signal to the input CNT, and the oscillation signal is output to the output RO. The delay time characteristic of the integrated circuit 1 is obtained by measuring the oscillation frequency of the oscillation signal output to the output RO. That is, the delay time for each inverter stage is obtained from the number of stages of the inverters constituting the ring oscillator 201 and the oscillation frequency, and this is the delay time characteristic of the integrated circuit 1.

【0006】[0006]

【発明が解決しようとする課題】上記した従来技術は、
一番問題となるクリティカルパスの遅延時間特性が測定
できないという問題点を有している。
The prior art described above is
The problem is that the delay time characteristic of the critical path, which is the most problematic, cannot be measured.

【0007】これは、リングオシレータ中のインバータ
とクリティカルパス内の回路とでは遅延時間特性が大幅
に異なることにある。
This is because the delay time characteristics are significantly different between the inverter in the ring oscillator and the circuit in the critical path.

【0008】従って、本発明は、上記事情に鑑みて為さ
れたものであって、その目的は、集積回路の遅延時間特
性上一番問題となるクリティカルパスの遅延時間を測定
することのできる回路を提供することにある。
Therefore, the present invention has been made in view of the above circumstances, and an object thereof is a circuit capable of measuring a delay time of a critical path which is the most problematic in terms of delay time characteristics of an integrated circuit. To provide.

【0009】[0009]

【課題を解決するための手段】前記目的を達成するた
め、本発明の遅延時間特性測定回路は、同期式集積回路
において、制御信号により所定の記憶素子群に固定レベ
ルを選択して入力する手段と、制御信号により第1の記
憶素子に所望の信号を選択して入力する手段と、前記第
1の記憶素子の出力を入力とする組合わせ回路の出力に
接続された第2の記憶素子と、を備えたことを特徴とす
る。
To achieve the above object, the delay time characteristic measuring circuit according to the present invention is a means for selecting and inputting a fixed level to a predetermined memory element group by a control signal in a synchronous integrated circuit. A means for selecting and inputting a desired signal to the first storage element by a control signal, and a second storage element connected to the output of the combination circuit having the output of the first storage element as an input. , Is provided.

【0010】[0010]

【発明の実施の形態】本発明の実施の形態を以下に説明
する。図1を参照して、本発明の実施の形態は、論理回
路の信号伝搬経路のうち全体の回路動作速度を制約する
パス(経路)であるクリティカルパスを含む回路20を
活性化させる手段102,103と、クリティカルパス
に直接入力信号を与える手段100と、クリティカルパ
スの出力を観測可能にする手段101とを有する。
Embodiments of the present invention will be described below. With reference to FIG. 1, an embodiment of the present invention includes means 102 for activating a circuit 20 including a critical path which is a path (path) that restricts the overall circuit operation speed among signal propagation paths of a logic circuit. 103, means 100 for directly applying an input signal to the critical path, and means 101 for observing the output of the critical path.

【0011】クリティカルパス20の活性化が1本の制
御信号SFT2で可能であり、同じ制御信号SFT2で
クリティカルパス20への信号の直接入力とクリティカ
ルパス20の出力の観測が可能なため、クリティカルパ
ス20の遅延時間特性を簡単に測定することができる。
The critical path 20 can be activated by one control signal SFT2, and the same control signal SFT2 enables direct input of a signal to the critical path 20 and observation of the output of the critical path 20. The delay time characteristics of 20 can be easily measured.

【0012】次に、本発明の実施例について図面を参照
して説明する。
Next, an embodiment of the present invention will be described with reference to the drawings.

【0013】図1を参照すると、集積回路1の中で最も
遅延時間の大きい信号伝搬経路すなわちクリティカルパ
スを含む回路20の入力には、クロック信号CLKに同
期して動作するフリップフロップ10,12,13のデ
ータ出力Qが接続され、クリティカルパスを含む回路2
0の出力には、CLKに同期して動作するフリップフロ
ップ11のデータ入力Dが接続されている。
Referring to FIG. 1, a flip-flop 10, 12, which operates in synchronization with a clock signal CLK, is input to a circuit 20 including a signal propagation path having the largest delay time, that is, a critical path in the integrated circuit 1. A circuit 2 to which the data output Q of 13 is connected and which includes a critical path
The data input D of the flip-flop 11 that operates in synchronization with CLK is connected to the output of 0.

【0014】フリップフロップ10,11,12,13
は全てスキャンパス機能を供えている。ここで、スキャ
ンパス機能とは、フリップフロップに通常のデータ入力
Dとは別の入力SI(スキャンイン)と、フリップフロ
ップ内に記憶するデータを、通常データD又はスキャン
インSIとするかを選択制御するための制御信号入力S
FTとを持たせ、フリップフロップどうしのSI入力と
出力Qとを1対1で縦続接続させることにより、簡単な
手順で、縦続接続されたフリップフロップ内の記憶デー
タを確認できるようにした機能のことをいう。またスキ
ャンパス方式とは、テスト時LSI中のフリップフロッ
プをシフトレジスタのように連結して(スキャンパ
ス)、外部端子からテスト信号を入力し、組合せ回路部
の動作結果をシフトレジスタ化したフリップフロップを
介して読み出す手法である。
Flip-flops 10, 11, 12, 13
All have a scan path function. Here, the scan path function selects an input SI (scan-in) different from the normal data input D to the flip-flop, and whether the data stored in the flip-flop is the normal data D or the scan-in SI. Control signal input S for controlling
By providing an FT and connecting SI inputs and outputs Q of the flip-flops in a one-to-one connection, it is possible to confirm the stored data in the cascade-connected flip-flops by a simple procedure. Say that. The scan-path method is a flip-flop in which flip-flops in an LSI during test are connected like a shift register (scan path), a test signal is input from an external terminal, and an operation result of a combinational circuit unit is converted to a shift register. It is a method of reading through.

【0015】フリップフロップ10の入力SIには、ス
キャンパス接続された前段のフリップフロップの出力と
集積回路1の外部から入力可能な信号SI2とを外部か
ら入力可能な制御信号SFT2で選択切り替え可能な選
択回路100が接続され、フリップフロップ12の入力
SIには、前段のフリップフロップの出力と“1”固定
の信号とを選択切り替え可能な選択回路102が接続さ
れ、フリップフロップ13の入力SIには、前段のフリ
ップフロップの出力と“0”固定の信号とを選択切り替
え可能な選択回路103が接続されている。
For the input SI of the flip-flop 10, the output of the flip-flop of the preceding stage connected to the scan path and the signal SI2 that can be input from the outside of the integrated circuit 1 can be selectively switched by the control signal SFT2 that can be input from the outside. The selection circuit 100 is connected to the input SI of the flip-flop 12, and the selection circuit 102 capable of selectively switching between the output of the previous flip-flop and the signal fixed to “1” is connected to the input SI of the flip-flop 13. A selection circuit 103 capable of selectively switching between the output of the preceding flip-flop and a signal fixed at "0" is connected.

【0016】フリップフロップ11の入力SFTには、
スキャンパス機能用の制御信号SFTと“0”固定の信
号とを選択切り替え可能な選択回路101が接続されて
いる。選択回路101,102,103は、100と同
じ制御信号SFT2で選択切り替えが可能である。
At the input SFT of the flip-flop 11,
A selection circuit 101 capable of selectively switching between a control signal SFT for the scan path function and a signal fixed at "0" is connected. The selection circuits 101, 102, and 103 can be selectively switched by the same control signal SFT2 as 100.

【0017】次に、本発明の実施例の動作について、図
面を参照して詳細に説明する。
Next, the operation of the embodiment of the present invention will be described in detail with reference to the drawings.

【0018】通常、集積回路1のCLK信号として、図
2、及び図3の(A)に示すような、一定周期T1のク
ロック信号が与えられ、集積回路1は、クロック信号C
LKに同期して動作する。
Normally, as the CLK signal of the integrated circuit 1, a clock signal having a constant period T1 as shown in FIGS. 2 and 3A is given, and the integrated circuit 1 receives the clock signal C.
It operates in synchronization with LK.

【0019】本実施例では、このクロック信号CLKの
周期T1を50nsと仮定する。図1のクリティカルパ
ス20を活性化、すなわちフリップフロップ10の出力
Qからフリップフロップ11の入力Dまでの信号経路に
任意の信号を伝搬させるためには、フリップフロップ1
2の出力Qを“1”レベルに、フリップフロップ13の
出力Qを“0”レベルに固定する必要がある。
In this embodiment, the period T1 of the clock signal CLK is assumed to be 50 ns. In order to activate the critical path 20 of FIG. 1, that is, to propagate an arbitrary signal to the signal path from the output Q of the flip-flop 10 to the input D of the flip-flop 11, the flip-flop 1
It is necessary to fix the output Q of 2 to "1" level and the output Q of the flip-flop 13 to "0" level.

【0020】本実施例では、選択回路102と選択回路
103により、各フリップフロップの出力Qを簡単に、
それぞれ“1”固定、“0”固定にすることができる。
例えば、選択回路102,103の選択制御信号SFT
2に“0”を入力すると、各々のフリップフロップのス
キャンパス接続された前段のフリップフロップの出力が
選択され、SFT2に“1”を入力すると、選択回路1
02は“1”固定信号を、選択回路103は“0”固定
信号を選択すると仮定する。
In this embodiment, the output Q of each flip-flop can be easily set by the selection circuit 102 and the selection circuit 103.
It can be fixed to "1" and "0", respectively.
For example, the selection control signal SFT of the selection circuits 102 and 103
When "0" is input to 2, the output of the preceding flip-flop connected to the scan path of each flip-flop is selected, and when "1" is input to SFT2, the selection circuit 1
It is assumed that 02 selects a "1" fixed signal and the selection circuit 103 selects a "0" fixed signal.

【0021】そして、各フリップフロップのスキャンパ
ス用制御信号SFTにより各フリップフロップのデータ
入力としてSIからデータを取り込むようにしておく、
すなわちスキャンパスモードにしておくと、SFT2に
“0”を与えれば通常のスキャンパス接続が実現され、
SFT2に“1”を与えればスキャンパスモード切り替
わり後の1発目のクロック以降フリップフロップ12,
13にはそれぞれ“1”,“0”がセットされ、各フリ
ップフロップの出力はそれぞれ“1”,“0”固定とな
りクリティカルパスが活性化される。
Then, the scan path control signal SFT of each flip-flop is used to fetch data from SI as the data input of each flip-flop.
That is, when the scan path mode is set, a normal scan path connection is realized by giving "0" to SFT2.
If "1" is given to SFT2, the flip-flops 12 and after the first clock after switching the scan path mode,
"1" and "0" are set in 13 respectively, and the outputs of the respective flip-flops are fixed to "1" and "0", respectively, and the critical path is activated.

【0022】クリティカルパスが活性化された状態で、
選択回路100のSI2入力に、図2、及び図3の
(B)に示すような信号を与えると、選択回路100を
SFT2が“0”のときフリップフロップ10にスキャ
ンパス接続された前段のフリップフロップの出力が選択
され、SFT2が“1”のときSI2が選択されるよう
にしておくことで、フリップフロップ10に(B)の信
号が入力され、フリップフロップ10の出力Qには、図
2、及び図3の(C)のような波形が出力される。
With the critical path activated,
When a signal as shown in FIG. 2 and FIG. 3B is applied to the SI2 input of the selection circuit 100, the selection circuit 100 is connected to the flip-flop 10 in the scan path when the SFT2 is “0”. 2B is input to the flip-flop 10, and SI 2 is selected when SFT2 is “1”, the output Q of the flip-flop 10 is output to the output Q of FIG. , And the waveform as shown in FIG. 3C is output.

【0023】ここで、クリティカルパスの遅延時間(遅
搬遅延時間)をTpd1とすると、図2の(D)のよう
な信号がフリップフロップ11の入力Dに与えられる。
Here, assuming that the delay time of the critical path (delaying delay time) is Tpd1, a signal as shown in (D) of FIG. 2 is given to the input D of the flip-flop 11.

【0024】図2の(D)は、集積回路1のクロック周
期T1=50nsに対して、Tpd1が40nsで周期
T1内にフリップフロップ10からフリップフロップ1
1にデータが伝搬し、集積回路1としては良品と考える
ことができる。
FIG. 2D shows that, with respect to the clock cycle T1 = 50 ns of the integrated circuit 1, Tpd1 is 40 ns and the flip-flop 10 to the flip-flop 1 are within the cycle T1.
The data propagates to 1 and can be considered as a good product as the integrated circuit 1.

【0025】このことは、この場合、時刻tにフリップ
フロップ11に“1”がセットされたかどうかを確認す
ることで判別できる。図2(D)の信号をフリップフロ
ップ11に取り込むためにはフリップフロップ11を通
常モードすなわちスキャンパスモードでない状態にしな
ければならないが、フリップフロップ11のSFT入力
に接続されている選択回路101によって、このときは
フリップフロップ11は通常モードになるように設定さ
れる。
In this case, this can be determined by checking whether "1" is set in the flip-flop 11 at time t. In order to fetch the signal of FIG. 2D into the flip-flop 11, the flip-flop 11 must be in the normal mode, that is, the state other than the scan path mode, but by the selection circuit 101 connected to the SFT input of the flip-flop 11, At this time, the flip-flop 11 is set to enter the normal mode.

【0026】すなわち、選択回路101をSFT2が
“0”のとき通常のスキャンパス制御信号SFTが選択
されSFT2が“1”のとき、フリップフロップ11を
通常モードに固定する信号が選択されるようにしておく
ことにより、クリティカルパスを活性化するときには、
同時に、フリップフロップ11が通常モードになり、フ
リップフロップ11に図2(D)の信号が取り込まれ
る。
That is, the selection circuit 101 is arranged so that the normal scan path control signal SFT is selected when SFT2 is "0" and the signal fixing the flip-flop 11 to the normal mode is selected when SFT2 is "1". Therefore, when activating the critical path,
At the same time, the flip-flop 11 enters the normal mode, and the signal of FIG.

【0027】図1の例では、SFT入力が“0”のとき
フリップフロップは通常モードになり、“1”のときス
キャンパスモードになるとしている。
In the example of FIG. 1, the flip-flop is in the normal mode when the SFT input is "0", and is in the scan path mode when the SFT input is "1".

【0028】図3の(E)の信号波形図は、集積回路1
の遅延特性が悪く、クリティカルパスの遅延時間がTp
d2=60nsと集積回路1の動作周期T1よりも大き
い場合を示す図である。この場合は、時刻tのときすな
わち周期T1以内にはフリップフロップ10の出力信号
すなわち“1”レベルの信号がフリップフロップ11の
入力に伝搬しきれないため、フリップフロップ11には
“0”がセットされる。これにより、集積回路1の遅延
特性は不良と判別できる。
The signal waveform diagram of FIG. 3E shows the integrated circuit 1
Of the delay time of the critical path is Tp
FIG. 6 is a diagram showing a case where d2 = 60 ns, which is longer than the operation cycle T1 of the integrated circuit 1. In this case, at time t, that is, within the period T1, the output signal of the flip-flop 10, that is, the signal of "1" level cannot be propagated to the input of the flip-flop 11, so "0" is set in the flip-flop 11. To be done. As a result, the delay characteristic of the integrated circuit 1 can be determined to be defective.

【0029】フリップフロップ11にセットされたデー
タが“1”か“0”かを確認するには、時刻tの後にS
FT2を“0”にして集積回路1内の10,12,13
の各フリップフロップのスキャンパス接続を有効にする
と同時にフリップフロップ11もスキャンパスモードに
切り替えることによって、フリップフロップ11のデー
タを読み出し確認するなどの方法により行われる。
To confirm whether the data set in the flip-flop 11 is "1" or "0", S after the time t.
FT2 is set to “0”, and 10, 12, 13 in the integrated circuit 1 are set.
By enabling the scan path connection of each flip-flop and switching the flip-flop 11 to the scan path mode at the same time, the data of the flip-flop 11 is read and confirmed.

【0030】次に、本発明の第2の実施例について説明
する。
Next, a second embodiment of the present invention will be described.

【0031】本実施例と前記第1の実施例との違いは、
図1において、回路20を、集積回路1の中で最も遅延
時間の大きい信号伝搬経路であるクリティカルパス以外
の、任意の遅延特性の信号伝搬経路を持つ回路としたこ
とである。これにより、クリティカルパスだけでなく、
任意の信号伝搬経路の遅延時間特性を測定することがで
きる。
The difference between this embodiment and the first embodiment is that
In FIG. 1, the circuit 20 is a circuit having a signal propagation path having an arbitrary delay characteristic other than the critical path which is the signal propagation path having the longest delay time in the integrated circuit 1. As a result, not only the critical path,
The delay time characteristic of an arbitrary signal propagation path can be measured.

【0032】次に、本発明の第3の実施例について図4
を参照して説明する。
Next, a third embodiment of the present invention will be described with reference to FIG.
This will be described with reference to FIG.

【0033】本実施例が、前記第1、第2の実施例と相
違する点は、回路20の活性化された信号伝搬経路の出
力を取り込むフリップフロップ11の出力に、集積回路
1の外部パッド111に接続された外部出力バッファ1
10を接続したことである。これにより、時刻tにフリ
ップフロップ11にセットされた信号が“0”か“1”
かを外部パッド111に現われる信号を観測することに
より判別できる。すなわちスキャンパスモードで判別す
るよりも短いテスト時間で判別することが可能となる。
The present embodiment differs from the first and second embodiments in that the output of the flip-flop 11 for taking in the output of the activated signal propagation path of the circuit 20 is connected to the external pad of the integrated circuit 1. External output buffer 1 connected to 111
10 is connected. As a result, the signal set in the flip-flop 11 at time t is "0" or "1".
It can be determined by observing the signal appearing on the external pad 111. That is, it is possible to make the determination in a shorter test time than that in the scan pass mode.

【0034】[0034]

【発明の効果】以上説明したように、本発明によれば、
集積回路のクリティカルパスそのものの遅延時間特性が
簡単な設定で測定できるという効果を有する。
As described above, according to the present invention,
This has an effect that the delay time characteristic of the critical path itself of the integrated circuit can be measured with a simple setting.

【0035】これは、本発明においては、1本の制御信
号を切り替えるだけでクリティカルパスを活性化させ、
クリティカルパスへの直接入力を可能にし、クリティカ
ルパスの出力をフリップフロップに取り込ませる選択回
路を設けたことによる。
This is because, in the present invention, the critical path is activated only by switching one control signal,
This is because the direct input to the critical path is enabled and the selection circuit that takes the output of the critical path into the flip-flop is provided.

【0036】また、本発明によれば、集積回路本来の機
能及び遅延時間特性を損なうことなしにクリティカルパ
スの遅延時間特性を測定することができるという効果を
有する。
Further, according to the present invention, there is an effect that the delay time characteristic of the critical path can be measured without impairing the original function and delay time characteristic of the integrated circuit.

【0037】これは、本発明においては、クリティカル
パスの遅延時間特性を測定するために設けた選択回路を
全てフリップフロップのスキャン機能用の入力に接続し
たことによる。
This is because, in the present invention, all the selection circuits provided for measuring the delay time characteristics of the critical path are connected to the input for the scan function of the flip-flop.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の実施例を示す回路図である。FIG. 1 is a circuit diagram showing an embodiment of the present invention.

【図2】本発明の実施例を説明するための図であり、良
判定動作を示す波形図である。
FIG. 2 is a diagram for explaining the embodiment of the present invention and is a waveform diagram showing a good judgment operation.

【図3】本発明の実施例を説明するための図であり、不
良判定動作を示す波形図である。
FIG. 3 is a diagram for explaining the embodiment of the present invention and is a waveform diagram showing a defect determination operation.

【図4】本発明の他の実施例を示す回路図である。FIG. 4 is a circuit diagram showing another embodiment of the present invention.

【図5】従来の技術を示す回路図である。FIG. 5 is a circuit diagram showing a conventional technique.

【符号の説明】[Explanation of symbols]

1 集積回路 10〜13 スキャン機能付フリップフロップ 20 クリティカルパスを含む回路(又は任意の信号伝
搬経路を含む回路) 100〜103 選択回路 110 外部出力バッファ 111 外部パッド 201 リングオシレータ
DESCRIPTION OF SYMBOLS 1 Integrated circuit 10-13 Flip-flop with scan function 20 Circuit including critical path (or circuit including arbitrary signal propagation path) 100-103 Selection circuit 110 External output buffer 111 External pad 201 Ring oscillator

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】同期式集積回路において、 制御信号により所定の記憶素子群に固定レベルを選択し
て入力する手段と、 制御信号により第1の記憶素子に所望の信号を選択して
入力する手段と、 前記第1の記憶素子の出力を入力とする組合わせ回路の
出力に接続された第2の記憶素子と、を備えたことを特
徴とする遅延時間特性測定回路。
1. In a synchronous integrated circuit, means for selecting and inputting a fixed level to a predetermined memory element group by a control signal, and means for selecting and inputting a desired signal to a first memory element by a control signal. And a second memory element connected to the output of a combinational circuit having the output of the first memory element as an input, the delay time characteristic measuring circuit.
【請求項2】請求項1記載の各記憶素子が、スキャンパ
ス機能付きの記憶素子からなることを特徴とする遅延時
間特性測定回路。
2. A delay time characteristic measuring circuit, wherein each memory element according to claim 1 is composed of a memory element having a scan path function.
【請求項3】請求項2記載のスキャンパス機能付き記憶
素子のうち、請求項1記載の前記組合わせ回路の出力に
接続された第2の記憶素子のスキャン制御用入力に前記
制御信号により固定レベルを入力させる手段を備えたこ
とを特徴とする遅延時間特性測定回路。
3. A memory element with a scan path function according to claim 2, wherein the second memory element connected to the output of the combination circuit according to claim 1 is fixed to the scan control input by the control signal. A delay time characteristic measuring circuit having means for inputting a level.
【請求項4】前記制御信号を全て同一信号としたことを
特徴とする請求項1〜3のいずれか一に記載の遅延時間
特性測定回路。
4. The delay time characteristic measuring circuit according to claim 1, wherein all the control signals are the same signal.
【請求項5】前記組合わせ回路の出力に接続された前記
第2の記憶素子の出力に、該集積回路の出力端子にその
信号を直接出力させる手段を備えたことを特徴とする請
求項1〜4のいずれか一に記載の遅延時間特性測定回
路。
5. A means for causing the output of the second storage element connected to the output of the combinational circuit to output the signal directly to the output terminal of the integrated circuit. The delay time characteristic measuring circuit according to any one of 4 to 4.
【請求項6】フリップフロップ間に投入されるクリティ
カルパスを含む回路に、 クリティカルパスに所定の信号を入力して活性化する手
段と、 該クリティカルパスに直接入力信号を選択的に与える手
段と、 該クリティカルパスの出力を観測可能にする手段と、 を備え、該クリティカルパスの遅延時間特性を測定可能
としたことを特徴とする遅延時間特性測定回路。
6. A circuit for inputting a predetermined signal to a critical path to activate the circuit including a critical path input between flip-flops, and a means for selectively applying an input signal directly to the critical path. A delay time characteristic measuring circuit comprising: means for observing the output of the critical path, wherein the delay time characteristic of the critical path can be measured.
【請求項7】前記フリップフロップがスキャンパス機能
を備え、前記各手段を制御する信号が同一の信号からな
ることを特徴とする請求項6記載の遅延時間特性測定回
路。
7. The delay time characteristic measuring circuit according to claim 6, wherein the flip-flop has a scan path function, and signals for controlling the respective means are the same signal.
JP8115539A 1996-04-12 1996-04-12 Circuit for measuring delay time characteristic Pending JPH09281186A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8115539A JPH09281186A (en) 1996-04-12 1996-04-12 Circuit for measuring delay time characteristic

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8115539A JPH09281186A (en) 1996-04-12 1996-04-12 Circuit for measuring delay time characteristic

Publications (1)

Publication Number Publication Date
JPH09281186A true JPH09281186A (en) 1997-10-31

Family

ID=14665043

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8115539A Pending JPH09281186A (en) 1996-04-12 1996-04-12 Circuit for measuring delay time characteristic

Country Status (1)

Country Link
JP (1) JPH09281186A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100408123B1 (en) * 1998-09-28 2003-12-18 인피니언 테크놀로지스 아게 Circuit configuration with a scan path that can be deactivated
US10659014B2 (en) 2017-10-13 2020-05-19 Samsung Electronics Co., Ltd. Clock control in semiconductor system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4874856A (en) * 1971-12-27 1973-10-09
JPS6089120A (en) * 1983-10-21 1985-05-20 Toshiba Corp Flip-flop circuit
JPH04128661A (en) * 1990-09-19 1992-04-30 Fujitsu Ltd Line delay testing device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4874856A (en) * 1971-12-27 1973-10-09
JPS6089120A (en) * 1983-10-21 1985-05-20 Toshiba Corp Flip-flop circuit
JPH04128661A (en) * 1990-09-19 1992-04-30 Fujitsu Ltd Line delay testing device

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100408123B1 (en) * 1998-09-28 2003-12-18 인피니언 테크놀로지스 아게 Circuit configuration with a scan path that can be deactivated
US10659014B2 (en) 2017-10-13 2020-05-19 Samsung Electronics Co., Ltd. Clock control in semiconductor system
US10972080B2 (en) 2017-10-13 2021-04-06 Samsung Electronics Co., Ltd. Clock control in semiconductor system

Similar Documents

Publication Publication Date Title
JP2522140B2 (en) Logic circuit
JPH07167914A (en) Built-in testing circuit for performing sampling of digital micro-circuit and accurate ac test with low-bandwidth testing device and probing station
JPH0666897A (en) Logic integrated circuit
JPH10267994A (en) Integrated circuit
JP3363691B2 (en) Semiconductor logic integrated circuit
JPH09281186A (en) Circuit for measuring delay time characteristic
JP3339479B2 (en) Clock control circuit and method
JP4416469B2 (en) Semiconductor integrated circuit and design method thereof
JPH10177060A (en) Scanning circuit
JPH0295283A (en) Semiconductor integrated circuit device
JP2785506B2 (en) Scan circuit
JPH112664A (en) Boundary scan register
JP3251748B2 (en) Semiconductor integrated circuit
JPH10307167A (en) Testing device for logic integrated circuit
JPH0261569A (en) Serial shift register
JPH09320290A (en) Shift register
JPH04265873A (en) Logic circuit with delay time measuring circuit
JP3882376B2 (en) Integrated circuit
JPH1123660A (en) Test simplifying circuit of integrated circuit
JP2001264389A (en) Semiconductor integrated circuit
JP2000321331A (en) Scan test circuit and semiconductor integrated circuit using it
JPH04109183A (en) Delay test method
JPH06160479A (en) Semiconductor logic integrated circuit
JP2003057307A (en) Scanning flip-flop circuit, and method of designing scan
JPH06300821A (en) Lsi having controller incorporated

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20000425