JPH09205140A - Element isolated semiconductor substrate and its manufacture - Google Patents

Element isolated semiconductor substrate and its manufacture

Info

Publication number
JPH09205140A
JPH09205140A JP8309590A JP30959096A JPH09205140A JP H09205140 A JPH09205140 A JP H09205140A JP 8309590 A JP8309590 A JP 8309590A JP 30959096 A JP30959096 A JP 30959096A JP H09205140 A JPH09205140 A JP H09205140A
Authority
JP
Japan
Prior art keywords
semiconductor substrate
oxide film
element isolation
groove
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8309590A
Other languages
Japanese (ja)
Other versions
JP4420986B2 (en
Inventor
Kaori Umezawa
華織 梅澤
Norihiko Tsuchiya
憲彦 土屋
Yoshiaki Matsushita
嘉明 松下
Hiroyuki Kamijo
浩幸 上條
Junji Yagishita
淳史 八木下
Tsunehiro Kita
恒博 北
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP30959096A priority Critical patent/JP4420986B2/en
Publication of JPH09205140A publication Critical patent/JPH09205140A/en
Application granted granted Critical
Publication of JP4420986B2 publication Critical patent/JP4420986B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a buried element-isolating technique using an organic silicon CVD method or the like such that the generation of crystal defects in an element forming region is restrained. SOLUTION: A buried element isolated substrate is formed by selectively forming a groove portion 6 at a predetermined position of a semiconductor substrate 5, and embedding an oxide film formed by an organic silicon CVD method into the groove portion 6 as a buried oxide film 7. This buried oxide film 7 is heat-treated at 1100-1350 deg.C before or after flattening of the semiconductor substrate 5. By heat-treatment, at least five membered ring or structure and at most four-membered ring structure in the buried oxide film 7 are constituted at a predetermined ratio.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明はLSI等の半導体集
積回路用基板およびその製造方法に関するもので、特に
半導体集積回路の素子分離技術に係るものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit substrate such as an LSI and a method for manufacturing the same, and more particularly to an element isolation technique for a semiconductor integrated circuit.

【0002】[0002]

【従来の技術】LSIを形成するには、トランジスタ等
の能動素子、又は抵抗やキャパシタ等の受動素子を形成
する素子形成領域の周辺に、1つの素子形成領域と他の
素子形成領域とを電気的に分離する素子分離領域とを形
成することが必要である。MOS・LSI技術やバイポ
ーラLSI技術の発展の中でこの素子分離領域を形成す
るための素子分離技術は常に重要な技術課題の一つであ
ったが、今後もその重要性はますます増大すると考えら
れる。この素子分離技術の歴史の中で時代を画する一つ
の展開は、素子形成領域と素子分離領域を自己整合的に
区分できるLOCOS(LOCal Oxidatio
n of Silicon)技術の開発であったと言え
る。LOCOS技術(LOCOS法)は図15に示すよ
うに窒化膜(Si3 4 膜)88をマスクにして選択酸
化を行ない、Si3 4 膜のない場所のSi表面に形成
された酸化膜(SiO2 膜)82を素子分離領域の絶縁
層として用いるものである。この素子分離技術とポリシ
リコン配線技術とが相まって今日のLSI産業の隆盛を
もたらしたといっても過言ではない。しかしサブミクロ
ンからディープサブミクロンの微細加工時代に至り、こ
のLOCOS技術もいよいよその限界に近づきつつあ
る。その最大の問題点は、いわゆるバーズビークの存在
による素子形成領域(活性領域)の侵食と、フィールド
酸化膜形成時の局部的なストレスの発生による結晶欠陥
の発生である。とくにバーズビークはVLSIあるいは
ULSIにとっては高集積化の阻害要因となっており、
バーズビークによる侵食を少なくし微細化するためには
酸化膜82の厚さを薄くせざるを得なくなる。しかし酸
化膜82の厚さを薄くすることは、素子間耐圧が低下す
るという問題を発生させる。これを克服するためにLO
COS法の改良や新しい分離技術が種々提案されてい
る。たとえばLOCOS法をベースとした改良素子分離
技術として改良コプラナ法、直接窒化膜マスク方式、あ
るいはSWAMI(Side WAll Masked
Isolation)等が知られ、さらに選択エピタ
キシャル法やUグルーブ法等も提案されている。これら
の素子分離技術に加え、BOX(Buried OXi
de)法と呼ばれる、図16に示すような酸化膜埋込み
法がサブミクロン寸法、ディープサブミクロン寸法のV
LSI等における素子分離技術として注目されている。
これはシリコン基板5にU溝を形成した後、SiO2
の絶縁材料77をU溝を埋め込むように堆積する素子分
離技術である。
2. Description of the Related Art In order to form an LSI, one element formation region and another element formation region are electrically formed around an element formation region for forming an active element such as a transistor or a passive element such as a resistor or a capacitor. It is necessary to form an element isolation region that is electrically isolated. In the development of MOS / LSI technology and bipolar LSI technology, the element isolation technology for forming this element isolation region has always been one of the important technical issues, but it is expected that its importance will continue to increase in the future. To be One of the developments that marked the era in the history of this element isolation technology is LOCOS (LOCal Oxidation), which can divide the element formation region and the element isolation region in a self-aligned manner.
It can be said that it was the development of the technology of no. As shown in FIG. 15, the LOCOS technique (LOCOS method) performs selective oxidation by using a nitride film (Si 3 N 4 film) 88 as a mask, and an oxide film (a film formed on the Si surface in a place where there is no Si 3 N 4 film). The SiO 2 film) 82 is used as an insulating layer in the element isolation region. It is no exaggeration to say that this element isolation technology and polysilicon wiring technology have combined to bring about the prosperity of today's LSI industry. However, in the era of fine processing from submicron to deep submicron, this LOCOS technology is finally approaching its limit. The biggest problems are the erosion of the element formation region (active region) due to the presence of so-called bird's beaks and the occurrence of crystal defects due to the local stress generation at the time of forming the field oxide film. In particular, bird's beak is an obstacle to high integration for VLSI or ULSI,
In order to reduce the erosion due to bird's beak and miniaturize it, the thickness of the oxide film 82 must be reduced. However, reducing the thickness of the oxide film 82 causes a problem that the breakdown voltage between elements is lowered. LO to overcome this
Various improvements have been proposed for the COS method and new separation techniques. For example, as an improved element isolation technique based on the LOCOS method, an improved coplanar method, a direct nitride film mask method, or a SWAMI (Side WAll Masked) method is used.
Isolation) and the like, and a selective epitaxial method, a U-groove method, etc. have also been proposed. In addition to these element isolation technologies, BOX (Buried OXi
de) method, which is a method of burying an oxide film as shown in FIG.
It is drawing attention as an element isolation technology in LSI and the like.
This is an element isolation technique in which a U groove is formed in the silicon substrate 5 and then an insulating material 77 such as SiO 2 is deposited so as to fill the U groove.

【0003】BOX法で用いる絶縁膜堆積技術には、均
一性、平坦性、段差被覆性(ステップカバレージ)、膜
質、およびプロセスの低温化等の種々の要求が課せられ
ている。このうち特に段差被覆性およびプロセスの低温
化が重要である。ギガスケール集積回路(GSI)等、
ますます高集積化が進む、半導体集積回路の製造には低
温で良質な絶縁膜が要求されるのである。この要求に対
して従来比較的低温(300〜450℃)で形成できる
モノシラン(SiH4 )とN2 Oと等を用いたCVD技
術であるLTO(Low Temperature O
xide)が知られているが、LTOは形成条件にもよ
るが一般に段差被覆性が悪く、膜質も劣る。とくに常圧
CVD法や減圧CVD法による酸化膜は引っ張り応力を
示し、クラック耐性が弱いという欠点をもつ。
The insulating film deposition technique used in the BOX method is required to have various requirements such as uniformity, flatness, step coverage (step coverage), film quality, and low process temperature. Among these, the step coverage and the lowering of the process temperature are particularly important. Gigascale integrated circuit (GSI), etc.
In the manufacture of semiconductor integrated circuits, which are becoming more highly integrated, a good insulating film at low temperature is required. To meet this requirement, LTO (Low Temperature O), which is a CVD technique using monosilane (SiH 4 ) and N 2 O, etc., which can be conventionally formed at a relatively low temperature (300 to 450 ° C.).
XTO) is known, but LTO generally has poor step coverage and poor film quality depending on the forming conditions. In particular, the oxide film formed by the atmospheric pressure CVD method or the low pressure CVD method has a drawback that it exhibits tensile stress and its crack resistance is weak.

【0004】これらの要件を鑑み、最近TEOS(テト
ラエチルオルソシリケート:Si(OC2 5 4 )を
代表とする有機シリコン系材料を用いたCVD技術の研
究が活発となっている。たとえばTEOSとO3 の反応
によれば450℃以下の低温で絶縁膜の形成が可能で、
しかも段差被覆性が良好であるからである。
In view of these requirements, the CVD technique using an organic silicon material typified by TEOS (tetraethyl orthosilicate: Si (OC 2 H 5 ) 4 ) has recently been actively researched. For example, the reaction of TEOS and O 3 makes it possible to form an insulating film at a low temperature of 450 ° C. or lower,
In addition, the step coverage is good.

【0005】[0005]

【発明が解決しようとする課題】図16に示したBOX
法のうちで比較的溝の深さが浅いものは微細化に有利
で、シャロウ・トレンチ分離(Shallow Tre
nch Isolation;STI)法とも称せられ
る。しかしながら、このSTI法は、LOCOS法に比
し素子を微細化する点では有利であるが、能動素子領域
(素子形成領域)となるシリコン等の半導体基板と溝に
埋め込まれる絶縁物(例えばシリコンの酸化物)との間
で、熱膨脹係数が異なるため、素子分離領域形成中、ま
たは素子分離領域形成後のLSI製造工程中の熱工程に
よって半導体基板中に応力が生じ、図16に太い実線で
示すような転位12等の結晶欠陥を発生させることにな
るという問題があった。特に、シリコン酸化物の形成を
有機シリコンソースを原料とした場合、有機シリコンソ
ースの原料精製技術に起因した不純物の問題がクローズ
・アップされてきている。すなわち、現状では高純度の
有機シリコンソースを得ることが困難であるため、堆積
直後はシリコンの酸化物(SiO2 )以外の不純物(例
えばH2 O、有機物等)がSiO2 中に残存あるいは吸
着している。したがって、その後の800〜1000℃
の熱工程により、これらの不純物が解離されることに起
因する種々の問題点が生じる。有機シリコンソース原料
中の不純物としての水分は通常100〜20ppm含ま
れている。このため、たとえばシリコンデバイスにおい
ては、シリコン基板と埋込んだSiO2 (埋込み酸化
膜)とでは熱膨脹率が異なる上に、埋込み酸化膜中の水
分の解離に伴ない、膜収縮が加わり、シリコン基板に過
大な圧縮応力が加わるという問題が挙げられる。さら
に、図16に示す従来のSTI構造は素子分離領域形成
中、またはその後の素子製造工程に伴う熱過程において
基板に結晶欠陥を導入し易くなるという欠点があった。
つまり、従来の有機シリコンソースを用いたSTI法に
よる素子分離技術は基板表層に転位12等の結晶欠陥が
発生、増殖、伝搬しやすいという一次的な問題点と、こ
れらの問題点に付随して、これらの結晶欠陥が金属不純
物を捕獲し易く、素子形成領域(活性領域)に結晶欠陥
が多数発生するために接合リーク等の電気的不良が発生
しやすいという二次的な問題点があった。
[Problems to be Solved by the Invention] The BOX shown in FIG.
Among the methods, those having a relatively shallow groove depth are advantageous for miniaturization, and shallow trench isolation (Shallow Tre
nch Isolation; STI) method. However, this STI method is more advantageous than the LOCOS method in miniaturizing the element, but is a semiconductor substrate such as silicon to be an active element region (element formation region) and an insulator (for example, silicon Oxide) has a different coefficient of thermal expansion, so stress is generated in the semiconductor substrate during the thermal process during the formation of the element isolation region or during the LSI manufacturing process after the formation of the element isolation region, as shown by the thick solid line in FIG. There is a problem in that crystal defects such as dislocation 12 are generated. In particular, when an organic silicon source is used as a raw material for the formation of silicon oxide, the problem of impurities caused by the raw material refining technology of the organic silicon source has been highlighted. That is, since it is difficult to obtain a high-purity organic silicon source at present, impurities (eg, H 2 O, organic matter, etc.) other than silicon oxide (SiO 2 ) remain or are adsorbed in SiO 2 immediately after deposition. doing. Therefore, the subsequent 800-1000 ℃
The thermal process of causes various problems due to the dissociation of these impurities. Moisture as an impurity in the organic silicon source material is usually contained in an amount of 100 to 20 ppm. Therefore, for example, in a silicon device, the coefficient of thermal expansion differs between the silicon substrate and the embedded SiO 2 (buried oxide film), and the film shrinkage is added due to the dissociation of water in the buried oxide film. There is a problem that excessive compressive stress is applied to. Further, the conventional STI structure shown in FIG. 16 has a drawback that crystal defects are easily introduced into the substrate during the formation of the element isolation region or the subsequent heat process accompanying the element manufacturing process.
That is, the conventional element isolation technique by the STI method using an organic silicon source has a primary problem that crystal defects such as dislocations 12 are easily generated, propagated and propagated on the surface layer of the substrate, and these problems are accompanied. The secondary problem is that these crystal defects easily trap metal impurities and electrical defects such as junction leaks tend to occur because many crystal defects occur in the element formation region (active region). .

【0006】特に、実際のLSI製造プロセスでは、素
子分離領域の存在自身に起因する応力の他に、イオン注
入によるダメージに起因した応力、さらには電極、層間
絶縁膜等のそれぞれ性質の異なる種々の多層膜が形成さ
れていることに付随した応力等が生じており、結晶欠陥
が発生し易い。STI構造自身に起因した結晶欠陥とこ
れ以外に起因した結晶欠陥の相乗的な効果もある。基板
中に発生した結晶欠陥は、金属不純物等を捕獲し易くな
る。このため従来のSTI法においては、活性層(素子
形成領域)中の結晶欠陥の存在により、接合リークが増
大したり、ゲート酸化膜の絶縁破壊が生じる等の電気的
不良が発生するという問題があった。したがって素子形
成領域に結晶欠陥を発生させない素子分離技術の開発が
今後の微細化されたLSI製造における重要な解決すべ
き課題であるのである。
In particular, in the actual LSI manufacturing process, in addition to the stress caused by the existence of the element isolation region itself, the stress caused by the damage due to the ion implantation, and also various stresses such as electrodes and interlayer insulating films having different properties. Stress and the like accompanying the formation of the multilayer film are generated, and crystal defects are likely to occur. There is also a synergistic effect of crystal defects caused by the STI structure itself and crystal defects caused by other factors. The crystal defects generated in the substrate tend to capture metal impurities and the like. Therefore, in the conventional STI method, there is a problem in that the presence of crystal defects in the active layer (element formation region) causes an electrical failure such as an increase in junction leakage or a dielectric breakdown of the gate oxide film. there were. Therefore, the development of an element isolation technique that does not cause crystal defects in the element formation region is an important issue to be solved in the future miniaturized LSI manufacturing.

【0007】つまり、微細化されたGSI,ULSI,
VLSI等の素子分離技術においては、バーズビークが
存在しないこと、表面が平坦であること、および結晶欠
陥が発生しないこと等の条件が要求されるのである。S
TI法ではバーズビークの問題はないものの、表面の平
坦性や結晶欠陥の発生の抑制は重要な解決すべき課題で
ある。
That is, the miniaturized GSI, ULSI,
In element isolation technology such as VLSI, conditions such as the absence of bird's beaks, a flat surface, and the absence of crystal defects are required. S
Although there is no problem of bird's beak in the TI method, the flatness of the surface and the suppression of the occurrence of crystal defects are important problems to be solved.

【0008】上記のような問題点に鑑み、本発明はST
I法あるいはSTI法に類似の埋込み素子分離法を用い
た半導体装置であって、SiO2 の応力に起因した素子
形成領域に発生する結晶欠陥が低減された高集積密度半
導体用の半導体基板を提供することを目的とする。
In view of the above-mentioned problems, the present invention provides ST
Provided is a semiconductor device using a buried element isolation method similar to the I method or the STI method, which is a semiconductor substrate for a high integration density semiconductor in which crystal defects generated in an element formation region due to stress of SiO 2 are reduced. The purpose is to do.

【0009】本発明の他の目的はSiO2 の応力に起因
した結晶欠陥を低減し、素子形成領域中のpn接合リー
ク電流を抑制し、同時に高集積密度化が可能な半導体基
板の製造方法を提供することである。
Another object of the present invention is to provide a method of manufacturing a semiconductor substrate which can reduce crystal defects caused by stress of SiO 2 and suppress a pn junction leak current in an element forming region, and at the same time enable high integration density. Is to provide.

【0010】本発明のさらに他の目的は、熱酸化膜のエ
ッチングレートと同等なエッチングレートを有した良質
な酸化膜を低温で素子分離領域に埋め込むことができる
半導体基板の製造方法を提供することである。
Still another object of the present invention is to provide a method of manufacturing a semiconductor substrate which can bury a good quality oxide film having an etching rate equivalent to that of a thermal oxide film in an element isolation region at a low temperature. Is.

【0011】[0011]

【課題を解決するための手段】上記目的を達成するた
め、この発明の第1の特徴は、図1(e),図7
(f),図9(g)および図14(e)に例示するよう
に半導体基板の表面の一部に形成された複数の溝部6
と、溝部6の内部に形成された埋込酸化膜71と、溝部
6と溝部6との間の素子形成領域とからなる素子分離半
導体基板であって、埋込酸化膜71は有機シリコン系C
VD法,いわゆるSOG(Spin−on−glas
s)法と呼ばれる樹脂ガラスの塗布法又は陽極酸化法の
いずれかの方法により形成され、その後1100〜13
50℃の温度で熱処理された酸化膜であることである。
ここで「複数の溝部」とは、所定の切断面で観察した場
合にその断面において複数が観察されるという意であっ
て、平面パターン上における形状を限定するものではな
い。すなわち一定の場合においては、平面パターンとし
てはこれらの溝部が連続して一つの溝部となっていても
かまわない。たとえば図1の対応する平面図を省略して
いるが、図1(a)に示される複数の溝部6は、図1
(e)の中央部に示されるようなn+ ソース領域91、
+ ドレイン領域92を具備するMOSトランジスタ等
が形成される素子形成領域のまわりをぐるりと囲んだ一
体の領域として形成されていると解すことが可能である
と解釈すべきである。あるいは、図12に示すように素
子形成領域のまわりを埋込酸化膜71が島状に配置さ
れ、完全に囲んでいなくてもよい。いずれにせよ、一定
の断面で観察した場合において素子形成領域を挟むよう
に2以上の溝部が存在すれば本発明にいう「複数の溝
部」に相当するのである。なお、1350℃以上の温度
で酸化膜を熱処理しても本発明の目的は達成されるが、
熱処理用の反応管や炉等の装置の構成が困難となり、ま
た炉から半導体基板への汚染も問題となるので、現状の
技術を考慮すると現実的ではない。また酸化膜の融点以
上には高温にできないことは当業者には自明であろう。
In order to achieve the above object, the first feature of the present invention is as shown in FIGS.
As shown in (f), FIG. 9 (g) and FIG. 14 (e), a plurality of groove portions 6 formed in a part of the surface of the semiconductor substrate.
And a buried oxide film 71 formed inside the groove portion 6 and an element forming region between the groove portion 6 and the groove portion 6, wherein the buried oxide film 71 is an organic silicon-based C
VD method, so-called SOG (Spin-on-glass)
s) method, which is either a resin glass coating method or an anodizing method, and thereafter 1100 to 13
That is, the oxide film is heat-treated at a temperature of 50 ° C.
Here, "a plurality of groove portions" means that a plurality of grooves are observed in a cross section when observed on a predetermined cut surface, and does not limit the shape on the plane pattern. That is, in a fixed case, these groove portions may continuously form one groove portion as a plane pattern. For example, although the corresponding plan view of FIG. 1 is omitted, the plurality of groove portions 6 shown in FIG.
N + source region 91 as shown in the center of FIG.
n + drain region 92 is to be construed as can be understood as being integrally formed as a region that round enclosed around the element formation region MOS transistor or the like is formed having a. Alternatively, as shown in FIG. 12, the buried oxide film 71 may be arranged in an island shape around the element formation region and may not be completely surrounded. In any case, when there are two or more groove portions so as to sandwich the element formation region when observed with a constant cross section, it corresponds to the “plurality of groove portions” in the present invention. The object of the present invention can be achieved even if the oxide film is heat-treated at a temperature of 1350 ° C. or higher.
Since it becomes difficult to configure a reaction tube for heat treatment, an apparatus such as a furnace, and contamination of the semiconductor substrate from the furnace poses a problem, it is not realistic considering the current technology. It will be apparent to those skilled in the art that the temperature cannot be higher than the melting point of the oxide film.

【0012】好ましくは、第1の特徴において,半導体
基板の表面に形成されるこれらの溝部6の深さdと、こ
れらの溝部6の開口部の幅l1 の寸法との比で定義され
るアスペクト比d/l1 が10以下であることである。
図10に示すように本発明の第1の特徴はアスペクト比
10以下において素子形成領域に観察される欠陥密度が
低減し、アスペクト比10以上では埋込酸化膜71の応
力の低減化が不十分であり、この応力に起因した欠陥密
度は低減しないことが実験的に明らかになったからであ
る。また好ましくは、溝部の幅l1 を最小スペース幅と
し、素子形成領域の幅l2 を最小ライン幅とした所定の
方向のライン・アンド・スペースの繰り返しパターンに
おいてこの所定の方向で定義されるl1 とl2 との比l
1 /l2が1.5以下であることである。図13に示す
ようにl1 /l2 が1.5以上では1100℃〜135
0℃の熱処理によっても酸化膜の応力は低減できず、結
晶欠陥が発生する。なお、このl1 /l2 は一定の方向
について定義されるものであり、このライン・アンド・
スペース・パターンの繰り返しの方向に対して直交する
ような方向、すなわち図11(b)の切断面X−Xに直
交する方向のパターンであって、最小ライン幅もしくは
最小スペース幅とならないような部分のパターン寸法の
比は1.5以上となってもかまわない。図12にはライ
ン・アンド・スペース・パターンが2方向に存在する場
合を示した。図12においてはX−X方向において比l
1x/l2xが定義され、Y−Y方向において比11y/l2y
が定義される。このような場合においては少なくとも、
いずれか一方の方向において比が1.5以下であればよ
い。たとえば l1x/l2x≦1.5 ……(1) l1y/l2y>1.5 ……(2) であれば(1)式を採用すればよい。逆の場合は(2)
式を採用すればよい。もちろん両方向において比l1x
2x,l1y/l2yが共に1.5以下であつてもかまわな
い。本発明の「所定の方向で定義される11 とl2 との
比」とは、以上のように、いずれか一つの方向で定義さ
れ、その定義された方向における比l1 /l2 が1.5
以下であれば、他の方向については問わないという意味
に解すべきである。
Preferably, in the first feature, it is defined by the ratio of the depth d of these groove portions 6 formed on the surface of the semiconductor substrate and the width l 1 of the openings of these groove portions 6. That is, the aspect ratio d / l 1 is 10 or less.
As shown in FIG. 10, the first feature of the present invention is that the defect density observed in the element formation region is reduced when the aspect ratio is 10 or less, and the stress of the buried oxide film 71 is not sufficiently reduced when the aspect ratio is 10 or more. This is because it is experimentally revealed that the defect density due to this stress is not reduced. Further, preferably, in a line-and-space repeating pattern in a predetermined direction in which the groove width l 1 is the minimum space width and the element formation region width l 2 is the minimum line width, l defined in the predetermined direction is defined. Ratio of 1 to l 2
That is, 1 / l 2 is 1.5 or less. As shown in FIG. 13, when l 1 / l 2 is 1.5 or more, 1100 ° C. to 135
Even by heat treatment at 0 ° C., the stress of the oxide film cannot be reduced, and crystal defects occur. It should be noted that this l 1 / l 2 is defined for a certain direction, and this line and
A portion in a direction orthogonal to the repeating direction of the space pattern, that is, a pattern in a direction orthogonal to the cutting plane XX in FIG. 11B, which does not have the minimum line width or the minimum space width. The pattern size ratio may be 1.5 or more. FIG. 12 shows the case where the line and space pattern exists in two directions. In FIG. 12, the ratio 1 in the XX direction
1x / l 2x is defined and the ratio is 1 1y / l 2y in the Y-Y direction.
Is defined. In such cases, at least
The ratio may be 1.5 or less in either direction. For example, if l 1x / l 2x ≦ 1.5 (1) l 1y / l 2y > 1.5 (2), the formula (1) may be adopted. In the opposite case (2)
A formula may be adopted. Of course the ratio l 1x / in both directions
Both l 2x and l 1y / l 2y may be 1.5 or less. The "ratio between 1 1 and l 2 defined in a predetermined direction" in the present invention is defined in any one direction as described above, and the ratio l 1 / l 2 in the defined direction is 1.5
The following should be understood to mean that the other directions do not matter.

【0013】本発明の第2の特徴は、第1の特徴と同様
な図1(e),図7(f),図9(g)および図14
(e)に例示するような形状の素子分離半導体基板であ
って、この埋込酸化膜25,71は5員環以上の環構造
および4員環以下の環構造をそれぞれ所定の割合で含む
非晶質シリコン酸化膜であることである。
The second feature of the present invention is similar to the first feature shown in FIG. 1 (e), FIG. 7 (f), FIG. 9 (g) and FIG.
In the element isolation semiconductor substrate having the shape as illustrated in (e), the buried oxide films 25 and 71 include a ring structure having a 5-membered ring or more and a ring structure having a 4-membered ring or less at a predetermined ratio. It is a crystalline silicon oxide film.

【0014】ここで所定の割合とはラマン散乱分光スペ
クトルの測定から求められる図4(a)および4(b)
に示すような3員環、4員環、および5員環以上の多員
環構造に対応するそれぞれのラマンシフトの積分強度の
全体(全スペクトルの積分強度)に対する割合が所定の
割合という意味である。すなわち図4(a)および4B
(b)に示すように波数300〜700cm-1のスペク
トル領域を全体とした場合の各ラマンシフトの積分強度
の割合を意味する。ここで各ラマンシフトの積分強度は
対応するピークを含む所定のスペクトル範囲内で定義さ
れる。
Here, the predetermined ratio is shown in FIGS. 4 (a) and 4 (b) obtained from the measurement of Raman scattering spectrum.
In the sense that the ratio of the integrated intensity of the Raman shifts corresponding to the multi-membered ring structure of three-membered ring, four-membered ring, and five-membered ring or more as shown in is there. That is, FIGS. 4 (a) and 4B.
As shown in (b), it means the ratio of the integrated intensity of each Raman shift in the case where the spectrum region having a wave number of 300 to 700 cm −1 is set as the whole. Here, the integrated intensity of each Raman shift is defined within a predetermined spectral range including the corresponding peak.

【0015】つまり図5に示すように、(i) 5員環以上
に対応するラマシンフトの積分強度比が実質的に全体の
85%以上、(ii)4員環または3員環に対応するラマン
シフトの積分強度比が実質的に全体の15%以下、とい
う2つの条件の少なく共一方を満たす非晶質シリコン酸
化膜(SiO2 膜)であることにより、埋込酸化膜2
5,71中の応力および埋込酸化膜25,71と半導体
基板5,16,23、との界面の応力が緩和され、素子
形成領域中の転位の発生が抑制されることとなる。ここ
で「実質的に85%以上」とは図5でエラーバーで示す
ように約80%以上程度を許容するという意である。ま
た「実質的に15%以下」とは約20%以下程度までが
許容されるという意である。3員環および4員環を共に
含む場合は3員環と4員環の和が実質的に15%以下で
あればよい。すなわち本発明にいう85%以上、15%
以下とは図5に示す関係を意味すると解すべきである。
なお、本発明の第2の特徴における積分強度比の計算に
おいてはバックグランド成分が除去されていることはも
ちろんである。本発明の第2の特徴の構造により、素子
形成領域中に形成されるpn接合のリーク電流が低減
し、良好な特性を有した高密度集積回路が実現できる。
なお、本発明の5員環以上を実質的に85%以上、4員
環または3員環を実質的に15%以下含む酸化膜のエッ
チング速度(エッチングレート)は図6に示すようにN
4 Fによるエッチングレートが130nn/min以
下となり、熱酸化膜の対応するエッチングレートとほぼ
等しい。したがって簡単な5員環以上、4員環の組成の
検証としてはエッチングレートを調べればよい。
That is, as shown in FIG. 5, (i) the integrated intensity ratio of the Ramin ft corresponding to a 5-membered ring or more is substantially 85% or more of the total, and (ii) the Raman corresponding to a 4-membered ring or a 3-membered ring. The buried oxide film 2 is made by the amorphous silicon oxide film (SiO 2 film) satisfying one of the two conditions that the integrated intensity ratio of shift is substantially 15% or less of the whole.
The stress in 5 and 71 and the stress in the interfaces between the buried oxide films 25 and 71 and the semiconductor substrates 5, 16 and 23 are alleviated, and the generation of dislocations in the element formation region is suppressed. Here, “substantially 85% or more” means that about 80% or more is allowed, as indicated by an error bar in FIG. Further, "substantially 15% or less" means that about 20% or less is allowed. When both the 3-membered ring and the 4-membered ring are contained, the sum of the 3-membered ring and the 4-membered ring may be substantially 15% or less. That is, 85% or more and 15% as referred to in the present invention.
The following should be understood to mean the relationships shown in FIG.
In addition, it goes without saying that the background component is removed in the calculation of the integrated intensity ratio in the second feature of the present invention. With the structure of the second characteristic of the present invention, the leakage current of the pn junction formed in the element formation region is reduced, and a high density integrated circuit having good characteristics can be realized.
The etching rate (etching rate) of the oxide film containing at least 85% of the 5-membered ring or more and substantially not more than 15% of the 4-membered ring or the 3-membered ring of the present invention is N as shown in FIG.
The etching rate by H 4 F is 130 nn / min or less, which is almost equal to the corresponding etching rate of the thermal oxide film. Therefore, the etching rate may be examined as a simple verification of the composition of the 5-membered ring or more and the 4-membered ring.

【0016】本発明の第3の特徴は、図1(a)〜
(e)又は図7(d)〜(f)に例示するような、次の
各工程を少なくとも含むことである。すなわち,(イ)
図1(a)又は図7(d)に示すように半導体基板5,
16の表面の一部に複数の溝部6を形成する第1工程,
(ロ)図1(b),(c)又は図7(e)に示すように
溝部6に有機シリコン系CVD法により酸化膜7,71
を埋め込む第2工程,および(ハ)酸化膜71を基板温
度1100℃〜1350℃で熱処理する第3工程、とを
少なくとも含むことを特徴とする。「複数の溝部」とは
一定の断面で見た場合の概念であることは上述した通り
である。有機シリコン系CVD法とはTEOS(Tet
raethylorthosilicate;Si(O
2 5 4),TMOS(Tetramethoxy
silane;Si(OCH3 4 ),TPOS(Te
trapropoxysilane;Si(OC
3 7 4 )、あるいはDADBS(Diacetox
yditertiarybutoxysilane;
(C4 9 O)2 Si−(OCOCH3 2 )等の有機
シリコンソースを原料としたCVDをいう。
The third feature of the present invention is shown in FIGS.
(E) or FIGS. 7 (d) to 7 (f), at least the following steps are included. That is, (a)
As shown in FIG. 1A or FIG. 7D, the semiconductor substrate 5,
A first step of forming a plurality of groove portions 6 on a part of the surface of 16,
(B) As shown in FIG. 1 (b), (c) or FIG. 7 (e), an oxide film 7, 71 is formed in the groove 6 by an organic silicon based CVD method.
And a third step of (c) heat-treating the oxide film 71 at a substrate temperature of 1100 ° C. to 1350 ° C. As described above, the "plurality of grooves" is a concept when viewed in a constant cross section. What is the organic silicon-based CVD method? TEOS (Tet
raethylthosilicate; Si (O
C 2 H 5 ) 4 ), TMOS (Tetramethoxy)
silane; Si (OCH 3 ) 4 ), TPOS (Te
trapropoxysilane; Si (OC
3 H 7 ) 4 ) or DADBS (Diacetox)
yditertiarybutyoxysilane;
It refers to CVD using an organic silicon source such as (C 4 H 9 O) 2 Si- (OCOCH 3 ) 2 ) as a raw material.

【0017】好ましくは、第2工程における有機シリコ
ン系CVD法は常圧CVD法、減圧CVD法、プラズマ
CVD法、光CVD法および液相CVD法のうちのいず
れかであることである。常圧CVD法はO2 をオゾナイ
ザーに導入して放電させて形成させたオゾン(O3 )を
用いる、いわゆるオゾン系常圧CVD法でもよい。減圧
CVD法(LPCVD)はたとえばTEOS−O3 の反
応を6.7kPa等の減圧化で行うCVD法をいう。プ
ラズマCVDとは13.56MHz あるいは150KH
z 程度のプラズマ放電を用いてTEOS,O2 ,He等
のガスソースを用いて行なえばよい。光CVD法はAr
F(193nm),KrF(249nm),XeCl
(308nm),XeF(350nm)等によるエキシ
マレーザ光や高圧水銀ランプ、水銀−キセノンランプ等
の,主として紫外光の光エネルギーを用いた光反応によ
り行なえばよい。液相CVD法とは、たとえばRF放電
により励起されたO2 とTMS(Tetramethy
lsilane;Si(CH3 4 )を用いた−40℃
でのCVD等をいう。
Preferably, the organic silicon-based CVD method in the second step is any one of an atmospheric pressure CVD method, a low pressure CVD method, a plasma CVD method, a photo CVD method and a liquid phase CVD method. The atmospheric pressure CVD method may be a so-called ozone-based atmospheric pressure CVD method that uses ozone (O 3 ) formed by introducing O 2 into an ozonizer and discharging it. The low pressure CVD method (LPCVD) refers to a CVD method in which the reaction of TEOS-O 3 is performed at a reduced pressure of 6.7 kPa or the like. 13.56MH z or 150KH the plasma CVD
It may be performed using a gas source of TEOS, O 2 , He or the like by using a plasma discharge of about z . Photo CVD method is Ar
F (193 nm), KrF (249 nm), XeCl
(308 nm), XeF (350 nm), excimer laser light, a high-pressure mercury lamp, a mercury-xenon lamp, or the like may be used as a photoreaction mainly using light energy of ultraviolet light. The liquid-phase CVD method is, for example, O 2 excited by RF discharge and TMS (Tetramethy).
lsilane; Si (CH 3 ) 4 -40 ° C.
CVD, etc.

【0018】好ましくは、有機シリコン系CVD法によ
る酸化膜はH2 等の還元性ガス、He,Ne,Ar,K
r,Xe等の不活性ガス,O2 ,N2 ,HCl,CO又
はCO2 のいずれか、又はこれらのうちから選択された
2種以上のガスからなる混合ガス中で行なわれることで
ある。
Preferably, the oxide film formed by the organosilicon CVD method is a reducing gas such as H 2 or He, Ne, Ar or K.
It is carried out in an inert gas such as r, Xe, etc., O 2 , N 2 , HCl, CO or CO 2 , or a mixed gas composed of two or more gases selected from these.

【0019】また、第2工程における埋込酸化膜の形成
は、具体的には図1(b)に示すように溝部よりも厚く
酸化膜7を堆積し、その後図1(c)に示すように半導
体基板5の表面が実質的に露出するまで表面を平坦化す
るステップを含むことが好ましい。ここで「半導体基板
の表面が実質的に露出する」とは、完全に半導体基板5
が露出するまでエッチバックする必要は必ずしもなく、
たとえば、その後の工程において必要があれば、50n
m〜100nm、あるいは300nm程度の極めて薄い
酸化膜が半導体基板5の表面に残るようにエッチバック
して、半導体基板の表面を平坦化しても「実質的に露出
している」と解するという意味である。また平坦化の工
程と熱処理の工程はどちらが先でもよい。したがって上
述とは逆に前記第2工程としては、有機シリコン系CV
Dで溝部の深さよりも厚く酸化膜を形成する工程のみと
し、第3工程の熱処理後、第4工程として表面の平坦化
工程を行ってもよい。
In the formation of the buried oxide film in the second step, specifically, as shown in FIG. 1 (b), the oxide film 7 is deposited thicker than the groove portion, and then as shown in FIG. 1 (c). It is preferable to include the step of planarizing the surface of the semiconductor substrate 5 until the surface is substantially exposed. Here, "the surface of the semiconductor substrate is substantially exposed" means that the semiconductor substrate 5 is completely exposed.
It is not always necessary to etch back until the
For example, if required in the subsequent process, 50n
Meaning that "substantially exposed" is obtained even if the surface of the semiconductor substrate is flattened by etching back so that an extremely thin oxide film of about m to 100 nm or about 300 nm remains on the surface of the semiconductor substrate 5. Is. Further, either the flattening step or the heat treatment step may be performed first. Therefore, contrary to the above, in the second step, the organic silicon-based CV is used.
Alternatively, only the step of forming an oxide film thicker than the depth of the groove portion in D may be performed, and after the heat treatment of the third step, a surface flattening step may be performed as the fourth step.

【0020】本発明の第3の特徴における重要な点は熱
処理温度(アニール温度)である。図2は有機シリコン
系CVD法の後、1000℃〜1350℃の間で、50
℃間隔で熱処理を行ない、素子分離半導体基板構造を試
作した場合の結果を示す。つまり、この素子分離領域形
成後,トレンチとトレンチの間の幅0.3μmの素子形
成領域(SDG領域)にMOSトランジスタ等の素子を
形成しMOS集積回路を構成した後の素子分離半導体基
板を、表面SEM観察した結果である。図2に示すよう
に、1100℃以下の低温側熱処理温度では転位が多発
していることがわかる。これは図16に太い実線で示し
た従来のSTI基板における転位12と同様の転位であ
る。
An important point in the third feature of the present invention is the heat treatment temperature (annealing temperature). FIG. 2 shows that after the organic silicon-based CVD method, 50
The results are shown in the case where a heat treatment is performed at intervals of ° C to fabricate a device isolation semiconductor substrate structure as a prototype. That is, after forming this element isolation region, an element isolation semiconductor substrate after forming an element such as a MOS transistor in the element formation region (SDG region) having a width of 0.3 μm between trenches to form a MOS integrated circuit, It is the result of surface SEM observation. As shown in FIG. 2, it can be seen that dislocations frequently occur at the low temperature side heat treatment temperature of 1100 ° C. or lower. This is a dislocation similar to the dislocation 12 in the conventional STI substrate shown by the thick solid line in FIG.

【0021】図2のデータは選択エッチング法で転位ピ
ットを顕在化させてSEM観察を行ない、1mm×1m
m角の領域内の転位密度を面内5点において測定し、そ
れらの値を平均した結果である。1000℃〜1100
℃までの熱処理温度では図16(従来技術)の太い実線
と同様の転位12が約10個/μm2 発生しているが、
それ以上の熱処理温度、すなわち本発明の温度領域では
低減していることがわかる。また、上記の素子分離を行
なったSDG領域にMOSトランジスタを形成し、この
MOSトランジスタ中のpn接合構造に対応するn+
pダイオードの接合リーク特性を測定した結果を図3に
示す。1100℃以上の熱処理をした基板ではリーク電
流が低減していることがわかる。この結果は、リーク電
流の発生原因であるn+ −pウェル接合部の転位が抑制
されたことを反映しており、本発明による埋込酸化膜の
応力制御が転位抑制、リーク電流低下に有効であること
を示すものである。同様な結果は図8に示すバイポーラ
集積回路の素子分離に用いた場合も明らかであり、10
00℃以下における熱処理よりも本発明の温度領域(1
100℃〜1350℃)における熱処理の場合の方が、
SiO2 の応力が緩和され、接合リーク電流が低減して
いることがわかる。
The data shown in FIG. 2 is obtained by observing dislocation pits by a selective etching method and performing SEM observation.
This is the result of measuring the dislocation density in the m-square region at five points in the plane and averaging those values. 1000 ° C ~ 1100
At the heat treatment temperature up to ℃, about 10 dislocations 12 / μm 2 similar to the thick solid line in FIG. 16 (prior art) are generated.
It can be seen that the heat treatment temperature is higher than that, that is, the temperature range of the present invention is reduced. Further, a MOS transistor is formed in the SDG region where the above element isolation is performed, and n + − corresponding to the pn junction structure in this MOS transistor is formed.
The result of measuring the junction leakage characteristic of the p-diode is shown in FIG. It can be seen that the leakage current is reduced in the substrate that has been heat-treated at 1100 ° C. or higher. This result reflects that the dislocation in the n + -p well junction, which is the cause of the leakage current, was suppressed, and the stress control of the buried oxide film according to the present invention is effective in suppressing the dislocation and reducing the leakage current. It means that. Similar results are apparent when used for device isolation of the bipolar integrated circuit shown in FIG.
The temperature range of the present invention (1
In the case of heat treatment at 100 ° C to 1350 ° C,
It can be seen that the stress of SiO 2 is relaxed and the junction leakage current is reduced.

【0022】本発明の第4の特徴は、(イ)第1および
第2の主表面を有する第1の半導体基板を用意し、第1
の半導体基板23の第1の主表面に図9(a)に示すよ
うに直接接合用酸化膜24を有機シリコン系CVD法に
より形成し、基板温度1100℃〜1350℃において
第1の熱処理を行なった後、その表面を図9(b)に示
すように平坦化を行う第1工程、又は平坦化を行った後
基板温度1100℃〜1350℃における第1の熱処理
を行う第1工程,(ロ)図9(b)に示されるように表
面が平坦化された直接接合用酸化膜25を介して、第1
の半導体基板23と、第1の半導体基板23とは異なる
第2の半導体基板26とを直接接合し、いわゆるSOI
(Silicon−On−Insulator)基板を
形成し、その後図9(c)に示すようにこの第1の半導
体基板23の裏面を研削、研磨エッチング等によりを所
定の厚みに調整する第2工程,(ハ)第1の半導体基板
23の第2の半導体基板26に対向しない側に位置する
第2の主表面の一部に図9(d)に示すように複数の溝
部6を形成する第3工程(図9(d)は図9(c)とは
表裏を逆転している),(ニ)この複数の溝部6のそれ
ぞれへ有機シリコン系CVD法により図9(e)に示す
ように埋込酸化膜7を形成する第4工程,および(ホ)
埋込酸化膜7に対して基板温度1100℃〜1350℃
において第2の熱処理を行なう第5工程,とを少なくと
も含むことである。ここで第1の半導体基板23と第2
の半導体基板26とは同種の半導体基板である必要はな
くSiとSiC等の異種の半導体の組み合わせでもよ
い。つまりSi以外のIV族,III-V 族,II−VI族半導体
および非晶質材質を第1および第2の半導体基板として
選ぶこともできる。なお、第1工程における第1の熱処
理を省略し、第2工程の直接接合時に第1の熱処理と同
一条件の1100℃〜1350℃の熱処理を行ってもよ
い。あるいは第1の熱処理を省略し、第5工程における
第2の熱処理によって第1の熱処理を代用してもよい。
A fourth feature of the present invention is: (a) preparing a first semiconductor substrate having first and second main surfaces,
9A, the direct bonding oxide film 24 is formed on the first main surface of the semiconductor substrate 23 by an organic silicon-based CVD method, and the first heat treatment is performed at a substrate temperature of 1100 ° C. to 1350 ° C. Then, the first step of flattening the surface as shown in FIG. 9B, or the first step of performing the first heat treatment at a substrate temperature of 1100 ° C. to 1350 ° C. after the flattening, ) As shown in FIG. 9B, the first surface is planarized through the direct-bonding oxide film 25.
Semiconductor substrate 23 and a second semiconductor substrate 26 different from the first semiconductor substrate 23 are directly bonded to each other, so-called SOI
A second step of forming a (Silicon-On-Insulator) substrate, and then adjusting the back surface of the first semiconductor substrate 23 to a predetermined thickness by grinding, polishing etching, etc., as shown in FIG. 9C. C) A third step of forming a plurality of groove portions 6 on a part of the second main surface of the first semiconductor substrate 23, which is located on the side not facing the second semiconductor substrate 26, as shown in FIG. 9D. (FIG. 9 (d) is reversed in front and back from FIG. 9 (c)), (d) Embedded in each of the plurality of groove portions 6 by the organic silicon based CVD method as shown in FIG. 9 (e). Fourth step of forming oxide film 7, and (e)
Substrate temperature 1100 ° C to 1350 ° C for the buried oxide film 7
And a fifth step of performing the second heat treatment. Here, the first semiconductor substrate 23 and the second semiconductor substrate 23
The semiconductor substrate 26 is not necessarily the same kind of semiconductor substrate, and may be a combination of different kinds of semiconductors such as Si and SiC. That is, group IV, group III-V, group II-VI semiconductors other than Si and amorphous materials can be selected as the first and second semiconductor substrates. The first heat treatment in the first step may be omitted, and the heat treatment at 1100 ° C to 1350 ° C under the same conditions as the first heat treatment may be performed during the direct bonding in the second step. Alternatively, the first heat treatment may be omitted and the first heat treatment may be substituted by the second heat treatment in the fifth step.

【0023】本発明の第4の特徴の構成によれば、埋込
酸化膜71および直接接合用酸化膜25の応力が低減さ
れ、素子形成領域における転位等の結晶欠陥の発生が抑
制される。
According to the structure of the fourth feature of the present invention, the stress of the buried oxide film 71 and the direct bonding oxide film 25 is reduced, and the generation of crystal defects such as dislocations in the element formation region is suppressed.

【0024】本発明の第5の特徴は、(イ)第1および
第2の主表面を有する第1の半導体基板を用意し、図1
4(a)に示すように第1の半導体基板23の第1の主
表面の一部に複数の溝部を形成する第1工程,(ロ)図
14(a)に示すように第1の半導体基板の第1の主表
面に直接接合用酸化膜25を有機シリコン系CVD法に
より形成し、基板温度1100℃〜1350℃において
熱処理を行なった後図14(b)に示すように第1の半
導体基板23の第1の主表面の上部の酸化膜25を平坦
化する第2工程、又は図14(b)に示すように第1の
半導体基板23の第1の主表面の上部の酸化膜25を平
坦化後基板温度1100℃〜1350℃における熱処理
を行なう第2工程、および(ハ)直接接合用酸化膜25
を介して、図14(c)に示すように第1の半導体基板
23と、第1の半導体基板とは異なる第2の半導体基板
26とを直接接合し、その後第1の半導体基板23の厚
みを直接接合用酸化膜25の一部が露出するまで薄く
し、図14(d)に示すように、第1の半導体基板23
の第2の主表面に、直接接合用酸化膜25に囲まれた素
子形成領域を形成する第3工程,とを少なくとも含むこ
とである。ここで第1の半導体基板23と第2の半導体
基板26とは同種の半導体基板である必要はなくSiと
SiC等の異種の半導体の組み合わせでもよい。つまり
Si以外のIV族,III-V 族,II-VI 族半導体および非晶
質材質を第1および第2の半導体基板として選ぶことが
できる。
A fifth feature of the present invention is that (a) a first semiconductor substrate having first and second main surfaces is prepared, and FIG.
4 (a), a first step of forming a plurality of grooves in a part of the first main surface of the first semiconductor substrate 23, (b) a first semiconductor as shown in FIG. 14 (a) An oxide film 25 for direct bonding is formed on the first main surface of the substrate by an organic silicon-based CVD method, and a heat treatment is performed at a substrate temperature of 1100 ° C. to 1350 ° C., and then the first semiconductor as shown in FIG. Second step of flattening oxide film 25 on the first main surface of substrate 23, or oxide film 25 on the first main surface of first semiconductor substrate 23 as shown in FIG. 14B. Second step of performing heat treatment at a substrate temperature of 1100 ° C. to 1350 ° C. after planarization, and (c) oxide film 25 for direct bonding
14C, the first semiconductor substrate 23 and the second semiconductor substrate 26, which is different from the first semiconductor substrate, are directly bonded to each other, and then the thickness of the first semiconductor substrate 23 is increased. Is thinned until a part of the direct bonding oxide film 25 is exposed, and the first semiconductor substrate 23 is formed as shown in FIG.
And a third step of forming an element formation region surrounded by the direct bonding oxide film 25 on the second main surface of. Here, the first semiconductor substrate 23 and the second semiconductor substrate 26 do not have to be the same type of semiconductor substrate, and may be a combination of different types of semiconductors such as Si and SiC. That is, group IV, group III-V, group II-VI semiconductors other than Si and amorphous materials can be selected as the first and second semiconductor substrates.

【0025】本発明の第5の特徴によれば1回の有機シ
リコン系CVD法により、同時に埋込酸化膜25と直接
接合用酸化膜25が形成できるので、第4の特徴に比し
て工程数が減少する。すなわち第1の半導体基板23の
表面に露出した直接接合用酸化膜25が埋込酸化膜とし
ても機能することとなる。また熱処理工程も第4の特徴
に対し少ないのでプロセスの低温化に寄与することにな
る。
According to the fifth feature of the present invention, the buried oxide film 25 and the direct bonding oxide film 25 can be simultaneously formed by one-time organic silicon-based CVD method. The number decreases. That is, the direct bonding oxide film 25 exposed on the surface of the first semiconductor substrate 23 also functions as a buried oxide film. Further, the number of heat treatment steps is smaller than that of the fourth feature, which contributes to lowering the temperature of the process.

【0026】本発明の第5の特徴の構成によれば、埋込
酸化膜25および直接接合用酸化膜25の応力が低減さ
れ、素子形成領域における転位等の結晶欠陥の発生が抑
制される。
According to the structure of the fifth feature of the present invention, the stress of the buried oxide film 25 and the direct bonding oxide film 25 is reduced, and the generation of crystal defects such as dislocations in the element formation region is suppressed.

【0027】[0027]

【発明の実施の形態】以下、図面を参照して本発明の実
施の形態を説明する。半導体装置の図面の表現上一般的
なことではあるが、以下の図面は正確な寸法を表現した
ものではなく、模式的なものであると理解すべきであ
る。特に各層の厚みの相対的関係は現実の比率等とは異
なことに注意すべきである。
Embodiments of the present invention will be described below with reference to the drawings. It is to be understood that the following drawings are schematic representations rather than representations of accurate dimensions, although it is general in terms of representations of semiconductor device drawings. In particular, it should be noted that the relative relationship of the thickness of each layer is different from the actual ratio.

【0028】(第1の実施の形態)図1(e)は本発明
の第1の実施の形態に係るMOS集積回路用の埋め込み
素子分離半導体基板(シャロウ・トレンチ素子分離(S
TI)半導体基板)の断面構造で、図1(a)〜(d)
は図1(e)に至るまでの製造方法を示す工程断面図で
ある。本発明の第1の実施の形態に係る素子分離半導体
基板は、図1(e)に示すように半導体基板5の表面か
ら形成された溝部の内部に埋込酸化膜71が形成され、
この埋込酸化膜71と埋込酸化膜71との間の素子形成
領域の間にn+ ソース領域91、n+ ドレイン領域9
2、ゲート酸化膜8、ポリシリコンゲート電極98、ソ
ース電極93、ドレイン電極94、および層間絶縁膜7
9からなるMOSトランジスタが形成されている。
(First Embodiment) FIG. 1E shows a buried element isolation semiconductor substrate (shallow / trench element isolation (S) for a MOS integrated circuit according to a first embodiment of the present invention.
(TI) semiconductor substrate), and FIG.
2A to 2E are process cross-sectional views showing the manufacturing method up to FIG. In the element isolation semiconductor substrate according to the first embodiment of the present invention, as shown in FIG. 1E, a buried oxide film 71 is formed inside the groove formed from the surface of the semiconductor substrate 5,
The n + source region 91 and the n + drain region 9 are provided between the buried oxide film 71 and the device formation region between the buried oxide films 71.
2, gate oxide film 8, polysilicon gate electrode 98, source electrode 93, drain electrode 94, and interlayer insulating film 7
A MOS transistor composed of 9 is formed.

【0029】本発明の第1の実施の形態の素子分離半導
体基板に形成されたMOS集積回路は、埋込酸化膜71
の応力が以下に示すような熱処理を施すことにより緩和
し、したがって素子形成領域には、従来技術で説明した
図16に示すような転位12は発生しなくなる。したが
って、転位に起因したpn接合リーク電流も低減する。
In the MOS integrated circuit formed on the element isolation semiconductor substrate according to the first embodiment of the present invention, the buried oxide film 71 is used.
Is relaxed by the heat treatment as described below, so that the dislocations 12 as shown in FIG. 16 described in the prior art do not occur in the element formation region. Therefore, the pn junction leakage current due to the dislocation is also reduced.

【0030】本発明の第1の実施の形態に係る素子分離
半導体基板は以下のような工程によって製造できる。
The element isolation semiconductor substrate according to the first embodiment of the present invention can be manufactured by the following steps.

【0031】(イ)まず面方位(100)の鏡面シリコ
ン基板の表面にたとえば100nmのシリコン酸化膜1
7を水蒸気酸化法(ウェット酸化法)等により形成し、
この酸化膜17の表面にフォトレジスト(図示省略)を
塗布し、フォトリソグラフィ法によりフォトレジストを
マスクとして酸化膜17をエッチングする。このエッチ
ングにより酸化膜17からなるシリコンエッチング用マ
スクパターンを形成し、それ以外のシリコン基板5の表
面を露出させる。そして、酸化膜エッチングに用いたフ
ォトレジストを除去後、このシリコン基板5の露出部を
酸化膜17をマスクとしてRIEにて図1(a)に示す
ように幅0.3μm、深さ1μmの溝を形成する。シリ
コン基板5のRIEはたとえばCF4 とH2 の混合ガス
を1.3Paの圧力で、13.56MHz の高周波電力
を0.22W/cm2 で印加して行なえばよい。あるい
はSF6 とO2 との混合ガス,又はCCl4 等でRIE
を行ってもよい。
(A) First, for example, a silicon oxide film 1 of 100 nm is formed on the surface of a mirror-finished silicon substrate having a plane orientation (100).
7 is formed by a steam oxidation method (wet oxidation method) or the like,
A photoresist (not shown) is applied to the surface of the oxide film 17, and the oxide film 17 is etched by the photolithography method using the photoresist as a mask. By this etching, a silicon etching mask pattern made of the oxide film 17 is formed, and the other surface of the silicon substrate 5 is exposed. Then, after removing the photoresist used for etching the oxide film, the exposed portion of the silicon substrate 5 is RIEed by RIE using the oxide film 17 as a mask, as shown in FIG. 1A, with a width of 0.3 μm and a depth of 1 μm. To form. The RIE of the silicon substrate 5 may be performed, for example, by applying a mixed gas of CF 4 and H 2 at a pressure of 1.3 Pa and applying a high frequency power of 13.56 MHz at 0.22 W / cm 2 . Alternatively, RIE with a mixed gas of SF 6 and O 2 or CCl 4 etc.
May be performed.

【0032】(ロ)次に、基板を洗浄後、図1(b)に
示すように有機シリコンソース、例えばTEOS(Si
(OC2 5 4 )を用いたCVD法で酸化膜7を形成
する。この酸化膜を堆積させる前に熱酸化膜あるはSi
3 4 を薄く形成させていてもかまわない。この酸化膜
7は溝を完全に埋め込むため、溝の深さ以上例えば1.
1μmの厚さでSi基板上の全面に形成する。この溝を
埋め込む材料として有機シリコンソースに酸化剤例えば
2 O,O2 ,O3 などを加えたものでもよい。又、有
機シリコンソース、SiH4 などのシリコン水素化合
物、SiCl4 などのシリコン塩化物を単独,もしくは
これらの原料の2種類以上を混合して原料としたCVD
法でも溝をシリコン酸化膜で埋め込むこともできるし、
それぞれの原料に酸化物を加えてもかまわない。
(B) Next, after cleaning the substrate, as shown in FIG. 1B, an organic silicon source such as TEOS (Si
The oxide film 7 is formed by the CVD method using (OC 2 H 5 ) 4 ). Before depositing this oxide film, a thermal oxide film or Si
3 N 4 may be thinly formed. Since the oxide film 7 completely fills the groove, it is more than the depth of the groove, for example,
It is formed with a thickness of 1 μm on the entire surface of the Si substrate. As a material for filling the groove, an organic silicon source to which an oxidizing agent such as N 2 O, O 2 or O 3 is added may be used. In addition, an organic silicon source, a silicon hydrogen compound such as SiH 4 , a silicon chloride such as SiCl 4 may be used alone or as a raw material by mixing two or more of these raw materials.
You can also fill the groove with a silicon oxide film by the method,
An oxide may be added to each raw material.

【0033】(ハ)続いて図1(c)に示すように、た
とえばCDE法によってエッチバックすることにより溝
に埋め込まれた以外の部分のシリコン基板5を外部に露
出させ、平坦化させる。
(C) Then, as shown in FIG. 1C, the silicon substrate 5 in the portion other than the portion buried in the groove is exposed to the outside and flattened by etching back by, for example, the CDE method.

【0034】(ニ)有機シリコンソースにより形成され
た埋込み酸化膜71はSiO2 以外の不純物、例えば水
を多く含有するため、図1(d)に示すように1100
〜1350℃において熱処理を行なう。図1(d)に示
すように熱処理により若干の湾曲(凹部)が生じる。本
処理はたとえばN2 中で2時間程度の熱処理でよいが熱
処理の雰囲気ガスはO2 ,HCl、還元性ガス、不活性
ガスでも同様の結果が得られる。なお、素子分離領域以
外の基板上の酸化膜を除去して平坦化した後、熱処理を
行なう場合について説明したが、先に熱処理した後,素
子分離領域以外の基板上の酸化膜7を除去して、平坦化
しても同様の効果が得られる。
(D) Since the buried oxide film 71 formed of an organic silicon source contains a large amount of impurities other than SiO 2 , for example, water, 1100 as shown in FIG.
Heat treatment is performed at ˜1350 ° C. As shown in FIG. 1D, a slight curve (recess) is generated by the heat treatment. This treatment may be, for example, a heat treatment in N 2 for about 2 hours, but the same result can be obtained when the atmosphere gas for the heat treatment is O 2 , HCl, a reducing gas, or an inert gas. The case where the heat treatment is performed after removing the oxide film on the substrate other than the element isolation region and planarizing has been described. However, after performing the heat treatment first, the oxide film 7 on the substrate other than the element isolation region is removed. Then, the same effect can be obtained by flattening.

【0035】(ホ)最後に、図1(e)に示すようにト
レンチとトレンチの間の素子形成領域、すなわちSDG
領域(幅0.3μm)にMOSトランジスタを形成す
る。MOSトランジスタの形成は、ポリシリコンゲート
78を用いて自己整合的にn+ドレイン領域92を形成
する標準的なMOSプロセスで形成すればよく、ここで
は説明を省略する。
(E) Finally, as shown in FIG. 1E, an element formation region between trenches, that is, SDG
A MOS transistor is formed in the region (width 0.3 μm). The MOS transistor may be formed by a standard MOS process in which the n + drain region 92 is formed in a self-aligned manner using the polysilicon gate 78, and a description thereof will be omitted here.

【0036】図1(e)に示したように素子形成領域に
MOSトランジスタを形成した後の、素子形成領域中の
転位密度は図2に示すように1個/μm2 以下である。
図2の転位密度の測定結果は、試料を選択エッチング
後、SEM観察を行ない、1mm×1mm角の領域内の
5点の平均についてのデータである。
After the MOS transistor is formed in the element formation region as shown in FIG. 1E, the dislocation density in the element formation region is 1 dislocation / μm 2 or less as shown in FIG.
The measurement result of the dislocation density in FIG. 2 is data about an average of 5 points in a 1 mm × 1 mm square area after SEM observation after selective etching of the sample.

【0037】図3は上記MOSトランジスタの構造に対
応したTEGパターンのpn接合ダイオードのリーク電
流を示す。すなわち、n+ ソース領域91とpウェル5
間に形成されるn+ p接合のリーク電流を図3に示す
が、リーク電流が15pA以下に低減している。TEG
パターンのダイオード面積は350μm×240μmで
あるので、このことは1.7×10-8A/cm2 以下の
リーク電流密度に低減できたことを意味する。図3の結
果は、本発明の第1の実施の形態により、リーク電流の
発生原因であるn+ p接合部の転位が抑制されたことを
示すものである。
FIG. 3 shows a leak current of a pn junction diode having a TEG pattern corresponding to the structure of the MOS transistor. That is, the n + source region 91 and the p well 5
FIG. 3 shows the leak current of the n + p junction formed between them, and the leak current is reduced to 15 pA or less. TEG
Since the diode area of the pattern is 350 μm × 240 μm, this means that the leakage current density could be reduced to 1.7 × 10 −8 A / cm 2 or less. The results of FIG. 3 show that the first embodiment of the present invention suppressed the dislocations at the n + p junction, which is the cause of the leakage current.

【0038】図4(a),(b)および図5は上記の転
位密度の低減化や、リーク電流の低減化を可能にする本
発明の第1の実施の形態に係る酸化膜の構造をラマン散
乱を用いて調べた結果である。すなわち、まず、シリコ
ン基板に、上記埋め込み絶縁膜の形成方法で酸化膜を面
内に均一に形成し、さらに前述の熱処理を施した酸化膜
(SiO2 )の構造をラマン散乱分光法により調べた結
果である。SiO2 はラマン散乱断面積が小さく、測定
においてはSi基板中からの振動によるラマン散乱のピ
ークが支配的になるので、SiO2 が表面に形成されて
いるシリコン基板のラマン散乱シフトのスペクトルから
SiO2 膜が形成されていないSi基板の、ラマン散乱
シフトのスペクトルを差し引くことで図4(a)に示す
ような複数のピークを有したSiO2 のラマン散乱のス
ペクトルが得られる。またこのピークをシミュレーショ
ンによって分離すると図4(b)のように、3員環、4
員環、および5員環以上の多員環に分離できる。この環
状構造の分離の方法は、C.J.Breinker e
t al..J.Non−Cryst.Solids
82(1986)177などによって確立されている。
図4(a)においてアニール1は1000℃、1時間の
熱処理で、アニール2は1150℃、1時間の熱処理で
ある。またアニール1,およびアニール2はいずれもN
2 ガス雰囲気中の熱処理である。
FIGS. 4A, 4B and 5 show the structure of the oxide film according to the first embodiment of the present invention which enables reduction of the dislocation density and leakage current described above. It is the result of investigation using Raman scattering. That is, first, an oxide film was uniformly formed in-plane on a silicon substrate by the above-described method for forming a buried insulating film, and the structure of the oxide film (SiO 2 ) subjected to the above-mentioned heat treatment was examined by Raman scattering spectroscopy. The result. SiO 2 is small in Raman scattering cross-section, the peak of the Raman scattering by vibrations from the Si substrate becomes dominant in the measurement, SiO from the spectrum of the silicon substrate Raman scattering shift of SiO 2 is formed on the surface By subtracting the Raman scattering shift spectrum of the Si substrate on which the two films are not formed, the Raman scattering spectrum of SiO 2 having a plurality of peaks as shown in FIG. 4A is obtained. Moreover, when this peak is separated by simulation, as shown in FIG.
It can be separated into a member ring and a multi-membered ring having 5 or more members. The method for separating the ring structure is described in C.I. J. Brainer e
t al. . J. Non-Cryst. Solids
82 (1986) 177 and the like.
In FIG. 4A, annealing 1 is heat treatment at 1000 ° C. for 1 hour, and annealing 2 is heat treatment at 1150 ° C. for 1 hour. Further, both annealing 1 and annealing 2 are N
Heat treatment in a 2 gas atmosphere.

【0039】熱処理温度ごとに各ラマンシフトのスペク
トルの積分強度を取り、全体の積分強度に対する比(以
下積分強度比という)を求めると図5のようになる。全
体の積分強度とは波数300〜700cm-1におけるス
ペクトルの積分強度で、Si基板からのバックグランド
値を引いた(除いた)値である。転位の発生が低減する
1100℃から3、4員環の積分強度比は低減し、また
5員環以上の多員環の積分強度比が増加していることが
分かる。すなわち、SiO2 の環構造において、4員環
以下の積分強度比が実質的に全体の15%以下、もしく
は5員環以上の多員環の積分強度比が実質的に全体の8
5%以上であれば転位の発生原因である埋め込み材の応
力が緩和され、転位を抑制することができることがわか
る。ラマン散乱の測定の誤差等を考慮すると、図6に示
すように4員環以下は約20%以下、5員環以上は約8
0%以上であれば本発明の効果は得られると言える。
FIG. 5 shows the integrated intensity of the spectrum of each Raman shift for each heat treatment temperature, and the ratio to the integrated intensity of the whole (hereinafter referred to as the integrated intensity ratio). The total integrated intensity is the integrated intensity of the spectrum at a wave number of 300 to 700 cm −1, and is a value obtained by subtracting (excluding) the background value from the Si substrate. It can be seen that from 1100 ° C. at which the occurrence of dislocations is reduced, the integrated intensity ratio of the 3- and 4-membered rings is decreased, and the integrated intensity ratio of the multi-membered ring having 5 or more members is increased. That is, in the ring structure of SiO 2 , the integrated intensity ratio of a 4-membered ring or less is substantially 15% or less of the whole, or the integrated intensity ratio of a multi-membered ring having a 5-membered ring or more is substantially 8% of the whole.
It can be seen that if it is 5% or more, the stress of the embedding material, which is the cause of the dislocation, is relaxed, and the dislocation can be suppressed. Considering the error of Raman scattering measurement, as shown in FIG. 6, about 20% or less for 4-membered rings or less and about 8% for 5-membered rings or more.
It can be said that the effect of the present invention can be obtained if it is 0% or more.

【0040】図6は本発明の第1の実施の形態に係る酸
化膜のエッチングレート(エッチング速度)を示す。本
発明の熱処理温度、すなわち1100℃〜1350℃で
熱処理した酸化膜のNH4 F(フッ化アンモニウム)溶
液によるエッチングレートは130nm/min以下で
あり、図6の左側に示した熱酸化膜のエッチングレート
とほぼ等しい値である。有機シリコン系CVD法により
堆積し熱処理しない酸化膜、いわゆる「アズ・デボ」の
酸化膜は約650nm/minのエッチングレートでN
4 Fによってエッチングされる。したがって本発明の
高温アニールにより5員環以上が80%となる共に、酸
化膜のエッチングレートが下がることがわかる。酸化膜
のエッチングレートとラマン散乱で測定した5員環以
上、3,4員環のSiO2 のそれぞれの含有率はほぼ対
応していると言える。
FIG. 6 shows the etching rate (etching rate) of the oxide film according to the first embodiment of the present invention. The heat treatment temperature of the present invention, that is, the etching rate of the oxide film heat-treated at 1100 ° C. to 1350 ° C. by the NH 4 F (ammonium fluoride) solution is 130 nm / min or less, and the etching of the thermal oxide film shown on the left side of FIG. 6 is performed. It is almost equal to the rate. An oxide film deposited by an organic silicon-based CVD method and not heat-treated, a so-called "as-devo" oxide film has an N-rate at an etching rate of about 650 nm / min.
Etched with H 4 F. Therefore, it can be seen that the high-temperature annealing of the present invention reduces the etching rate of the oxide film to 80% for a 5-membered ring or more and reduces the etching rate of the oxide film. It can be said that the etching rates of the oxide film and the content rates of SiO 2 of the 5-membered ring and the 3-membered ring measured by Raman scattering correspond to each other.

【0041】なお、本発明の第1実施の形態においては
上記(ロ)の工程でTEOS等の有機シリコンソースを
用いたCVD法で酸化膜7を形成したが、酸化膜形成方
法はこのような有機シリコン系CVD以外の方法でもよ
い。たとえば、いわゆるSOG(Spin-on-glass:スピン
・オン・グラス)法と称せられる方法を用いてもよい。
SOG法はアセトン,キシレン等の溶剤にポリシロキサ
ン等を溶かした樹脂ガラスをスピンナー等を用いて塗布
し、80℃〜100℃のプリベークで溶剤を取り除き、
SiO2 膜を形成する方法である。SOG法でSiO2
膜を形成後1100〜1200℃で熱処理することによ
り上記と同様の効果が得られる。すなわちSOG法の場
合も、ラマン散乱スペクトルから求められる5員環以上
の多員環の積分強度比が実質的に全体の85%以上、3
員環又は4員環の積分強度比が実質的に全体の15%以
下とすることにより、転位が抑制され、リーク電流が低
減する。樹脂ガラスについては特公昭58−51422
号公報、米国特許3985597号公報,400404
4号公報等に記載されている。これらの樹脂ガラスは市
販されており、たとえばAllied Signal-Accuspin 418/7
20,Allied Signal-Accuglass T-11/T-14,Dow-Corning 8
05,Owens-Illinois650,General Electric SR125/SR124
等を用いればよい。80℃〜100℃のプリベーク後、
600℃程度の低温アニールを行ない、その後1100
℃〜1350℃の高温アニールを行うようにしてもよ
い。
Although the oxide film 7 is formed by the CVD method using the organic silicon source such as TEOS in the step (b) in the first embodiment of the present invention, the oxide film forming method is as follows. A method other than the organic silicon-based CVD may be used. For example, a so-called SOG (Spin-on-glass) method may be used.
In the SOG method, resin glass in which polysiloxane or the like is dissolved in a solvent such as acetone or xylene is applied using a spinner or the like, and the solvent is removed by prebaking at 80 ° C to 100 ° C.
This is a method of forming a SiO 2 film. SiO 2 by SOG method
After forming the film, heat treatment at 1100 to 1200 ° C. provides the same effect as above. That is, also in the case of the SOG method, the integrated intensity ratio of a 5-membered ring or more multimembered ring obtained from the Raman scattering spectrum is substantially 85% or more of the total, 3 or more.
When the integrated intensity ratio of the member ring or the 4-membered ring is substantially 15% or less of the whole, dislocations are suppressed and the leak current is reduced. For resin glass, Japanese Patent Publication Sho 58-51422
No. 3985597, 400404
No. 4, for example. These resin glasses are commercially available, for example Allied Signal-Accuspin 418/7.
20, Allied Signal-Accuglass T-11 / T-14, Dow-Corning 8
05, Owens-Illinois650, General Electric SR125 / SR124
Etc. may be used. After prebaking at 80 ° C-100 ° C,
Perform low temperature annealing at about 600 ° C, then 1100
You may make it perform high temperature annealing of (degreeC) -1350 degreeC.

【0042】(第2の実施の形態)図7(f)は本発明
の第2の実施の形態に係るバイポーラ集積回路用素子分
離半導体基板の構造を示す断面図で、図7(a)〜7
(e)は図7(f)の構造に至るまでの製造方法を示す
模式的な断面図である。本発明の第2の実施の形態に係
るバイポーラ集積回路用素子分離半導体基板の製造方法
は、 (イ)まず、図7(a)に示すようにp型のシリコン基
板13の表面に水蒸気酸化により200〜350nmの
SiO2 膜14を形成する。
(Second Embodiment) FIG. 7 (f) is a sectional view showing the structure of an element isolation semiconductor substrate for a bipolar integrated circuit according to a second embodiment of the present invention. 7
7E is a schematic cross-sectional view showing the manufacturing method up to the structure of FIG. The manufacturing method of the element isolation semiconductor substrate for the bipolar integrated circuit according to the second embodiment of the present invention is as follows: (a) First, as shown in FIG. 7A, the surface of the p-type silicon substrate 13 is oxidized by steam. A SiO 2 film 14 of 200 to 350 nm is formed.

【0043】(ロ)次にこのSiO2 膜14をフォトリ
ソグラフィ法を用いて図7(b)に示すようにフォトレ
ジストをマスクとしてパターンニングし、一部のシリコ
ン基板13の表面を露出させ、拡散マスク14を形成す
る。そしてこの拡散マスク14を用いてSb(アンチモ
ン)を熱拡散させ、不純物密度3×1020cm-3の、n
+ 埋込層15を形成する。
(B) Next, this SiO 2 film 14 is patterned by photolithography using a photoresist as a mask as shown in FIG. 7B to expose a part of the surface of the silicon substrate 13. The diffusion mask 14 is formed. Then, using this diffusion mask 14, Sb (antimony) is thermally diffused to obtain an impurity density of 3 × 10 20 cm −3 , n.
+ A buried layer 15 is formed.

【0044】(ハ)酸化膜除去後、図7(c)に示すよ
うに、SiH4 やSiH2 Cl2 等のシラン化合物とド
ーピングガスとしてのPH3 等のリン化合物をH2 キャ
リアガスを流しながら高温で分解し基板上に膜厚2.5
μmのn層16をエピタキシャル成長させる。
(C) After removing the oxide film, as shown in FIG. 7 (c), a silane compound such as SiH 4 or SiH 2 Cl 2 and a phosphorus compound such as PH 3 as a doping gas are caused to flow in an H 2 carrier gas. While decomposing at high temperature, film thickness of 2.5 on the substrate
An n layer 16 of μm is epitaxially grown.

【0045】(ニ)次にn層16の上に酸化膜17を
0.3μm程形成させフォトリソグラフィ法により酸化
膜17の上にフォトレジストのパターンを形成した後、
フォトレジストをマスクにして酸化膜17に窓を開け、
エッチング用マスク17を形成する。その後フォトレジ
ストを除去し酸化膜17をマスク層としてn層16の選
択的エッチングを行なって図7(d)に示すように、n
層16中に約3μmの深さの溝を形成する。この選択的
エッチングは異方性をもたせるためCCl4 あるいはC
2 Br2 4 によるRIE法を用いる。溝のアスペクト
比が大きい場合はSF6 ガスプラズマによる低温マイク
ロ波プラズマエッチングが好ましい。たとえば基板温度
を−80℃〜−150℃に冷却してプラズマエッチング
を行なえばよい。
(D) Next, an oxide film 17 having a thickness of 0.3 μm is formed on the n layer 16 and a photoresist pattern is formed on the oxide film 17 by photolithography.
Open a window in the oxide film 17 using the photoresist as a mask,
An etching mask 17 is formed. After that, the photoresist is removed, and the n layer 16 is selectively etched using the oxide film 17 as a mask layer. As shown in FIG.
A groove having a depth of about 3 μm is formed in the layer 16. Since this selective etching has anisotropy, CCl 4 or C
The RIE method using 2 Br 2 F 4 is used. When the groove has a large aspect ratio, low temperature microwave plasma etching using SF 6 gas plasma is preferable. For example, plasma etching may be performed by cooling the substrate temperature to −80 ° C. to −150 ° C.

【0046】(ホ)さらに本発明の第1の実施の形態の
場合と同様にTEOS,TMOS,TPOS等の有機シ
リコンソースを原料としてCVD法で図7(e)に示す
ように溝を埋め込む。
(E) Further, similar to the case of the first embodiment of the present invention, the groove is filled with the CVD method using the organic silicon source such as TEOS, TMOS, TPOS as the raw material as shown in FIG. 7 (e).

【0047】(ヘ)最後に、この表面を図8(f)に示
すように平坦化を行なった後、1100〜1350℃の
高温で、N2 雰囲気中で2時間保持し熱処理を行う。こ
の熱処理の雰囲気はN2 ガス以外のO2 ,HCl、還元
性ガス、不活性ガスでも可能である。なお、上記説明と
は順序を変更し、CVD直後に1100℃〜1350℃
の熱処理を先に行ない、その後エッチバック、すなわち
平坦化しても同様の効果がある。この溝を素子分離領域
として、その間にn+ コレクタ引出し領域20、p+
ース領域21、n+ エミッタ領域22を形成し、図7
(f)に示すようにバイポーラトランジスタを完成す
る。図7(f)には簡単化のためにエミッタ金属電極、
コレクタ金属電極や層間絶縁膜等の図示を省略している
が、標準的なバイポーラICの構造であり、これらの金
属電極,絶縁膜は当然具備されているものである。
(F) Finally, after flattening the surface as shown in FIG. 8 (f), it is heat-treated at a high temperature of 1100 to 1350 ° C. for 2 hours in an N 2 atmosphere. The atmosphere for this heat treatment may be O 2 , HCl, reducing gas, or inert gas other than N 2 gas. Note that the order is changed from the above description, and 1100 ° C to 1350 ° C immediately after CVD.
The same effect can be obtained by first performing the heat treatment of (1) and then performing etch back, that is, flattening. Using this groove as an element isolation region, an n + collector extraction region 20, ap + base region 21, and an n + emitter region 22 are formed between them, as shown in FIG.
A bipolar transistor is completed as shown in (f). FIG. 7 (f) shows an emitter metal electrode for simplification.
Although illustration of a collector metal electrode, an interlayer insulating film, etc. is omitted, it is a standard bipolar IC structure, and these metal electrodes and insulating films are naturally provided.

【0048】図8は本発明の第2の実施の形態に係るn
pnバイポーラトランジスタの素子特性をTEGパター
ンを用いてリーク電流について調査した結果である。p
+ ベース領域21とnコレクタ領域16との間でのp+
−n接合に対応したTEGパターンのリーク電流を測定
し、各熱処理温度に対してプロットしている。TEGパ
ターンのダイオード面積は350×240μmである。
本発明の温度範囲(1100℃〜1350℃)において
+ −n接合のリーク電流は1.7×10-8A/cm2
以下に低下しており、リーク電流発生原因である転位が
抑制されていることがわかる。
FIG. 8 shows n according to the second embodiment of the present invention.
It is the result of investigating the device characteristics of the pn bipolar transistor about the leak current using the TEG pattern. p
+ P + between the base region 21 and the n collector region 16
The leak current of the TEG pattern corresponding to the -n junction was measured and plotted against each heat treatment temperature. The diode area of the TEG pattern is 350 × 240 μm.
In the temperature range of the present invention (1100 ° C to 1350 ° C), the leak current of the p + -n junction is 1.7 × 10 -8 A / cm 2.
It is found to be lower than that, and it can be seen that the dislocations that cause the leakage current are suppressed.

【0049】(第3の実施の形態)図9(g)は本発明
の第3の実施の形態に係る素子分離半導体基板の断面図
で、図9(a)〜(f)は図9(g)に至るまでの製造
方法を示す模式的な断面図である。本発明の第3の実施
の形態においてはBiCMOS集積回路に適用する場合
について説明するが、MOS集積回路、バイポーラ集積
回路、静電誘導トランジスタ(SIT)集積回路等にも
適用できることはもちろんである。本発明の第3の実施
の形態に係るBiCMOS集積回路用半導体基板の製造
方法は、 (イ)まず、図9(a)に示すように(100)面等所
定の面方位の第1の主表面(表面)および第2の主表面
(裏面)を有したn型シリコン基板(半導体基板)23
を用意する。このn型シリコン基板23の表面(第1の
主表面)にCVD法により厚さ1μmのSiO2 膜56
を形成する。CVDはTEOS,HMDS(Hexam
ethydisiloxane;Si2 O(C
3 6 ),OMCTS(Octamethylcyc
lotetrasiloxane;c(OSi(C
3 2 4 )等の有機シリコンソースを用いればよ
い。
(Third Embodiment) FIG. 9G is a sectional view of an element isolation semiconductor substrate according to a third embodiment of the present invention, and FIGS. 9A to 9F are FIG. It is a typical sectional view showing a manufacturing method up to g). In the third embodiment of the present invention, the case of application to a BiCMOS integrated circuit will be described, but it goes without saying that it can also be applied to a MOS integrated circuit, a bipolar integrated circuit, a static induction transistor (SIT) integrated circuit and the like. A method for manufacturing a semiconductor substrate for a BiCMOS integrated circuit according to a third embodiment of the present invention is as follows: (a) First, as shown in FIG. 9A, a first main surface having a predetermined plane orientation such as a (100) plane. N-type silicon substrate (semiconductor substrate) 23 having a front surface (front surface) and a second main surface (back surface) 23
Prepare A SiO 2 film 56 having a thickness of 1 μm is formed on the surface (first main surface) of the n-type silicon substrate 23 by the CVD method.
To form CVD is TEOS, HMDS (Hexam
ethidisiloxane; Si 2 O (C
H 3 ) 6 ), OMCTS (Octametylcyc
lotetrasiloxane; c (OSi (C
An organic silicon source such as H 3 ) 2 ) 4 ) may be used.

【0050】(ロ)次に図9(a)のSiO2 CVDを
したn型シリコン基板23を1100℃〜1200℃、
2 雰囲気中で2時間保持し熱処理する。その後、裏面
を吸引固定させながらの機械的及び化学的研磨(CM
P)法等を用いて酸化膜を0.3μmの厚さに平坦化し
て、直接接合(以下「SDB」という)用酸化膜25を
図9(b)に示すように形成する。
(B) Next, the SiO 2 CVD n-type silicon substrate 23 shown in FIG. 9A is placed at 1100 ° C. to 1200 ° C.
Hold for 2 hours in N 2 atmosphere and heat-treat. After that, mechanical and chemical polishing (CM
P) method or the like is used to flatten the oxide film to a thickness of 0.3 μm, and an oxide film 25 for direct bonding (hereinafter referred to as “SDB”) is formed as shown in FIG. 9B.

【0051】(ハ)次に、表面を鏡面に研磨したシリコ
ン基板26を別に用意し、SDB用酸化膜25を介して
図9(c)に示すようにn型シリコン基板23と、シリ
コン基板26とを互いに貼り合わせ、1100℃で1時
間〜2時間熱処理することにより、SDB基板を形成す
る。この際電圧を印加して、熱処理してもよい。次にn
型シリコン基板23の裏面(第2の主表面)を研磨して
n型シリコン基板23の厚みが1μmとなるように、厚
み調整を行なう。なお、シリコン基板の貼り合わせを1
100℃以上で行なえば、実質的に上記(ロ)の熱処理
と同等な効果を得ることができるので、上記(ロ)の熱
処理を省略して、貼り合わせ時の熱処理で兼ねることも
可能である。また貼り合わせ時の熱処理を1200℃に
する方法、あるいは貼り合わせ時の熱処理を1100℃
と1200℃の2段階で行うことも可能である。
(C) Next, a silicon substrate 26 having a mirror-polished surface is separately prepared, and the n-type silicon substrate 23 and the silicon substrate 26 are interposed via the SDB oxide film 25 as shown in FIG. 9C. Are bonded to each other and heat-treated at 1100 ° C. for 1 to 2 hours to form an SDB substrate. At this time, a voltage may be applied for heat treatment. Then n
The back surface (second main surface) of the type silicon substrate 23 is polished so that the thickness of the n type silicon substrate 23 is adjusted to 1 μm. In addition, the bonding of the silicon substrate is 1
If it is performed at 100 ° C. or higher, the same effect as the heat treatment of (ii) can be obtained, so that the heat treatment of (ii) can be omitted and the heat treatment at the time of bonding can also be used. . Also, the heat treatment at the time of bonding is set to 1200 ° C, or the heat treatment at the time of bonding is set to 1100 ° C.
It is also possible to perform it in two steps of 1,200 ° C.

【0052】(ニ)次に図9(c)の状態を上下逆転
し、図9(d)のようにn型シリコン基板23の裏面
(第2の主表面)を上とする。このSDB法により形成
したn型シリコン基板23の第2の主表面に300nm
の熱酸化膜17を形成し、フォトリソグラフィ法によ
り、フォトレジストをマスクとして熱酸化膜17の一部
を所定のパターンにエッチング除去し、さらに熱酸化膜
17のエッチング用マスクとして用いたフォトレジスト
を除去する。こうして得た熱酸化膜17をマスクとして
CCl4 ,SF6 等によるRIEを用いて、図9(d)
に示すように、n型シリコン基板23の一部を、SDB
用酸化膜25が露出するまで深さ1μmエッチングし、
U溝6を形成する。
(D) Next, the state of FIG. 9C is turned upside down, and the back surface (second main surface) of the n-type silicon substrate 23 is turned up, as shown in FIG. 9D. 300 nm is formed on the second main surface of the n-type silicon substrate 23 formed by the SDB method.
Of the thermal oxide film 17 is formed by photolithography using a photoresist as a mask to remove a part of the thermal oxide film 17 into a predetermined pattern by etching, and the photoresist used as an etching mask for the thermal oxide film 17 is removed. Remove. Using the thermal oxide film 17 thus obtained as a mask, RIE using CCl 4 , SF 6 or the like is performed, and as shown in FIG.
As shown in FIG.
Etching to a depth of 1 μm until the oxide film 25 for
The U groove 6 is formed.

【0053】(ホ)次に、図9(e)に示すようにTE
OS,TMCTS(1,3,5,7−tetramet
hylcyclotetrasiloxane;c(O
SiHCH3 4 又はTES(Triethylsil
ane;SiH(C2 5 3 )等の有機シリコンソー
スを用いた減圧CVD法(LPCVD法)等により、S
iO2 膜7を1.1〜1.5μm堆積する。LPCVD
法のかわりにECRプラズマCVD法やICP−CVD
法を用いてもよい。このU溝6を埋め込む材料として、
有機シリコンソースに酸化剤例えばN2 O,O2 ,O3
などを加えたものでもよい。又、有機シリコンソース、
SiH4 などのシリコン水素化合物、SiCl4 などの
シリコン塩化物を単独,もしくはこれらのうちのいずれ
かの原料の2種類以上を混合して原料としたCVD法に
よってもU溝6をシリコン酸化膜7で埋め込むこともで
きるし、それぞれの原料に酸化物を加えてもかまわな
い。
(E) Next, as shown in FIG.
OS, TMCTS (1,3,5,7-tetramet
hylcyclotetratrasiloxane; c (O
SiHCH 3 ) 4 or TES (Triethylsil)
ane; SiH (C 2 H 5 ) 3 ) or the like by a low pressure CVD method (LPCVD method) using an organic silicon source or the like.
An iO 2 film 7 is deposited in a thickness of 1.1 to 1.5 μm. LPCVD
ECR plasma CVD method or ICP-CVD instead of the method
The method may be used. As a material for filling the U groove 6,
An oxidizer such as N 2 O, O 2 , O 3 is added to the organic silicon source.
It may be a combination of the above. Also, an organic silicon source,
The U groove 6 can be formed into a silicon oxide film 7 by a CVD method using a silicon hydride compound such as SiH 4 or a silicon chloride such as SiCl 4 alone or a mixture of two or more of any of these materials. It is also possible to bury it in, and to add an oxide to each raw material.

【0054】(ヘ)続いて、CDE法等によってCVD
SiO2 膜7をエッチバックすることによりU溝6に埋
込まれた部分以外のn型シリコン基板23の表面を外部
に露出させ、図9(f)に示すように平坦化させる。
(F) Then, CVD by the CDE method or the like
By etching back the SiO 2 film 7, the surface of the n-type silicon substrate 23 other than the portion embedded in the U groove 6 is exposed to the outside and flattened as shown in FIG.

【0055】(ト)図9(f)に示す状態の有機シリコ
ンソースを用いたCVD法により形成された埋込み酸化
膜71はSiO2 以外の不純物例えば水を多く含有する
ため、1100〜1350℃において熱処理を行なう。
この熱処理はたとえばN2 中で2時間程度でよいが、こ
の際の雰囲気ガスはO2 ,HCl、還元性ガス、不活性
ガスあるいはCO,CO2 でも同様の結果が得られる。
この熱処理後、埋込み酸化膜71で囲まれたn型シリコ
ン基板23からなる素子形成領域に、周知のMOSプロ
セス、バイポーラプロセスを用いて、それぞれCMOS
回路、バイポーラ回路を構成すれば、図9(g)に示す
ようなBiCMOS集積回路が完成する。なお、上記
(ロ)の工程における1100℃〜1200℃の熱処理
を省略して、上記(ト)の工程における1100℃〜1
350℃の熱処理で代用しても、ほぼ本発明の目的を達
成できる。この場合は工程の簡略化という利点がある。
(G) Since the buried oxide film 71 formed by the CVD method using the organic silicon source in the state shown in FIG. 9 (f) contains a large amount of impurities other than SiO 2 , such as water, at 1100 to 1350 ° C. Perform heat treatment.
This heat treatment may be carried out, for example, in N 2 for about 2 hours, but the same results can be obtained when the atmosphere gas at this time is O 2 , HCl, a reducing gas, an inert gas or CO, CO 2 .
After this heat treatment, in the element formation region made of the n-type silicon substrate 23 surrounded by the buried oxide film 71, a well-known MOS process and a bipolar process are used to form CMOSs.
When the circuit and the bipolar circuit are constructed, a BiCMOS integrated circuit as shown in FIG. 9 (g) is completed. It should be noted that the heat treatment at 1100 ° C. to 1200 ° C. in the step (b) above is omitted, and 1100 ° C. to 1 ° C. in the step (g) above is omitted.
Even if the heat treatment at 350 ° C. is substituted, the object of the present invention can be almost achieved. In this case, there is an advantage that the process is simplified.

【0056】以上説明したように素子分離絶縁膜として
有機シリコンソース、例えばTEOSを原料としたCV
D法による絶縁材料を用いる場合において、本発明の第
3の実施の形態の熱処理をすることで応力を低減させ、
素子分離領域形成中またはその後の素子製造工程におけ
る熱処理中における転位の発生や増殖を低減することが
できる。したがって、本発明の第3の実施の形態によれ
ば素子形成領域中に形成されたpn接合のリーク電流の
値を1.7×10-8A/cm2 以下に低下させることが
でき、BiCMOS集積回路の高性能化が実現できる。
As described above, a CV made of an organic silicon source such as TEOS as a raw material is used as an element isolation insulating film.
In the case of using the insulating material by the D method, the stress is reduced by performing the heat treatment of the third embodiment of the present invention,
It is possible to reduce the generation and multiplication of dislocations during the formation of the element isolation region or the subsequent heat treatment in the element manufacturing process. Therefore, according to the third embodiment of the present invention, the leakage current value of the pn junction formed in the element formation region can be reduced to 1.7 × 10 −8 A / cm 2 or less, and the BiCMOS Higher performance of the integrated circuit can be realized.

【0057】なお、上記第1〜第3の実施の形態におけ
るU溝深さdのU溝幅l1 に対するアスペクト比d/l
1 は一例であり、上記の説明のアスペクト比に限られる
必要はない。図10に示すようにアスペクト比d/l1
が10以下のU溝に埋め込んだ酸化膜を本発明の熱処理
条件(1100℃〜1350℃)において熱処理すれ
ば、欠陥密度は低下するので、アスペクト比d/l1
10以下の値ならば適宜選択してよい。なお、図10に
示すように1000℃,1050℃等の本発明の熱処理
条件の範囲外の場合は、アスペクト比d/l1 が10以
下でも欠陥密度は低減しないことがわかる。
The aspect ratio d / l of the U groove depth d with respect to the U groove width l 1 in the first to third embodiments.
1 is an example, and need not be limited to the aspect ratio described above. As shown in FIG. 10, the aspect ratio d / l 1
If the oxide film embedded in the U-groove of 10 or less is heat-treated under the heat treatment conditions (1100 ° C. to 1350 ° C.) of the present invention, the defect density decreases, so if the aspect ratio d / l 1 is 10 or less, it is appropriate. You may choose. As shown in FIG. 10, it is understood that when the heat treatment conditions of the present invention such as 1000 ° C. and 1050 ° C. are out of the range, the defect density is not reduced even when the aspect ratio d / l 1 is 10 or less.

【0058】図13は一定方向のライン・アンド・スペ
ースの繰り返しパターンにおいて分離溝の幅l1 と、素
子形成領域の幅l2 との比l1 /l2 を変えた場合の、
素子形成領域における欠陥密度(転位ピット密度)を調
べた結果である。すなわち、素子分離領域のU溝6を図
11のように並べたライン・アンド・スペース・パター
ンにおいて、素子分離領域の幅l1 と素子形成領域の幅
2 の比を0.003〜10の間で変化させて埋め込み
素子分離基板を作製し、その素子形成領域における転位
ピットを選択エッチングして顕在化させ測定したもので
ある。図11(a)は図11(b)のX−X方向断面図
である。この場合有機シリコンソースを原料としたCV
D法で酸化膜をU溝中に埋め込み、1000,105
0,1100,1200,1350℃で2時間の熱処理
を行って比較した結果が図13である。図13に示すよ
うにl1 /l2 が1.5以上で欠陥は増加している。し
たがって、本発明は素子分離領域の幅l1 が素子形成領
域の幅l2 の1.5倍以下で効果があり、この範囲なら
ば、上記第1〜第3の実施の形態におけるl1 /l2
外の値を任意に選択して用いてもよい。l1 /l2
1.5という条件は一定の方向のライン・アンド・スペ
ース・パターンで定義されるものである。たとえば図1
2に示すようにX−X方向のライン・アンド・スペース
・パターンとY−Y方向のライン・アンド・スペースの
方向がある場合は、いずれかの方向おいて定義されるl
1x/l2x又はl1y/l2yの値の少なくとも一方が1.5
以下であればよい。図12のようなパターンはMOS・
DRAM等で代表的なパターンである。
[0058] Figure 13 is a case of changing the width l 1 of the isolation trench in the repetitive pattern of unidirectional line and space, the ratio l 1 / l 2 of the width l 2 of the element formation region,
It is the result of examining the defect density (dislocation pit density) in the element formation region. That is, in the line-and-space pattern arranged as in FIG. 11 the U-groove 6 of the isolation region, the ratio of the width l 2 of width l 1 and the element formation region of the element isolation region of 0.003 to 10 The measurement was performed by making the buried element isolation substrate by changing it between intervals and making the dislocation pits in the element forming region selectively etched to make it visible. FIG. 11A is a sectional view taken along line XX of FIG. 11B. In this case, CV made from organic silicon source
An oxide film is buried in the U groove by the D method,
FIG. 13 shows the comparison result obtained by performing heat treatment at 0, 1100, 1200 and 1350 ° C. for 2 hours. As shown in FIG. 13, the defects increase when l 1 / l 2 is 1.5 or more. Therefore, the present invention is effective when the width l 1 of the element isolation region is 1.5 times or less the width l 2 of the element formation region, and within this range, l 1 / in the first to third embodiments Values other than l 2 may be arbitrarily selected and used. l 1 / l 2
The condition of 1.5 is defined by a line and space pattern in a fixed direction. Figure 1
As shown in 2, when there is a line-and-space pattern in the XX direction and a line-and-space direction in the YY direction, it is defined in either direction.
At least one of the values 1x / l 2x or l 1y / l 2y is 1.5
The following may be sufficient. The pattern shown in Fig. 12 is MOS.
This is a typical pattern in DRAM and the like.

【0059】(第4の実施の形態)図14(e)は本発
明の第4の実施の形態に係る素子分離半導体基板の断面
図で、図14(a)〜(d)は図14(e)に至るまで
の製造方法を示す模式的な断面図である。本発明の第4
の実施の形態においてはCMOS集積回路に適用する場
合について説明するが、nMOS(集積回路)等他のM
OS集積回路、バイポーラ集積回路、BiCMOS集積
回路、SIT集積回路等にも適用できることはもちろん
である。本発明の第4の実施の形態に係るCMOS集積
回路用半導体基板の製造方法は、 (イ)まず図14(a)に示すように、第1の主表面
(表面)および第2の主表面(裏面)を有するn型の
(100)面シリコン基板23を用意し、その第1の主
表面の所定の場所に深さ1.2〜1.5μmのV溝を形
成する。所定の場所とは最終的に素子分離領域となる場
所という意である。このV溝の形成は周知の方法、たと
えば、n型シリコン基板23の表面(第1の主表面)に
150〜300nmの熱酸化膜を形成し、フォトリソグ
ラフィ法により、熱酸化膜の所定の部分をエッチング除
去し、この熱酸化膜をマスクとして、n型シリコン基板
23をKOH、あるいはエチレンジアミンピロカテコー
ル(EDP)等を用いて異方性エッチングすればよい。
なお、V溝は一例であり、本発明の第1〜第3の実施の
形態と同様にU溝でもよい。U溝の場合はCCl4 ,S
iCl4 ,PCl3 ,SF6 等を用いたRIEやECR
イオンエッチングで深さ1.2〜1.5μmとなるよう
に形成すればよい。U溝,V溝いずれかの場合も、分離
溝の幅l1 と素子形成領域の幅l2 の比l1 /l2
1.5以下になるようにするのが好ましい。次にTEO
S,DADBS,OMCTS,TMS,HMD等の有機
シリコンソースを用いたLPCVD法により酸化膜を厚
さ1.7〜2μm程度形成する。厚さ1.7〜2μmと
は溝部の形成されていない平坦部の厚さをいう。なお有
機シリコンCVD法のかわりに塗布ガラス(SOG)を
スピンナー等により塗布してもよい。
(Fourth Embodiment) FIG. 14 (e) is a sectional view of an element isolation semiconductor substrate according to a fourth embodiment of the present invention, and FIGS. 14 (a) to 14 (d) show FIG. It is a typical sectional view showing a manufacturing method up to e). Fourth Embodiment of the Present Invention
In the embodiment of the present invention, the case of applying to a CMOS integrated circuit will be described, but other M such as nMOS (integrated circuit)
Of course, it can be applied to an OS integrated circuit, a bipolar integrated circuit, a BiCMOS integrated circuit, a SIT integrated circuit and the like. A method of manufacturing a semiconductor substrate for a CMOS integrated circuit according to a fourth embodiment of the present invention is as follows: (a) First, as shown in FIG. 14A, a first main surface (front surface) and a second main surface An n-type (100) plane silicon substrate 23 having a (rear surface) is prepared, and a V groove having a depth of 1.2 to 1.5 μm is formed at a predetermined location on the first main surface thereof. The predetermined location means a location that will eventually become an element isolation region. The V groove is formed by a well-known method, for example, a thermal oxide film of 150 to 300 nm is formed on the surface (first main surface) of the n-type silicon substrate 23, and a predetermined portion of the thermal oxide film is formed by photolithography. Is removed by etching, and the n-type silicon substrate 23 may be anisotropically etched using KOH or ethylenediaminepyrocatechol (EDP) with this thermal oxide film as a mask.
The V groove is an example, and may be a U groove as in the first to third embodiments of the present invention. In case of U groove, CCl 4 , S
RIE and ECR using iCl 4 , PCl 3 , SF 6 etc.
It may be formed by ion etching so as to have a depth of 1.2 to 1.5 μm. In either case of the U groove or the V groove, it is preferable that the ratio l 1 / l 2 of the width l 1 of the separation groove and the width l 2 of the element formation region is 1.5 or less. Next TEO
An oxide film having a thickness of about 1.7 to 2 μm is formed by the LPCVD method using an organic silicon source such as S, DADBS, OMCTS, TMS, and HMD. The thickness of 1.7 to 2 μm means the thickness of the flat portion where the groove is not formed. Instead of the organic silicon CVD method, coated glass (SOG) may be coated with a spinner or the like.

【0060】(ロ)次に図14(a)のSiO2 CVD
をしたn型シリコン基板23を1200℃、N2 雰囲気
中で2時間保持し熱処理する。その後、裏面(第2の主
表面)を吸込固定させながらのCMP法等を用いて酸化
膜を0.3μmの厚さに平坦化して、SDB用酸化膜2
5を図14(a)に示すように形成する。この際の雰囲
気ガスはO2 ,HCl、還元性ガス、不活性ガスあるい
はCO,CO2 でも同様の結果が得られる。
(B) Next, SiO 2 CVD shown in FIG.
The n-type silicon substrate 23 thus prepared is heat-treated by holding it at 1200 ° C. in an N 2 atmosphere for 2 hours. Then, the oxide film is flattened to a thickness of 0.3 μm by the CMP method or the like while fixing the back surface (second main surface) by suction, and the SDB oxide film 2 is formed.
5 is formed as shown in FIG. At this time, similar results can be obtained even if the atmosphere gas is O 2 , HCl, reducing gas, inert gas or CO, CO 2 .

【0061】(ハ)次に、表面を鏡面に研磨したシリコ
ン基板26を別に用意し、SDB用酸化膜25を介して
図14(c)に示すようにn型シリコン基板23と、シ
リコン基板26とを互いに貼り合わせ、1100℃〜1
150℃で60分〜2時間熱処理することにより、SD
B基板を形成する。この際減圧(真空)した状態でパル
ス電圧を印加して、熱処理してもよい。たとえば、0.
1Paまで減圧して、800℃において±350Vのパ
ルス電圧を10分程度印加すればよい。
(C) Next, a silicon substrate 26 having a mirror-polished surface is separately prepared, and the n-type silicon substrate 23 and the silicon substrate 26 are interposed via the SDB oxide film 25 as shown in FIG. 14 (c). Are pasted together and 1100 ° C-1
By heat treatment at 150 ° C for 60 minutes to 2 hours, SD
Form a B substrate. At this time, the heat treatment may be performed by applying a pulse voltage in a reduced pressure (vacuum) state. For example, 0.
The pressure may be reduced to 1 Pa and a pulse voltage of ± 350 V may be applied at 800 ° C. for about 10 minutes.

【0062】(ニ)次にn型シリコン基板23の裏面
(第2の主表面)を研磨し、n型シリコン基板23の厚
みが1μmとなるようにすれば、n型シリコン基板23
の裏面にSDB用酸化膜25の一部が露出する。図14
(d)はこの状態の基板の断面図を示すが、図14
(c)と上下関係を逆転して、上側にn型シリコン基板
23が位置するようになっている。したがって、この工
程により、n型シリコン基板の第2の主表面に、埋め込
み酸化膜25で周囲を囲まれた素子形成領域23が完成
することとなる。
(D) Next, the back surface (second main surface) of the n-type silicon substrate 23 is polished so that the thickness of the n-type silicon substrate 23 becomes 1 μm.
A part of the SDB oxide film 25 is exposed on the back surface of the. FIG.
FIG. 14D is a sectional view of the substrate in this state.
The vertical relationship is reversed from that of (c), and the n-type silicon substrate 23 is positioned on the upper side. Therefore, by this step, the element formation region 23 surrounded by the buried oxide film 25 is completed on the second main surface of the n-type silicon substrate.

【0063】(ホ)次に図14(e)に示すような周知
のMOSプロセスを用いて素子形成領域23の内部にp
ウェル31を形成し、さらにpウェル31の内部にn+
ソース/ドレイン領域32,33、素子形成領域23の
pウェルの形成されていない部分にp+ ソース/ドレイ
ン領域34,35を形成し、さらにその表面にゲート酸
化膜,ポリシリコンゲート電極98,98,金属配線を
形成すれば、本発明の第4の実施の形態に係るCMOS
集積回路が完成する。
(E) Next, p is formed inside the element formation region 23 by using a well-known MOS process as shown in FIG.
Well 31 is formed, and n + is formed inside p well 31.
Source / drain regions 32 and 33, and p + source / drain regions 34 and 35 are formed in the portions of the element formation region 23 where the p well is not formed, and gate oxide films and polysilicon gate electrodes 98 and 98 are formed on the surfaces thereof. , If the metal wiring is formed, the CMOS according to the fourth embodiment of the present invention
The integrated circuit is completed.

【0064】本発明の第4の実施の形態では1回の有機
シリコン系CVD法(もしくはSOGの塗布)によりS
DB酸化膜と埋め込み酸化膜が同時に形成でき、図9
(a)〜(g)に示した第3の実施の形態よりも工程数
が減少し、その分生産性が高くなる。また第3の実施の
形態に比して、熱処理工程も少なくなるのでより少ない
熱履歴で半導体装置が製造でき、結晶欠陥の低減と微細
構造の実現が容易となる。
In the fourth embodiment of the present invention, S is applied by one-time organosilicon CVD method (or SOG application).
A DB oxide film and a buried oxide film can be formed simultaneously, as shown in FIG.
The number of steps is smaller than that of the third embodiment shown in (a) to (g), and the productivity is increased accordingly. Further, as compared with the third embodiment, the number of heat treatment steps is reduced, so that a semiconductor device can be manufactured with less heat history, and it is easy to reduce crystal defects and realize a fine structure.

【0065】また有機シリコン系CVD法は段差被覆性
が優れており、しかも熱酸化でSDB酸化膜を形成する
よりも低温かつ短時間で厚い酸化膜が形成できるので、
熱酸化の場合のような酸化誘起欠陥(OSF)も発生し
ない。したがって素子形成領域中での結晶欠陥も少な
く、結果としてCMOS回路中のリーク電流が低減す
る。また段差被覆性に優れていることから、図14
(a)に示すような場合に限らず、種々の凹凸形状を有
した基板を用いて、その平坦度に影響されずにSOI基
板を作成することができる。
Further, the organic silicon-based CVD method has excellent step coverage and, moreover, a thick oxide film can be formed at a lower temperature and in a shorter time than the SDB oxide film is formed by thermal oxidation.
Oxidation induced defects (OSF) as in the case of thermal oxidation do not occur. Therefore, there are few crystal defects in the element formation region, and as a result, the leak current in the CMOS circuit is reduced. In addition, since the step coverage is excellent,
Not limited to the case as shown in (a), an SOI substrate can be formed using a substrate having various uneven shapes without being affected by the flatness.

【0066】本発明の第4の実施の形態でU溝を用いて
もよいことは前述したが、その場合のアスペクト比は1
0以下にすることが好ましいことはもちろんである。ま
たV溝の場合もその深さdとV溝の表面側の開口部幅
v1 との比d/lv1 が10以下が好ましい。
Although it has been described above that the U groove may be used in the fourth embodiment of the present invention, the aspect ratio in that case is 1
Needless to say, it is preferably 0 or less. Also in the case of the V groove, the ratio d v / l v1 of the depth d v and the opening width l v1 on the surface side of the V groove is preferably 10 or less.

【0067】以上説明したようにCMOS集積回路にお
いて、素子分離絶縁膜としてTEOS等の有機シリコン
ソースを原料としたCVDによる絶縁材料を用いる場合
において、本発明の第4の実施の形態の熱処理をするこ
とで応力を低減させ、素子分離領域形成中またはその後
の素子製造工程における熱処理中における転位の発生や
増殖を低減することができる。したがって、本発明の第
4の実施の形態によれば素子形成領域中での形成された
pn接合のリーク電流の値を1.7×10-8A/cm2
以下に低下させることができ、CMOS・LSIの高性
能化が実現できる。
As described above, in the CMOS integrated circuit, when the insulating material by CVD using the organic silicon source such as TEOS as the raw material is used as the element isolation insulating film, the heat treatment of the fourth embodiment of the present invention is performed. As a result, stress can be reduced, and generation or multiplication of dislocations can be reduced during the formation of the element isolation region or the subsequent heat treatment in the element manufacturing process. Therefore, according to the fourth embodiment of the present invention, the value of the leak current of the pn junction formed in the element formation region is 1.7 × 10 −8 A / cm 2.
It can be reduced to the following, and high performance of CMOS / LSI can be realized.

【0068】なお、上記本発明の第1〜第4の実施の形
態においては常圧CVD法又はLPCVD法でシリコン
酸化膜(SiO2 膜)を堆積させた場合について説明し
たが、SiO2 のCVDは液相CVD法でも行なうこと
ができる。この場合はO2 ガスをマイクロ波放電させT
MSと反応させ、基板温度を堆積粒子の沸点以下の−4
0℃にし、シリコン酸化膜を堆積させればよい。液相C
VD後、本発明の第1〜第4の実施の形態と同様に熱処
理を行えば、上記実施の形態と同様の効果が得られる。
さらに電解液としてエチレングリコール、N−メチルア
セトアミドを溶媒とし硝酸カリウムを少量加え、シリコ
ン基板を陽極、白金を対向電極とした陽極酸化によって
U溝中にSiO2 膜を形成してもよい。この場合も上記
各実施の形態と同様の1100℃〜1350℃での熱処
理を行なえば、同様の効果が得られる。又、プラズマC
VD法によるSiO2 膜でU溝を埋め込むことも可能で
ある。
[0068] Incidentally, in the first to fourth embodiments of the present invention has been described depositing a silicon oxide film by atmospheric pressure CVD or LPCVD method (SiO 2 film), a SiO 2 CVD Can also be performed by a liquid phase CVD method. In this case, the O 2 gas is subjected to microwave discharge and T
The temperature of the substrate is kept below the boiling point of the deposited particles by reacting with MS-4.
The silicon oxide film may be deposited at 0 ° C. Liquid phase C
After VD, if the heat treatment is performed in the same manner as in the first to fourth embodiments of the present invention, the same effect as in the above-described embodiment can be obtained.
Furthermore, a SiO 2 film may be formed in the U groove by anodic oxidation using ethylene glycol or N-methylacetamide as a solvent and a small amount of potassium nitrate as a solvent and using a silicon substrate as an anode and platinum as a counter electrode. Also in this case, the same effect can be obtained by performing the heat treatment at 1100 ° C. to 1350 ° C. similar to the above-mentioned respective embodiments. Also, plasma C
It is also possible to fill the U groove with a SiO 2 film formed by the VD method.

【0069】[0069]

【発明の効果】以上詳述したようにシリコン半導体基板
上のMOS集積回路、バイポーラ集積回路、BiCMO
S集積回路あるいはSIT集積回路において、素子分離
絶縁膜として有機シリコンソース、例えばTEOSを原
料としたCVD法による絶縁材料を用いる場合におい
て、本発明の熱処理をすることで応力を低減させ、素子
分離領域形成中またはその後の素子製造工程における熱
処理中における転位の発生や増殖を低減することができ
る。したがって、本発明によれば素子形成領域中に形成
されたpn接合のリーク電流の値を1.7×10-8A/
cm2 以下に低下させることができ、MOSLSIやバ
イポーラLSI等の集積回路の高性能化が実現できる。
As described above in detail, the MOS integrated circuit, the bipolar integrated circuit, the BiCMO on the silicon semiconductor substrate.
In the S integrated circuit or the SIT integrated circuit, when an organic silicon source, for example, an insulating material by a CVD method using TEOS as a raw material is used as the element isolation insulating film, the heat treatment of the present invention reduces stress to reduce the element isolation region. It is possible to reduce the occurrence and multiplication of dislocations during formation or during heat treatment in the element manufacturing process thereafter. Therefore, according to the present invention, the leakage current value of the pn junction formed in the element formation region is 1.7 × 10 −8 A /
It can be reduced to cm 2 or less, and high performance of integrated circuits such as MOS LSI and bipolar LSI can be realized.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施の形態に係るMOS集積回
路用の埋込素子分離半導体基板の製造工程を示す図であ
る。
FIG. 1 is a diagram showing a manufacturing process of an embedded element isolation semiconductor substrate for a MOS integrated circuit according to a first embodiment of the present invention.

【図2】埋め込み酸化膜の熱処理温度と素子形成領域に
発生する結晶欠陥密度の関係を示す図である。
FIG. 2 is a diagram showing a relationship between a heat treatment temperature of a buried oxide film and a crystal defect density generated in an element formation region.

【図3】埋め込み酸化膜の熱処理温度と素子形成領域に
形成されたpn接合のリーク電流との関係を示す図であ
る。
FIG. 3 is a diagram showing a relationship between a heat treatment temperature of a buried oxide film and a leak current of a pn junction formed in an element formation region.

【図4】熱処理をした場合(アニール1,アニール2)
と、しない場合の酸化膜によるラマン散乱スペクトル図
である。
[Fig. 4] When heat treatment is performed (annealing 1, annealing 2)
FIG. 4 is a Raman scattering spectrum diagram by an oxide film in the case of not and.

【図5】ラマン散乱の各ピークの積分強度比の、酸化膜
の熱処理温度依存性を示す図である。
FIG. 5 is a diagram showing the heat treatment temperature dependence of the oxide film of the integrated intensity ratio of each peak of Raman scattering.

【図6】熱処理によるエッチング速度の変化を示す図で
ある。
FIG. 6 is a diagram showing a change in etching rate due to heat treatment.

【図7】本発明の第2の実施の形態に係るバイポーラ集
積回路用の埋込素子分離半導体基板の製造工程を示す図
である。
FIG. 7 is a diagram showing a step of manufacturing a buried element isolation semiconductor substrate for a bipolar integrated circuit according to a second embodiment of the present invention.

【図8】埋め込み酸化膜の熱処理温度と素子形成領域に
形成されたpn接合のリーク電流との関係を示す図であ
る。
FIG. 8 is a diagram showing a relationship between a heat treatment temperature of a buried oxide film and a leak current of a pn junction formed in an element formation region.

【図9】本発明の第3の実施の形態に係るBiCMOS
集積回路用の埋込素子分離半導体基板の製造工程を示す
図である。
FIG. 9 is a BiCMOS according to a third embodiment of the present invention.
It is a figure which shows the manufacturing process of the embedded element isolation semiconductor substrate for integrated circuits.

【図10】溝のアスペクト比と欠陥密度との関係を示す
図である。
FIG. 10 is a diagram showing a relationship between an aspect ratio of a groove and a defect density.

【図11】溝の幅と素子形成層の幅との関係を示す図で
ある。
FIG. 11 is a diagram showing the relationship between the width of a groove and the width of an element formation layer.

【図12】2つの方向にライン・アンド・スペース・パ
ターンが存在する場合を示す平面図である。
FIG. 12 is a plan view showing a case where line and space patterns exist in two directions.

【図13】図11(又は図12)に示したl1 /l
2 と、欠陥密度との関係を示す図である。
FIG. 13 is l 1 / l shown in FIG. 11 (or FIG. 12)
FIG. 3 is a diagram showing the relationship between 2 and the defect density.

【図14】本発明の第4の実施の形態に係るCMOS集
積回路用の埋込素子分離半導体基板の製造工程を示す図
である。
FIG. 14 is a diagram showing a step of manufacturing a buried element isolation semiconductor substrate for a CMOS integrated circuit according to a fourth embodiment of the invention.

【図15】従来技術として代表的なLOCOS法による
素子分離半導体基板の構造を示す図である。
FIG. 15 is a diagram showing a structure of an element isolation semiconductor substrate by a typical LOCOS method as a conventional technique.

【図16】従来の埋込素子分離技術における転位の発生
を示す図である。
FIG. 16 is a diagram showing generation of dislocations in a conventional buried element isolation technique.

【符号の説明】[Explanation of symbols]

5,13 p型シリコン基板 6 U溝 7,71,77 埋め込み酸化膜 8 ゲート酸化膜 12 転位 14,17 酸化膜 15 n+ 埋め込み領域 16 nエピタキシャル成長層 20 n+ コレクタ電極取り出し領域 21 pベース領域 22 n+ エミッタ領域 23,81 シリコン基板 24,25 SDB用酸化膜 26 n型シリコン基板 78,79 層間絶縁膜 82 酸化膜 83 素子形成領域 88 窒化膜 91 n+ ソース領域 92 n+ ドレイン領域 93 ソース電極 94 ドレイン電極 98,99 ポリシリコンゲート電極5,13 p-type silicon substrate 6 U-groove 7,71,77 buried oxide film 8 gate oxide film 12 dislocation 14,17 oxide film 15 n + buried region 16 n epitaxial growth layer 20 n + collector electrode extraction region 21 p base region 22 n + emitter region 23, 81 silicon substrate 24, 25 SDB oxide film 26 n-type silicon substrate 78, 79 interlayer insulating film 82 oxide film 83 element forming region 88 nitride film 91 n + source region 92 n + drain region 93 source electrode 94 drain electrode 98,99 polysilicon gate electrode

フロントページの続き (72)発明者 上條 浩幸 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 (72)発明者 八木下 淳史 神奈川県川崎市幸区小向東芝町1 株式会 社東芝研究開発センター内 (72)発明者 北 恒博 神奈川県川崎市幸区小向東芝町1 株式会 社東芝多摩川工場内Front page continuation (72) Inventor Hiroyuki Kamijo 8 Shinsugita-cho, Isogo-ku, Yokohama-shi, Kanagawa, Ltd. Inside Toshiba Corporation Yokohama office Research and Development Center (72) Inventor Tsunehiro Kita 1 Komukai Toshiba-cho 1 Sachi-ku, Kawasaki-shi, Kanagawa Stock Company Toshiba Tamagawa factory

Claims (23)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板の表面の一部に形成された複
数の溝部と、該溝部の内部に形成された埋込酸化膜と、
該溝部と溝部との間に形成された素子形成領域とからな
る素子分離半導体基板であって、 該埋込酸化膜は有機シリコン系CVD法,塗布ガラスの
塗布法、又は陽極酸化法のいずれかの方法により形成さ
れ、1100〜1350℃の温度で熱処理された酸化膜
であることを特徴とする素子分離半導体基板。
1. A plurality of trenches formed on a part of the surface of a semiconductor substrate, and a buried oxide film formed inside the trenches.
An element isolation semiconductor substrate comprising a groove portion and an element forming region formed between the groove portion, wherein the buried oxide film is formed by an organic silicon-based CVD method, a coating glass coating method, or an anodizing method. An element isolation semiconductor substrate, which is an oxide film formed by the method of 1) and heat-treated at a temperature of 1100 to 1350 ° C.
【請求項2】 前記溝部の深さdと、前記溝部の開口部
の幅l1 の寸法との比で定義されるアスペクト比d/l
1 が10以下であることを特徴とする請求項1記載の素
子分離半導体基板。
2. An aspect ratio d / l defined by the ratio of the depth d of the groove and the dimension of the width l 1 of the opening of the groove.
The element isolation semiconductor substrate according to claim 1, wherein 1 is 10 or less.
【請求項3】 前記溝部の開口部の幅l1 を最小スペー
ス幅とし、前記素子形成領域の幅l2 を最小ライン幅と
した所定の方向のライン・アンド・スペースの繰り返し
パターンにおいて、該所定の方向で定義されるl1 とl
2 との比l1/l2 が1.5以下であることを特徴とす
る請求項1記載の素子分離半導体基板。
3. A line-and-space repeating pattern in a predetermined direction, wherein a width l 1 of an opening of the groove is a minimum space width, and a width l 2 of the element forming region is a minimum line width. L 1 and l defined by the direction of
Isolation semiconductor substrate according to claim 1, wherein the ratio l 1 / l 2 and 2 is 1.5 or less.
【請求項4】 半導体基板の表面の一部に形成された複
数の溝部と、該溝部の内部に形成された埋込酸化膜と、
該溝部と溝部との間に形成された素子形成領域とからな
る素子分離半導体基板であって、該埋込酸化膜は5員環
以上の環構造および4員環以下の環構造をそれぞれ所定
の割合で含む非晶質シリコン酸化膜であることを特徴と
する素子分離半導体基板。
4. A plurality of groove portions formed in a part of the surface of the semiconductor substrate, and a buried oxide film formed inside the groove portions,
A device isolation semiconductor substrate comprising a groove portion and an element forming region formed between the groove portion, wherein the buried oxide film has a ring structure of a 5-membered ring or more and a ring structure of a 4-membered ring or less, respectively. An element isolation semiconductor substrate, which is an amorphous silicon oxide film containing a certain ratio.
【請求項5】 前記環構造の所定の割合は、前記各環構
造に対応するラマンシフトの積分強度の全体の積分強度
に対する割合で決定され、5員環以上が実質的に全体の
85%以上、および4員環以下が実質的に全体の15%
以下であるという条件の、いずれか一方、もしくは両方
を満たす構造であることを特徴とする請求項4記載の素
子分離半導体基板。
5. The predetermined ratio of the ring structure is determined by the ratio of the integrated intensity of Raman shift corresponding to each of the ring structures to the total integrated intensity, and the 5-membered ring or more is substantially 85% or more of the total. , And 15% or less of 4 members
The element isolation semiconductor substrate according to claim 4, wherein the element isolation semiconductor substrate has a structure satisfying one or both of the following conditions.
【請求項6】 前記埋込酸化膜は有機シリコン系CVD
法,塗布ガラスの塗布法、又は陽極酸化法のいずれかの
方法により形成され、1100〜1350℃の温度で熱
処理された酸化膜であることを特徴とする請求項4記載
の素子分離半導体基板。
6. The buried oxide film is an organic silicon based CVD.
5. The element isolation semiconductor substrate according to claim 4, which is an oxide film formed by any one of a coating method, a coating glass coating method, and an anodic oxidation method, and which is heat-treated at a temperature of 1100 to 1350 ° C. 6.
【請求項7】 前記溝部の深さdと、前記溝部の開口部
の幅l1 の寸法との比で定義されるアスペクト比d/l
1 が10以下であることを特徴とする請求項4記載の素
子分離半導体基板。
7. The aspect ratio d / l defined by the ratio of the depth d of the groove and the dimension of the width l 1 of the opening of the groove.
The element isolation semiconductor substrate according to claim 4, wherein 1 is 10 or less.
【請求項8】 前記溝部の開口部の幅l1 を最小スペー
ス幅とし、前記素子形成領域の幅l2 を最小ライン幅と
した所定の方向のライン・アンド・スペースの繰り返し
パターンにおいて、該所定の方向で定義されるl1 とl
2 との比l1/l2 が1.5以下であることを特徴とす
る請求項4記載の素子分離半導体基板。
8. A line-and-space repeating pattern in a predetermined direction, wherein a width l 1 of an opening of the groove is a minimum space width, and a width l 2 of the element forming region is a minimum line width. L 1 and l defined by the direction of
Isolation semiconductor substrate according to claim 4, wherein the 2 ratio of l 1 / l 2 is 1.5 or less.
【請求項9】 少なくとも以下の工程を含むことを特徴
とする素子分離半導体基板の製造方法。 (イ)半導体基板の表面の一部に複数の溝部を形成する
第1工程 (ロ)該溝部に有機シリコン系CVD法により酸化膜を
埋め込む第2工程 (ハ)該酸化膜を基板温度1100℃〜1350℃で熱
処理する第3工程
9. A method of manufacturing an element isolation semiconductor substrate, which comprises at least the following steps. (A) First step of forming a plurality of grooves on a part of the surface of a semiconductor substrate (b) Second step of filling an oxide film in the grooves by an organic silicon based CVD method (c) Substrate temperature of the oxide film is 1100 ° C. Third step of heat treatment at ˜1350 ° C.
【請求項10】 前記第2工程における有機シリコン系
CVD法は常圧CVD法、減圧CVD法、プラズマCV
D法、光CVD法および液相CVD法のうちのいずれか
であることを特徴とする請求項9記載の素子分離半導体
基板の製造方法。
10. The organic silicon-based CVD method in the second step is a normal pressure CVD method, a low pressure CVD method, a plasma CV method.
10. The method for manufacturing an element isolation semiconductor substrate according to claim 9, which is one of a D method, a photo CVD method and a liquid phase CVD method.
【請求項11】 前記第3工程における熱処理はH2
の還元性ガス、He,Ne,Ar,Kr,Xe等の不活
性ガス,O2 ,N2 ,HCl,CO,およびCO2 のい
ずれか、又はこれらのうちから選択された2種以上のガ
スからなる混合ガス中で行なわれることを特徴とする請
求項9記載の素子分離半導体基板の製造方法。
11. The heat treatment in the third step includes any one of reducing gas such as H 2 , inert gas such as He, Ne, Ar, Kr, and Xe, O 2 , N 2 , HCl, CO, and CO 2 . 10. The method for manufacturing an element isolation semiconductor substrate according to claim 9, wherein the method is performed in a mixed gas composed of two or more kinds of gases selected from the above.
【請求項12】 前記第2工程は、溝部よりも厚く酸化
膜を堆積し、その後前記半導体基板の表面が実質的に露
出するまで表面を平坦化することを特徴とする請求項9
記載の素子分離半導体基板の製造方法。
12. The second step is characterized in that an oxide film is deposited thicker than a groove and then the surface of the semiconductor substrate is planarized until the surface is substantially exposed.
A method for manufacturing the element isolation semiconductor substrate described.
【請求項13】 前記第2工程は、溝部よりも厚く酸化
膜を堆積する工程であり、前記第3工程の後で、前記半
導体基板の表面が実質的に露出するまで表面を平坦化す
る第4工程をさらに含むことを特徴とする請求項9記載
の素子分離半導体基板の製造方法。
13. The second step is a step of depositing an oxide film thicker than a groove portion, and the step of flattening a surface of the semiconductor substrate after the third step until the surface of the semiconductor substrate is substantially exposed. 10. The method for manufacturing an element isolation semiconductor substrate according to claim 9, further comprising four steps.
【請求項14】 前記溝部の深さdと、前記溝部の開口
部の溝幅l1 の寸法との比で定義されるアスペクト比d
/l1 が10以下であることを特徴とする請求項9記載
の素子分離半導体基板の製造方法。
14. An aspect ratio d defined by the ratio of the depth d of the groove and the dimension of the groove width l 1 of the opening of the groove.
/ L 1 is 10 or less, The manufacturing method of the element isolation semiconductor substrate of Claim 9 characterized by the above-mentioned.
【請求項15】 前記溝部の幅l1 を最小スペース幅と
し、前記素子形成領域の幅l2 を最小ライン幅とした所
定の方向のライン・アンド・スペースの繰り返しパター
ンにおいて、該所定の方向で定義されるl1 とl2 との
比l1 /l2が1.5以下であることを特徴とする請求
項9記載の素子分離半導体基板の製造方法。
15. A line-and-space repeating pattern in a predetermined direction in which the width l 1 of the groove portion is a minimum space width and the width l 2 of the element forming region is a minimum line width, and manufacturing method of the element isolation semiconductor substrate according to claim 9, wherein the ratio l 1 / l 2 between l 1 and l 2 is defined is 1.5 or less.
【請求項16】 少なくとも以下の工程を含むことを特
徴とする素子分離半導体基板の製造方法。 (イ)第1および第2の主表面を有する第1の半導体基
板を用意し、 該第1の主表面に直接接合用酸化膜を有機シリコン系C
VD法により形成し、基板温度1100℃〜1350℃
において第1の熱処理を行なった後該第1の主表面を平
坦化する第1工程、 又は該第1の半導体基板の該第1の主表面を平坦化後基
板温度1100℃〜1350℃における第1の熱処理を
行なう第1工程 (ロ)該直接接合用酸化膜を介して、該第1の半導体基
板と、該第1の半導体基板とは異なる第2の半導体基板
とを直接接合し、その後、該第1の半導体基板を所定の
厚みに調整する第2工程 (ハ)該第1の半導体基板の該第2の主表面の一部に複
数の溝部を形成する第3工程 (ニ)該複数の溝部に有機シリコン系CVD法により埋
込酸化膜を形成する第4工程 (ホ)該埋込酸化膜に対して基板温度1100℃〜13
50℃において第2の熱処理を行う第5工程
16. A method for manufacturing an element isolation semiconductor substrate, comprising at least the following steps. (A) A first semiconductor substrate having first and second main surfaces is prepared, and an oxide film for direct bonding is provided on the first main surface with an organic silicon C
Formed by VD method, substrate temperature 1100 ° C to 1350 ° C
In the first step of flattening the first main surface after performing the first heat treatment in, or after the first main surface of the first semiconductor substrate is flattened at a substrate temperature of 1100 ° C to 1350 ° C. 1. First step of performing heat treatment of (1) The first semiconductor substrate and a second semiconductor substrate different from the first semiconductor substrate are directly bonded via the direct bonding oxide film, and then A second step of adjusting the first semiconductor substrate to have a predetermined thickness (c) a third step of forming a plurality of grooves on a part of the second main surface of the first semiconductor substrate (d) Fourth step of forming a buried oxide film in a plurality of trenches by an organic silicon-based CVD method (e) A substrate temperature of 1100 ° C. to 13 ° C. for the buried oxide film
Fifth step of performing the second heat treatment at 50 ° C
【請求項17】 前記第1および第4工程における有機
シリコン系CVD法は常圧CVD法、減圧CVD法、プ
ラズマCV法D、光CVD法および液相CVD法のうち
のいずれかであることを特徴とする請求項16記載の素
子分離半導体基板の製造方法。
17. The organic silicon-based CVD method in the first and fourth steps is any one of an atmospheric pressure CVD method, a low pressure CVD method, a plasma CV method D, an optical CVD method and a liquid phase CVD method. 17. The method for manufacturing an element isolation semiconductor substrate according to claim 16, which is characterized in that.
【請求項18】 前記第1および第2の熱処理はH2
の還元性ガス、He,Ne,Ar,Kr,Xe等の不活
性ガス,O2 ,N2 ,HCl,COおよびCO2 ,のい
ずれか、又はこれらのうちから選択された2種以上のガ
スからなる混合ガス中で行なわれることを特徴とする請
求項16記載の素子分離半導体基板の製造方法。
18. The first and second heat treatments include reducing gas such as H 2 , inert gas such as He, Ne, Ar, Kr, and Xe, O 2 , N 2 , HCl, CO, and CO 2 . 17. The method for manufacturing an element isolation semiconductor substrate according to claim 16, wherein the method is performed in any one of the above or in a mixed gas consisting of two or more kinds of gases selected from these.
【請求項19】 前記第4工程は、溝部よりも厚く酸化
膜を堆積し、その後前記第1の半導体基板の第2の主表
面が実質的に露出するまで表面を平坦化することを特徴
とする請求項16記載の素子分離半導体基板の製造方
法。
19. The fourth step is characterized in that an oxide film is deposited thicker than a groove portion, and then the surface is planarized until the second main surface of the first semiconductor substrate is substantially exposed. 17. The method for manufacturing an element isolation semiconductor substrate according to claim 16.
【請求項20】 前記第4工程は、溝部よりも厚く酸化
膜を堆積する工程であり、前記第5工程の後で、前記第
1の半導体基板の第2の表面が実質的に露出するまで表
面を平坦化する第6工程をさらに含むことを特徴とする
請求項16記載の素子分離半導体基板の製造方法。
20. The fourth step is a step of depositing an oxide film thicker than a groove portion, and after the fifth step, until the second surface of the first semiconductor substrate is substantially exposed. 17. The method for manufacturing an element isolation semiconductor substrate according to claim 16, further comprising a sixth step of flattening the surface.
【請求項21】 前記溝部の深さdと、前記溝部の開口
部の溝幅l1 の寸法との比で定義されるアスペクト比d
/l1 が10以下であることを特徴とする請求項16記
載の素子分離半導体基板の製造方法。
21. An aspect ratio d defined by the ratio of the depth d of the groove and the dimension of the groove width l 1 of the opening of the groove.
/ L 1 is 10 or less, The manufacturing method of the element isolation semiconductor substrate of Claim 16 characterized by the above-mentioned.
【請求項22】 前記溝部の幅l1 を最小スペース幅と
し、前記素子形成領域の幅l2 を最小ライン幅とした所
定の方向のライン・アンド・スペースの繰り返しパター
ンにおいて、該所定の方向で定義されるl1 とl2 との
比l1 /l2が1.5以下であることを特徴とする請求
項16記載の素子分離半導体基板の製造方法。
22. In a line-and-space repeating pattern in a predetermined direction in which the width l 1 of the groove portion is the minimum space width and the width l 2 of the element forming region is the minimum line width, manufacturing method of the element isolation semiconductor substrate according to claim 16, wherein the ratio l 1 / l 2 between l 1 and l 2 is defined is 1.5 or less.
【請求項23】 少なくとも以下の工程を含むことを特
徴とする素子分離半導体基板の製造方法。 (イ)第1および第2の主表面を有する第1の半導体基
板を用意し、該第1の主表面の一部に複数の溝部を形成
する第1工程 (ロ)該第1の主表面に直接接合用酸化膜を有機シリコ
ン系CVDにより形成し、基板温度1100℃〜135
0℃において熱処理を行なった後該第1の主表面を平坦
化する第2工程、 又は該第1の主表面を平坦化後基板温度1100℃〜1
350℃における熱処理を行なう第2工程 (ハ)該直接接合用酸化膜を介して、該第1の半導体基
板と、該第1の半導体基板とは異なる第2の半導体基板
とを直接接合し、その後該第1の半導体基板の厚みを該
直接接合用酸化膜の一部が露出するまで、薄くし、該第
1の半導体基板の第2の主表面に該直接接合用酸化膜に
囲まれた素子形成領域を形成する第3工程
23. A method of manufacturing an element isolation semiconductor substrate, comprising at least the following steps. (A) A first step of preparing a first semiconductor substrate having first and second main surfaces and forming a plurality of grooves in a part of the first main surface (b) the first main surface An oxide film for direct bonding is formed on the substrate by organosilicon CVD, and the substrate temperature is 1100 ° C. to 135 °
A second step of flattening the first main surface after heat treatment at 0 ° C., or a substrate temperature of 1100 ° C. to 1 after flattening the first main surface
Second step of performing heat treatment at 350 ° C. (c) Directly bonding the first semiconductor substrate and a second semiconductor substrate different from the first semiconductor substrate through the direct bonding oxide film, Then, the thickness of the first semiconductor substrate is reduced until a part of the direct bonding oxide film is exposed, and the second main surface of the first semiconductor substrate is surrounded by the direct bonding oxide film. Third step of forming element formation region
JP30959096A 1995-11-21 1996-11-20 Shallow trench isolated semiconductor substrate and method of manufacturing the same Expired - Lifetime JP4420986B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30959096A JP4420986B2 (en) 1995-11-21 1996-11-20 Shallow trench isolated semiconductor substrate and method of manufacturing the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP7-302993 1995-11-21
JP30299395 1995-11-21
JP30959096A JP4420986B2 (en) 1995-11-21 1996-11-20 Shallow trench isolated semiconductor substrate and method of manufacturing the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006300064A Division JP2007073985A (en) 1995-11-21 2006-11-06 Semiconductor substrate having shallow trench isolation structure

Publications (2)

Publication Number Publication Date
JPH09205140A true JPH09205140A (en) 1997-08-05
JP4420986B2 JP4420986B2 (en) 2010-02-24

Family

ID=26563343

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30959096A Expired - Lifetime JP4420986B2 (en) 1995-11-21 1996-11-20 Shallow trench isolated semiconductor substrate and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP4420986B2 (en)

Cited By (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6524972B1 (en) 1999-03-17 2003-02-25 Canon Sales Co., Inc. Method for forming an interlayer insulating film, and semiconductor device
US6624041B2 (en) 1998-05-22 2003-09-23 Samsung Electronics Co., Ltd. Method for forming trench type isolation film using annealing
JP2005303044A (en) * 2004-04-13 2005-10-27 Elpida Memory Inc Manufacturing method of semiconductor device
JP2005340327A (en) * 2004-05-25 2005-12-08 Renesas Technology Corp Semiconductor device and its manufacturing method
US7129148B2 (en) 2000-08-15 2006-10-31 Seiko Epson Corporation Methods for manufacturing semiconductor devices and semiconductor devices having trench isolation regions
US7214595B2 (en) 2003-06-27 2007-05-08 Kabushiki Kaisha Toshiba Method of producing semiconductor devices
JP2009164384A (en) * 2008-01-08 2009-07-23 Renesas Technology Corp Method of manufacturing semiconductor device
JP4537618B2 (en) * 2001-06-07 2010-09-01 株式会社東芝 Semiconductor device and manufacturing method thereof
WO2013070436A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations

Cited By (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6624041B2 (en) 1998-05-22 2003-09-23 Samsung Electronics Co., Ltd. Method for forming trench type isolation film using annealing
US6524972B1 (en) 1999-03-17 2003-02-25 Canon Sales Co., Inc. Method for forming an interlayer insulating film, and semiconductor device
US7129148B2 (en) 2000-08-15 2006-10-31 Seiko Epson Corporation Methods for manufacturing semiconductor devices and semiconductor devices having trench isolation regions
JP4537618B2 (en) * 2001-06-07 2010-09-01 株式会社東芝 Semiconductor device and manufacturing method thereof
US7214595B2 (en) 2003-06-27 2007-05-08 Kabushiki Kaisha Toshiba Method of producing semiconductor devices
JP2005303044A (en) * 2004-04-13 2005-10-27 Elpida Memory Inc Manufacturing method of semiconductor device
JP2005340327A (en) * 2004-05-25 2005-12-08 Renesas Technology Corp Semiconductor device and its manufacturing method
JP2009164384A (en) * 2008-01-08 2009-07-23 Renesas Technology Corp Method of manufacturing semiconductor device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
WO2013070436A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch

Also Published As

Publication number Publication date
JP4420986B2 (en) 2010-02-24

Similar Documents

Publication Publication Date Title
JP4420986B2 (en) Shallow trench isolated semiconductor substrate and method of manufacturing the same
KR100246874B1 (en) Semiconductor element isolating method and device
US4507158A (en) Trench isolated transistors in semiconductor films
US6001706A (en) Method for making improved shallow trench isolation for semiconductor integrated circuits
CN1938831B (en) Methods of forming trench isolation regions
JP3058954B2 (en) Method of manufacturing semiconductor device having growth layer on insulating layer
US4729006A (en) Sidewall spacers for CMOS circuit stress relief/isolation and method for making
US20010042871A1 (en) Silicon-on-insulator islands
US5436190A (en) Method for fabricating semiconductor device isolation using double oxide spacers
US7705417B2 (en) Semiconductor device and method of fabricating isolation region
KR19990088324A (en) Process for manufacturing a semiconductor substrate as well as a semiconductor thin film, and multilayer substrate
US9831098B2 (en) Methods for fabricating integrated circuits using flowable chemical vapor deposition techniques with low-temperature thermal annealing
EP0202252B1 (en) Method for fabricating semiconductor devices and devices formed thereby
KR20060040711A (en) Solution to thermal budget
KR102626683B1 (en) Multilayer isolation structure for high voltage silicon-on-insulator device
JP3363496B2 (en) Semiconductor device and manufacturing method thereof
US6919260B1 (en) Method of manufacturing a substrate having shallow trench isolation
US6033991A (en) Isolation scheme based on recessed locos using a sloped Si etch and dry field oxidation
US20070102735A1 (en) Semiconductor device and method for manufacturing the semiconductor device
JP2007073985A (en) Semiconductor substrate having shallow trench isolation structure
CN116646315A (en) Isolated semiconductor layer in bulk wafer formed from localized silicon epitaxial seed
US5739575A (en) Dielectrically isolated substrate and method for manufacturing the same
JPH05304202A (en) Fabrication of semiconductor device
US7223698B1 (en) Method of forming a semiconductor arrangement with reduced field-to active step height
JPS59188936A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050308

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050506

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060808

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060905

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061106

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20070123

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20070216

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091015

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091202

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121211

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121211

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121211

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131211

Year of fee payment: 4

EXPY Cancellation because of completion of term