JPH0915864A - Resist, pattern forming method using it, silicon polymer composite, and manufacture of insulating film - Google Patents

Resist, pattern forming method using it, silicon polymer composite, and manufacture of insulating film

Info

Publication number
JPH0915864A
JPH0915864A JP8055029A JP5502996A JPH0915864A JP H0915864 A JPH0915864 A JP H0915864A JP 8055029 A JP8055029 A JP 8055029A JP 5502996 A JP5502996 A JP 5502996A JP H0915864 A JPH0915864 A JP H0915864A
Authority
JP
Japan
Prior art keywords
film
polysilane
resist
pattern
compound film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8055029A
Other languages
Japanese (ja)
Other versions
JP3629087B2 (en
Inventor
Shuji Hayase
修二 早瀬
Satoshi Mikoshiba
智 御子柴
Yoshihiko Nakano
義彦 中野
Rikako Kawada
利佳子 川田
Takashi Okino
剛史 沖野
Sawako Fujioka
佐和子 藤岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP05502996A priority Critical patent/JP3629087B2/en
Priority to US08/638,698 priority patent/US5962581A/en
Priority to KR1019960013334A priority patent/KR100199653B1/en
Publication of JPH0915864A publication Critical patent/JPH0915864A/en
Application granted granted Critical
Publication of JP3629087B2 publication Critical patent/JP3629087B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Silicon Polymers (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a resist consisting of a polysilane which can precisely form an alkali-developable fine pattern with high sensitivity, and a pattern forming method using this resist. SOLUTION: A resist consisting of a polysilane having a repeat unit represented by a formula is used to form a positive type pattern by alkali development. In the formula, Ar represents a substituted or non-substituted aryl group having 6-24 carbon atoms.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、ポジ型パターンを
形成することが可能なレジスト、及びこれを使用したパ
ターン形成方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a resist capable of forming a positive pattern and a pattern forming method using the resist.

【0002】また、本発明は、半導体装置や液晶表示装
置などの電子素子の製造に適用され得る絶縁膜を製造す
るためのケイ素ポリマー組成物、および絶縁膜の製造方
法に関する。
The present invention also relates to a silicon polymer composition for producing an insulating film applicable to the production of electronic elements such as semiconductor devices and liquid crystal display devices, and a method for producing the insulating film.

【0003】[0003]

【従来の技術】半導体素子、集積回路等の電子部品の製
作にはフォトエッチングによる微細加工技術が用いられ
ている。例えばシリコン単結晶ウェハ等にレジスト膜を
スピンコーティングにより形成させ、その上に所望のパ
ターンを有するマスクを重ね、露光、現像、リンス等を
行ないレジスト膜のパターンを形成した後、エッチング
により線形成や窓あけを行なっている。ここでの微細加
工技術において、製品の精度は、大部分が使用されるレ
ジストの性能、すなわち基板上での解像力、光感応性の
精度、基板との接着性あるいはエッチングに対する耐性
などに左右される。
2. Description of the Related Art Microfabrication technology by photoetching is used for manufacturing electronic parts such as semiconductor devices and integrated circuits. For example, a resist film is formed on a silicon single crystal wafer or the like by spin coating, a mask having a desired pattern is overlaid thereon, and exposure, development, and rinsing are performed to form a resist film pattern, and then line formation is performed by etching. We are opening windows. In the microfabrication technology used here, the accuracy of the product depends largely on the performance of the resist used, that is, the resolution on the substrate, the accuracy of the photosensitivity, the adhesion to the substrate or the resistance to etching. .

【0004】近年、このようなレジストとしてポリシラ
ンへの期待が高まっており、例えば特開昭60−228
542号にはポリシランを用いてポジ型パターンを形成
する技術が開示されている。これは、ポリシランのよう
なケイ素含有化合物からなるレジストでは、パターン形
成後の酸素リアクティブイオンエッチング(酸素RI
E)時にレジスト表面にSiO2 的な膜が形成されるた
め、耐酸素RIE性に優れるという特徴を有するからで
ある。
In recent years, expectations for polysilane as such a resist have been increasing, and for example, JP-A-60-228 is used.
No. 542 discloses a technique of forming a positive pattern using polysilane. This is because in a resist made of a silicon-containing compound such as polysilane, oxygen reactive ion etching (oxygen RI
This is because a film like SiO 2 is formed on the resist surface at the time of E), so that it has a feature of being excellent in oxygen RIE resistance.

【0005】しかしながら、ここではポリシランに化学
線を照射して揮発性の光解重合物を生成させ、生成した
光解重合物を揮発させることでパターンが形成されてお
り、このようなドライ現像で微細なパターンを精度よく
形成することは非常に困難である。さらに、従来のポリ
シランを用いたパターン形成においては、感度の点でも
いまだ十分ではないという問題点もあった。
However, here, a pattern is formed by irradiating polysilane with actinic rays to generate a volatile photodepolymerization product and volatilizing the generated photodepolymerization product, and such a dry development is performed. It is very difficult to accurately form a fine pattern. Further, in the conventional pattern formation using polysilane, there is a problem that the sensitivity is still insufficient.

【0006】一方、半導体装置や液晶表示装置の製造に
おいては、通常半導体素子、液晶表示素子の表面や配線
上等には、他の領域との絶縁性を確保するために絶縁膜
が形成されている。配線を被覆するための絶縁膜は、例
えばCVDによりケイ素化合物を堆積させたり、オルガ
ノシリカゾルなどを塗布後、加熱乾燥するなどの方法に
より形成される。
On the other hand, in the manufacture of a semiconductor device or a liquid crystal display device, an insulating film is usually formed on the surface of the semiconductor element or the liquid crystal display element or on the wiring in order to ensure insulation from other regions. There is. The insulating film for covering the wiring is formed by, for example, depositing a silicon compound by CVD, applying organosilica sol or the like, and then heating and drying.

【0007】場合によっては、配線上に形成された絶縁
膜をパターニングして、コンタクトホールを形成するこ
とが求められるが、このような方法で形成された絶縁膜
は、容易にパターニングすることができない。すなわ
ち、形成された絶縁膜上にレジストパターンを形成し、
このレジストパターンをエッチングマスクとして絶縁膜
をパターニングした後、レジストパターンを剥離しなけ
ればならない。このように工程が煩雑となるため、絶縁
膜パターンを安価に得ることができない。
In some cases, it is required to pattern the insulating film formed on the wiring to form the contact hole, but the insulating film formed by such a method cannot be easily patterned. . That is, a resist pattern is formed on the formed insulating film,
After patterning the insulating film using this resist pattern as an etching mask, the resist pattern must be removed. Since the process is complicated as described above, the insulating film pattern cannot be obtained at low cost.

【0008】これに対し、ポリシランの感光性を利用
し、ポリシランのパターンを形成した後、このパターン
を加熱絶縁化することで工程の簡略化を図る技術も提案
されている。すなわち、ポリシランは、紫外線を照射し
て露光を施すことにより、分子量が減少する性質を有し
ているため、ポリシラン膜を形成し、選択的に露光を施
した後、アルコール、ケトンなどの極性溶媒に選択的に
溶解して現像することによってパターンが形成される。
引き続いて、必要に応じてパターンに紫外線を照射した
後、加熱乾燥してポリシランをシロキサン化させ絶縁膜
パターンを得るというものである。
On the other hand, a technique has been proposed in which the photosensitivity of polysilane is used to form a polysilane pattern and then the pattern is heated and insulated to simplify the process. That is, since polysilane has a property that its molecular weight is reduced by exposing it to ultraviolet rays, it is possible to form a polysilane film and selectively expose it to a polar solvent such as alcohol or ketone. A pattern is formed by selectively dissolving and developing.
Subsequently, if necessary, the pattern is irradiated with ultraviolet rays, and then heated and dried to polysiloxane siloxane to obtain an insulating film pattern.

【0009】しかしながら、ここでのパターンは、ポリ
シランを加熱乾燥して得られる一次元状のシロキサンか
らなるものであるため、絶縁膜として使用するには耐熱
性等信頼性の面で問題があった。しかも、得られるパタ
ーンは、基板への十分な密着性を有するものではなかっ
However, since the pattern here is composed of one-dimensional siloxane obtained by heating and drying polysilane, there is a problem in reliability such as heat resistance when used as an insulating film. . Moreover, the obtained pattern did not have sufficient adhesion to the substrate.

【0010】[0010]

【発明が解決しようとする課題】上述したように、ポリ
シランをレジストとして用いたパターン形成が以前より
試みられているが、ポリシランからなるレジストの場合
微細なパターンを精度よく形成することが難しく、かつ
感度も不充分であることが多い。これに対し、アルカリ
現像でのパターン形成が可能となれば、パターン精度の
向上が期待できるが、一般にポリシランはアルカリ水溶
液に不溶であるため、アルカリ現像でのパターン形成を
行なうことができない。
As described above, pattern formation using polysilane as a resist has been attempted, but in the case of a resist made of polysilane, it is difficult to form a fine pattern with high accuracy, and The sensitivity is often insufficient. On the other hand, if pattern formation by alkali development is possible, improvement in pattern accuracy can be expected, but since polysilane is generally insoluble in an alkaline aqueous solution, pattern formation by alkali development cannot be performed.

【0011】また一方、ポリシランを用いて形成された
絶縁膜については、基板への密着性等の信頼性の点で、
いまだ満足できるものではない。
On the other hand, an insulating film formed of polysilane has the following problems in terms of reliability such as adhesion to a substrate.
I'm still not satisfied.

【0012】本発明はこれらの問題に鑑みて、アルカリ
現像が可能で微細なパターンを精度よく、しかも高い感
度で形成することができるポリシランを含有するレジス
ト、及びこのレジストを使用したパターン形成方法を提
供することを第1の目的としている。
In view of these problems, the present invention provides a polysilane-containing resist which can be developed with an alkali and can form a fine pattern with high accuracy and high sensitivity, and a pattern forming method using this resist. The first purpose is to provide.

【0013】また、本発明は、基板への密着性、耐熱性
に優れ、しかも高抵抗、高強度の絶縁膜を製造し得るケ
イ素ポリマー組成物を提供することを第2の目的として
いる。
A second object of the present invention is to provide a silicon polymer composition which is excellent in adhesion to a substrate and heat resistance and which can produce an insulating film having high resistance and high strength.

【0014】さらに、本発明は基板への密着性、耐熱性
に優れ、しかも高抵抗、高強度の絶縁膜を容易に形成す
ることが可能な方法を提供することを第3の目的として
いる。
A third object of the present invention is to provide a method capable of easily forming an insulating film having excellent adhesion to a substrate and heat resistance and having high resistance and high strength.

【0015】[0015]

【課題を解決するための手段】上記課題を達成するため
になされた第1の発明は、下記一般式(1)で表される
繰返し単位を有するポリシランからなるレジスト、及び
このポリシランを含有するレジスト膜を基板上に成膜す
る工程と、基板上のレジスト膜の所望の領域を露光する
工程と、露光後のレジスト膜をアルカリ水溶液で現像す
る工程からなるパターン形成方法である。すなわち第1
の発明のレジストは、下記一般式(1)で表される繰返
し単位を有するポリシランが用いられた点が特徴的であ
る。
The first invention made to achieve the above object is a resist comprising polysilane having a repeating unit represented by the following general formula (1), and a resist containing this polysilane. A pattern forming method comprising a step of forming a film on a substrate, a step of exposing a desired region of a resist film on the substrate, and a step of developing the exposed resist film with an aqueous alkaline solution. That is, the first
The resist of the invention is characterized in that polysilane having a repeating unit represented by the following general formula (1) is used.

【0016】[0016]

【化6】 [Chemical 6]

【0017】(ただし式中、Arは炭素数6〜24の置
換または非置換アリール基を示す。)第2の発明は、下
記一般式(2)で表される繰返し単位を有するポリシラ
ンと、SiO2 微粉末およびSiN微粉末の少なくとも
一方の微粉末とを含有することを特徴とするケイ素ポリ
マー組成物を提供する。
(In the formula, Ar represents a substituted or unsubstituted aryl group having 6 to 24 carbon atoms.) The second invention is a polysilane having a repeating unit represented by the following general formula (2), and SiO. There is provided a silicon polymer composition containing 2 fine powder and at least one fine powder of SiN fine powder.

【0018】[0018]

【化7】 Embedded image

【0019】(ただし式中、R1 は、置換または非置換
のアリール基、あるいは置換または非置換のアルキル基
を示す。) 第3の発明は、下記一般式(2)で表される繰返し単位
を有するポリシランを主体とした有機ケイ素化合物膜を
形成する成膜工程と、前記有機ケイ素化合物膜の所定の
領域に紫外線を照射する露光工程と、前記有機ケイ素化
合物膜の露光部に、SiO2 微粉末およびSiN微粉末
の少なくとも一方の微粉末を含浸させる工程と、前記微
粉末が含浸した有機ケイ素化合物膜を加熱乾燥する三次
元化工程と具備する絶縁膜の形成方法を提供する。
(In the formula, R 1 represents a substituted or unsubstituted aryl group or a substituted or unsubstituted alkyl group.) The third invention is a repeating unit represented by the following general formula (2). A step of forming an organosilicon compound film mainly containing polysilane, an exposure step of irradiating a predetermined region of the organosilicon compound film with ultraviolet rays, and an SiO 2 microscopic film on the exposed part of the organosilicon compound film. There is provided a method for forming an insulating film, which comprises a step of impregnating at least one of powder and SiN fine powder, and a three-dimensional step of heating and drying the organosilicon compound film impregnated with the fine powder.

【0020】[0020]

【化8】 Embedded image

【0021】(ただし式中、R1 は置換または非置換の
アリール基、または置換または非置換のアルキル基を示
す。) 以下、本発明を詳細に説明する。
(In the formula, R 1 represents a substituted or unsubstituted aryl group or a substituted or unsubstituted alkyl group.) The present invention will be described in detail below.

【0022】第1の発明のレジストにおいては、光、電
子線、X線等のエネルギー線を照射することで、ポリシ
ランの側鎖の一方に導入されている水素原子が水酸基に
変化してSi−OH結合が生成する。またポリシランの
Si−Si主鎖についても、上述したようなエネルギー
線を吸収して分解した後、大気中やレジストの塗膜中の
酸素や水分を取り込んでSi−OH結合を生成し得る。
In the resist of the first invention, by irradiating with energy rays such as light, electron beam and X-ray, the hydrogen atom introduced into one of the side chains of polysilane is changed to a hydroxyl group and Si- An OH bond is formed. The Si-Si main chain of polysilane can also absorb the above-mentioned energy rays and decompose, and then take in oxygen and water in the atmosphere or in the coating film of the resist to form a Si-OH bond.

【0023】ここで、第1の発明のようにポリシランの
側鎖の他方にアリール基が導入されていると、こうして
生成したシラノール性水酸基の酸性度が非常に高く、ア
ルカリ水溶液に対し大きな溶解性を示す。しかも側鎖に
アルキル基が導入されている場合に比べて、生成したシ
ラノール性水酸基が相互に反応し難く、互いに架橋等さ
れることなく安定化する。従って、シラノール性水酸基
を有することのないポリシランからなる未露光部と露光
部でアルカリ水溶液に対する溶解性が全く異なるため、
アルカリ現像で露光部を選択的に溶解除去してポジ型パ
ターンを得ることが可能となる。
Here, when the aryl group is introduced into the other side chain of the polysilane as in the first aspect of the invention, the silanol hydroxyl group thus produced has a very high acidity and a large solubility in an alkaline aqueous solution. Indicates. Moreover, compared to the case where an alkyl group is introduced into the side chain, the generated silanol hydroxyl groups are less likely to react with each other and are stabilized without being crosslinked with each other. Therefore, the solubility in the alkaline aqueous solution is completely different between the unexposed portion and the exposed portion, which are made of polysilane that does not have a silanol hydroxyl group,
It is possible to selectively dissolve and remove the exposed portion by alkali development to obtain a positive pattern.

【0024】しかも第1の発明のレジストにおいては、
未露光部のポリシランが本質的にアルカリ水溶液に不溶
であるので、必ずしもエネルギー線を照射した際にポリ
シランのSi−Si主鎖を分解せしめ、露光部と未露光
部でその分子量に差異を生じさせる必要はない。このた
め、上述したようなパターンを特に高い感度で形成する
ことができる。
Moreover, in the resist of the first invention,
Since the polysilane in the unexposed area is essentially insoluble in the alkaline aqueous solution, the Si-Si main chain of the polysilane is not always decomposed when irradiated with energy rays, and the difference in the molecular weight between the exposed area and the unexposed area is caused. No need. Therefore, the pattern as described above can be formed with particularly high sensitivity.

【0025】第1の発明におけるポリシランの側鎖に導
入されるアリール基としては、フェニル基、ナフチル
基、アントラニル基等が例示される。またこのようなポ
リシランは、ホモポリマーであってもコポリマーであっ
てもよく、一般式(1)で表される繰返し単位以外の繰
返し単位とのコポリマーであってもよい。ただしコポリ
マーの場合、一般式(1)で表される繰返し単位が30
%以上、さらには50%以上含有されることが好まし
い。ポリシランの分子量については特に限定されない
が、分子量が500〜100,000さらには1,00
0〜10,000のものが好ましい。何となれば、ポリ
シランの分子量が低すぎると耐久性の十分な塗膜を形成
することが困難となり、逆にポリシランの分子量が高す
ぎると溶媒に対する溶解性が低下して、塗膜の形成等が
煩雑となる傾向がある。ここで、第1の発明で用いられ
得るポリシランの具体例を示す。
Examples of the aryl group introduced into the side chain of the polysilane in the first invention include a phenyl group, a naphthyl group and an anthranyl group. Further, such a polysilane may be a homopolymer or a copolymer, and may be a copolymer with a repeating unit other than the repeating unit represented by the general formula (1). However, in the case of a copolymer, the repeating unit represented by the general formula (1) is 30
% Or more, more preferably 50% or more. The molecular weight of the polysilane is not particularly limited, but the molecular weight is 500 to 100,000, and further 1.00
The thing of 0-10,000 is preferable. If the molecular weight of the polysilane is too low, it is difficult to form a coating film with sufficient durability, and if the molecular weight of the polysilane is too high, the solubility in a solvent decreases, and the formation of a coating film, etc. It tends to be complicated. Here, specific examples of the polysilane that can be used in the first invention will be shown.

【0026】[0026]

【化9】 Embedded image

【0027】[0027]

【化10】 Embedded image

【0028】[0028]

【化11】 Embedded image

【0029】[0029]

【化12】 Embedded image

【0030】[0030]

【化13】 Embedded image

【0031】式中、n,m,kは重合度を示し、PSは
ポリシラン骨格である。
In the formula, n, m and k indicate the degree of polymerization, and PS is a polysilane skeleton.

【0032】またこのようなポリシランは、ナトリウム
触媒共存下でRSiHCl2 の還元的カップリング反
応、電解重合等により合成され得る。なお上述した通り
コポリマーを合成してもよく、例えば還元的カップリン
グ反応により合成する場合、R´R″SiCl2 (R
´、R″は置換または非置換炭化水素基を示す)と共重
合させればよい。さらに重合度を制御する観点から、R
SiHACl(Aは末端基を示す)等を適宜共重合させ
てもよい。ただし、還元的カップリング反応でポリシラ
ンを合成すると、ナトリウム触媒がイオン性不純物とし
てポリシラン中に残留されるおそれがある。
Further, such a polysilane can be synthesized by a reductive coupling reaction of RSiHCl 2 in the presence of a sodium catalyst, electrolytic polymerization and the like. The copolymer may be synthesized as described above. For example, when the copolymer is synthesized by a reductive coupling reaction, R′R ″ SiCl 2 (R
′ And R ″ represent a substituted or non-substituted hydrocarbon group.) Further, from the viewpoint of controlling the degree of polymerization, R
SiHACl (A represents a terminal group) or the like may be appropriately copolymerized. However, when polysilane is synthesized by a reductive coupling reaction, the sodium catalyst may remain in the polysilane as ionic impurities.

【0033】一方上述したようなポリシランは、チタン
あるいはジルコニウム触媒共存下でのRSiH3 の脱水
素反応で合成することも可能である。このとき、特にジ
ルコニウム触媒を用いた脱水素反応を経て合成されたポ
リシランは、イオン性不純物が全く含有されないばかり
か、ポリマー末端でケイ素原子と結合したシラノール性
水酸基が生成されることもなく、レジストとしてアルカ
リ現像で微細なパターンを形成するうえで非常に有利と
なる。
On the other hand, the above-mentioned polysilane can be synthesized by the dehydrogenation reaction of RSiH 3 in the presence of a titanium or zirconium catalyst. At this time, in particular, the polysilane synthesized through the dehydrogenation reaction using a zirconium catalyst does not contain any ionic impurities, and the silanol-containing hydroxyl group bonded to the silicon atom at the polymer terminal is not generated, and the resist As a result, it is very advantageous in forming a fine pattern by alkali development.

【0034】第1の発明においては、上述したようなポ
リシランとポリシランの架橋剤とからなるレジストを調
製することで、このレジストを使用してパターンを形成
した後パターン中のポリシランを架橋させ、得られたパ
ターンの強度、耐熱性等の向上を図ることもできる。こ
こでの架橋剤としては、ポリシランの側鎖に導入されて
いる水素原子と熱的に反応し得る化合物、具体的にはテ
トラビニルシランやフェニレンジアルデヒド等分子中に
不飽和基を2個以上有する不飽和化合物が用いられ得
る。このとき不飽和化合物は、ポリシランに対し1wt
%以上程度配合されればよいが、配合量が多すぎるとポ
リシランの架橋に寄与しない成分が増加して逆にパター
ンの耐熱性が低下するおそれがあるため、不飽和化合物
の配合量の上限は30wt%とすることが好ましい。
In the first invention, a resist comprising the above-mentioned polysilane and a cross-linking agent for polysilane is prepared, and a pattern is formed using this resist, and then the polysilane in the pattern is cross-linked to obtain a resist. The strength and heat resistance of the formed pattern can be improved. As the crosslinking agent, a compound capable of thermally reacting with a hydrogen atom introduced into the side chain of polysilane, specifically, having at least two unsaturated groups in a molecule such as tetravinylsilane or phenylenedialdehyde Unsaturated compounds may be used. At this time, the unsaturated compound is 1 wt% with respect to the polysilane.
%, But if the blending amount is too large, the components that do not contribute to the crosslinking of the polysilane may increase and conversely the heat resistance of the pattern may decrease, so the upper limit of the blending amount of the unsaturated compound is It is preferably set to 30 wt%.

【0035】さらに第1の発明のレジストにおいて、上
述したような不飽和化合物がポリシランに配合される場
合、ポリシランと不飽和化合物との反応を促進し得るラ
ジカル発生剤やイオン性触媒が架橋剤として通常併用さ
れる。このとき用いることのできるラジカル発生剤とし
ては、アゾビスイソブチロニトリル、ベンゾイルペルオ
キシド、ジクミルペルオキシド、トリフェニルt−ブチ
ルペルオキシド等が挙げられ、イオン性触媒としては塩
化白金酸、塩化白金酸に不飽和基が配位した錯体等が例
示される。またこれらの配合量は、ラジカル発生剤で1
〜30wt%、イオン性触媒で0.1〜5wt%に設定
されることが望ましい。何となれば、ラジカル発生剤や
イオン性触媒の配合量が少なすぎるとポリシランと不飽
和化合物との反応が進行し難く、逆に配合量が多すぎる
とかえってパターンの耐熱性が低下するおそれがあるか
らである。
Further, in the resist of the first invention, when the above-mentioned unsaturated compound is blended with polysilane, a radical generator or an ionic catalyst capable of promoting the reaction between polysilane and the unsaturated compound serves as a crosslinking agent. Usually used together. Radical generators that can be used at this time include azobisisobutyronitrile, benzoyl peroxide, dicumyl peroxide, triphenyl t-butyl peroxide, and the like. Ionic catalysts include chloroplatinic acid and chloroplatinic acid. Examples thereof include complexes in which unsaturated groups are coordinated. Moreover, the blending amount of these is 1 for the radical generator.
It is desirable to set it to ˜30 wt%, and 0.1 to 5 wt% with an ionic catalyst. What happens is that the reaction between the polysilane and the unsaturated compound is difficult to proceed when the amount of the radical generator or the ionic catalyst is too small, and conversely, when the amount is too large, the heat resistance of the pattern may be deteriorated. Because.

【0036】また第1の発明では、上述したようなポリ
シランとアルカリ可溶性の有機化合物を配合してレジス
トを調製することも可能である。この場合、アルカリ水
溶液に不溶であるポリシランがアルカリ可溶性の有機化
合物に対する溶解抑止剤となる一方、エネルギー線が照
射されるとポリシランはシラノール性水酸基を生成し
て、アルカリ水溶液に対し可溶化する。従ってアルカリ
現像で露光部が選択的に溶解除去されて、ポジ型パター
ンが形成され得る。
In the first invention, it is also possible to blend the polysilane as described above and an alkali-soluble organic compound to prepare a resist. In this case, while polysilane which is insoluble in the alkaline aqueous solution serves as a dissolution inhibitor for the alkali-soluble organic compound, when irradiated with an energy ray, the polysilane forms silanol hydroxyl groups and is solubilized in the alkaline aqueous solution. Therefore, the exposed portion can be selectively dissolved and removed by alkali development to form a positive pattern.

【0037】このようなアルカリ可溶性の有機化合物と
しては、フェノール性水酸基やカルボン酸残基を有する
オリゴマーやポリマーを用いることができ、例えばフェ
ノール、o−クロロフェノール、m−クロロフェノー
ル、p−クロロフェノール、m−クレゾ−ル、p−クレ
ゾ−ル、ビスフェノールA、4−クロロ−3−クレゾー
ル等のフェノール類とホルムアルデヒドとの縮合によっ
て得られるノボラック樹脂、ポリ(p−ビニルフェノー
ル)、ポリ(p−イソプロペニルフェノール)、ポリ
(m−イソプロペニルフェノール)、p−ヒドロキシス
チレンとメタクリル酸メチルとの共重合体、p−ヒドロ
キシスチレンとアクリル酸メチルとの共重合体、p−イ
ソプロペニルフェノールとアクリル酸メチルとの共重合
体、p−ヒドロキシスチレンとメタクリル酸との共重合
体、ポリアミック酸等が挙げられる。ここで、第1の発
明において用いられ得るアルカリ可溶性の有機化合物の
具体例を示す。
As such an alkali-soluble organic compound, an oligomer or polymer having a phenolic hydroxyl group or a carboxylic acid residue can be used. For example, phenol, o-chlorophenol, m-chlorophenol, p-chlorophenol. , M-cresol, p-cresol, bisphenol A, novolac resin obtained by condensation of formaldehyde with phenol such as 4-chloro-3-cresol, poly (p-vinylphenol), poly (p- Isopropenylphenol), poly (m-isopropenylphenol), copolymer of p-hydroxystyrene and methyl methacrylate, copolymer of p-hydroxystyrene and methyl acrylate, p-isopropenylphenol and acrylic acid Copolymer with methyl, p-hydroxys Copolymers of alkylene and methacrylic acid, and the polyamic acid. Here, specific examples of the alkali-soluble organic compound that can be used in the first invention will be shown.

【0038】[0038]

【化14】 Embedded image

【0039】[0039]

【化15】 Embedded image

【0040】式中、nは重合度を示す。In the formula, n represents the degree of polymerization.

【0041】第1の発明において、ポリシランとアルカ
リ可溶性の有機化合物を配合してレジストが調製される
場合、アルカリ可溶性の有機化合物の配合量が95wt
%以下に設定されることが好ましい。これは、アルカリ
可溶性の有機化合物の配合量が多すぎるとレジストの感
度が低下する傾向があるためである。なお、アルカリ可
溶性の有機化合物の性能をレジストに対し充分に付与す
るうえでは、アルカリ可溶性の有機化合物の配合量を
0.01wt%以上とすることが望まれる。
In the first invention, when the resist is prepared by blending polysilane and the alkali-soluble organic compound, the blending amount of the alkali-soluble organic compound is 95 wt.
It is preferable to set it to be not more than%. This is because if the amount of the alkali-soluble organic compound is too large, the sensitivity of the resist tends to decrease. In order to sufficiently impart the performance of the alkali-soluble organic compound to the resist, it is desirable that the blending amount of the alkali-soluble organic compound be 0.01 wt% or more.

【0042】第1の発明のレジストは、通常上述したポ
リシランと必要に応じ配合されるポリシランの架橋剤や
アルカリ可溶性の有機化合物を、適切な有機溶媒に溶解
させることにより調製される。ここでの有機溶媒として
は、具体的にはトルエン、キシレン、ジメチルホルムア
ミド、ジメチルアセトアミド、メチルセロソルブ、o−
ジクロロベンゼン、クロロホルム、エタノール、i−プ
ロピルアルコール、シクロペンタノン、シクロヘキサノ
ン、エチルセロソルブアセテート、アセトン、メチルエ
チルケトン、酢酸エチル、酢酸ブチル等が例示され、こ
れらは単独または混合物の形で用いることができる。
The resist of the first invention is usually prepared by dissolving the above-mentioned polysilane and a polysilane crosslinking agent, which is optionally blended, and an alkali-soluble organic compound in an appropriate organic solvent. Specific examples of the organic solvent here include toluene, xylene, dimethylformamide, dimethylacetamide, methylcellosolve, and o-.
Dichlorobenzene, chloroform, ethanol, i-propyl alcohol, cyclopentanone, cyclohexanone, ethyl cellosolve acetate, acetone, methyl ethyl ketone, ethyl acetate, butyl acetate and the like are exemplified, and these can be used alone or in the form of a mixture.

【0043】次に第1の発明のレジストを使用したパタ
ーン形成方法について説明する。まず、上述したように
調製されたレジスト液を所定の基板上に塗布する。次
に、得られた塗膜をプリベークすることによって、有機
溶媒をある程度蒸発させレジスト膜を成膜する。次いで
レジスト膜表面上に、所望のマスクパターンを介して紫
外線、KrFエキシマレーザ光、電子線、X線等のエネ
ルギー線を照射する。なおエネルギー線としては、波長
400nm以下の紫外線が好ましく、照射量は0.1m
J/cm2 〜5J/cm2 程度に設定されることが望ま
れる。またこのとき、密着露光、投影露光のいずれの方
式も採用することができる。
Next, a pattern forming method using the resist of the first invention will be described. First, the resist solution prepared as described above is applied onto a predetermined substrate. Next, by prebaking the obtained coating film, the organic solvent is evaporated to some extent to form a resist film. Then, the surface of the resist film is irradiated with energy rays such as ultraviolet rays, KrF excimer laser light, electron beams and X-rays through a desired mask pattern. As the energy rays, ultraviolet rays having a wavelength of 400 nm or less are preferable, and the irradiation dose is 0.1 m.
It is desirable to be set to about J / cm 2 ~5J / cm 2 . At this time, any of the contact exposure and the projection exposure can be adopted.

【0044】ここで露光部のポリシランは、上述した通
りシラノール性水酸基を生成してアルカリ水溶液に対し
可溶化しているので、続いてアルカリ現像を行なうこと
でレジスト膜の露光部が選択的に溶解除去され、ポジ型
パターンが形成される。このとき、アルカリ水溶液とし
てはテトラメチルアンモニウムヒドロキシド、コリン等
の有機アルカリ水溶液や水酸化カリウム、水酸化ナトリ
ウム等の無機アルカリ水溶液を用いればよく、浸漬法、
スプレー法等の方式を採用することができる。なお、こ
こでは、アルカリ水溶液の代わりにフッ酸水溶液を用い
てポジ型パターンを形成することも可能である。
Since the polysilane in the exposed portion produces silanol hydroxyl groups and is solubilized in the alkaline aqueous solution as described above, the exposed portion of the resist film is selectively dissolved by subsequent alkali development. Then, the positive pattern is formed. At this time, as the alkaline aqueous solution, an organic alkaline aqueous solution such as tetramethylammonium hydroxide or choline, or an inorganic alkaline aqueous solution such as potassium hydroxide or sodium hydroxide may be used.
A method such as a spray method can be adopted. Here, it is also possible to form a positive pattern using a hydrofluoric acid aqueous solution instead of the alkaline aqueous solution.

【0045】また上述したような第1の発明のパターン
形成方法は、以下に示す多層レジストシステムに特に好
ましく適用される。すなわちこの場合は、まず基板上に
平坦化剤を塗布した後、通常50〜250℃好ましくは
80〜220℃で0.5〜120分好ましくは1〜90
分乾燥させ、所望の厚さの平坦化層を形成する。ここに
用いる基板としては、例えばシリコンウェハ、表面に各
種の絶縁膜や電極、配線等が形成され段差を有するシリ
コンウェハ、ブランクマスク等を挙げることができる。
また平坦化剤は、半導体集積回路等の製造において支障
を生じない程度の純度を有するものであればいかなるも
のでもよい。このような平坦化剤としては、例えば置換
ナフトキノンジアジドとノボラック樹脂からなるポジ型
レジスト、ポリスチレン、ポリメチルメタクリレート、
ポリビニルフェノール、ノボラック樹脂、ポリエステ
ル、ポリビニルアルコール、ポリエチレン、ポリプロピ
レン、ポリイミド、ポリブタジエン、ポリ酢酸ビニル及
びポリビニルブチラール等を挙げることができる。これ
らの樹脂は、単独または混合物の形で用いられる。
The pattern forming method of the first invention as described above is particularly preferably applied to the multilayer resist system shown below. That is, in this case, first, a flattening agent is applied on the substrate and then usually at 50 to 250 ° C., preferably 80 to 220 ° C. for 0.5 to 120 minutes, preferably 1 to 90.
It is dried for a minute to form a flattening layer having a desired thickness. Examples of the substrate used here include a silicon wafer, a silicon wafer having various insulating films, electrodes, wirings and the like formed on the surface thereof and having a step, a blank mask, and the like.
Further, the flattening agent may be any material as long as it has a purity that does not cause a problem in the manufacture of a semiconductor integrated circuit or the like. Examples of such a flattening agent include a positive resist made of substituted naphthoquinonediazide and a novolak resin, polystyrene, polymethylmethacrylate,
Examples thereof include polyvinyl phenol, novolac resin, polyester, polyvinyl alcohol, polyethylene, polypropylene, polyimide, polybutadiene, polyvinyl acetate and polyvinyl butyral. These resins are used alone or in the form of a mixture.

【0046】次いで得られた平坦化層上に、レジスト液
を塗布した後、50〜200℃好ましくは80〜120
℃で0.5〜120分好ましくは1〜60分プリベーク
して所望の厚さのレジスト膜を成膜する。なお、ここで
のレジスト液の塗布方法としては、スピナーを用いた回
転塗布法、浸漬法、噴霧法、印刷法等を採用することが
できる。またレジスト膜の厚さは、塗布方法、レジスト
液中のポリシラン濃度、粘度等により任意に調整するこ
とが可能である。
Then, a resist solution is applied onto the flattening layer thus obtained, and then the temperature is 50 to 200 ° C., preferably 80 to 120.
Prebaking is performed at 0.5 ° C. for 0.5 to 120 minutes, preferably 1 to 60 minutes to form a resist film having a desired thickness. Here, as a method of applying the resist liquid, a spin coating method using a spinner, a dipping method, a spraying method, a printing method, or the like can be adopted. Further, the thickness of the resist film can be arbitrarily adjusted depending on the coating method, the polysilane concentration in the resist solution, the viscosity, and the like.

【0047】続いてこのレジスト膜に対し、上述した通
りの露光、現像を行ないポジ型パターンを形成した後、
必要に応じ50〜200℃、0.5〜120分程度の熱
処理好ましくはステップベークを施す。こうした熱処理
を施すことで、第1の発明のレジストにおいてポリシラ
ンの架橋剤が配合されていると、パターン中のポリシラ
ンのケイ素原子が架橋剤を介し結合してポリシランの架
橋が進行するので、パターンの強度、耐熱性等が向上す
る。またポリシランの架橋剤が配合されていない場合で
も、大気中等の酸素を取り込んでポリシランのケイ素原
子が酸素原子を介して結合し得るので、ポリシランの架
橋に基づくパターンの強度、耐熱性等の向上が期待でき
る。
Subsequently, the resist film is exposed and developed as described above to form a positive pattern,
If necessary, heat treatment at 50 to 200 ° C. for about 0.5 to 120 minutes, preferably step baking is performed. By performing such a heat treatment, when a polysilane crosslinking agent is blended in the resist of the first invention, the silicon atoms of the polysilane in the pattern are bonded through the crosslinking agent and the crosslinking of the polysilane progresses. Strength, heat resistance, etc. are improved. Even when a polysilane cross-linking agent is not blended, since the silicon atoms of the polysilane can be bonded via oxygen atoms by taking in oxygen in the air or the like, the strength of the pattern based on the cross-linking of the polysilane, improvement in heat resistance, etc. Can be expected.

【0048】さらにこのとき、上述したような熱処理を
施す前に形成されたパターンを露光し、ポリシランの光
酸化、ラジカル発生剤からのラジカル生成等を予め誘起
させて、熱処理時のポリシランの架橋の進行を助長させ
てもよい。ここでもポリシランの架橋剤は特に配合され
ていなくても、ポリシランのケイ素原子は大気中等の酸
素を取り込んだうえで三次元的に強固に架橋し得る。し
かもこうした露光を行なうことで、ポリシランの主鎖を
切断した際に、ケイ素原子を酸素原子を介して再結合せ
しめ、極めて強度、耐熱性等の優れたガラスマトリック
スを形成することができるので、結果として得られたガ
ラスマトリックスのパターンをそのまま表面保護膜、絶
縁膜等として利用することも可能となる。
Further, at this time, the pattern formed before the heat treatment as described above is exposed to pre-induce photooxidation of polysilane, radical generation from a radical generator, etc. to crosslink the polysilane during the heat treatment. You may encourage progress. Here, even if the crosslinking agent for polysilane is not particularly blended, the silicon atom of polysilane can strongly crosslink three-dimensionally after taking in oxygen in the atmosphere or the like. Moreover, by performing such exposure, when the main chain of polysilane is cut, silicon atoms are recombined through oxygen atoms, and a glass matrix having excellent strength and heat resistance can be formed. The glass matrix pattern obtained as above can be used as it is as a surface protective film, an insulating film, or the like.

【0049】次に、形成されたパターンをマスクとして
露出する平坦化層を酸素ガスプラズマまたは適当な溶媒
を用いてエッチングする。このとき、より好ましいのは
酸素ガスプラズマを用いた酸素リアクティブイオンエッ
チング法(酸素RIE法)であり、通常1×10-4〜1
×10-1Torr、0.01〜10w/cm2 で1〜1
20分間処理する。ここで、第1の発明のレジストを使
用して形成されたパターンは、酸素RIEに曝されるこ
とによって、表面層に二酸化ケイ素(SiO2)または
それに類似した膜が形成され、露出した平坦化層の10
〜100倍の耐酸素RIE性を有するようになる。この
ため、パターンから露出した平坦化層部分が酸素RIE
法により選択的に除去され、最適なパターンプロファイ
ルが得られる。
Next, the flattening layer exposed by using the formed pattern as a mask is etched using oxygen gas plasma or a suitable solvent. At this time, an oxygen reactive ion etching method (oxygen RIE method) using oxygen gas plasma is more preferable, and is usually 1 × 10 −4 to 1
× 10 −1 Torr, 0.01 to 10 w / cm 2 and 1-1
Treat for 20 minutes. Here, the pattern formed by using the resist of the first invention is exposed to oxygen RIE to form silicon dioxide (SiO 2 ) or a film similar thereto on the surface layer, and the exposed planarization is performed. 10 layers
-100 times more resistant to oxygen RIE. Therefore, the flattening layer portion exposed from the pattern is oxygen RIE.
The pattern is selectively removed by the method to obtain the optimum pattern profile.

【0050】こうして得られたパターンをマスクとし
て、最後に基板のエッチングを行なう。このときのエッ
チング方法としては、例えばウェットエッチング法やド
ライエッチング法が採用されるが、3μm以下の微細な
パターンを形成する場合にはドライエッチング法が好ま
しい。ウェットエッチング剤としては、シリコン酸化膜
をエッチング対象とする場合にはフッ酸水溶液、フッ化
アンモニウム水溶液等が、アルミニウムをエッチング対
象とする場合には、リン酸水溶液、酢酸水溶液、硝酸水
溶液等が、クロム系膜をエッチング対象とする場合には
硝酸セリウムアンモニウム水溶液等が夫々用いられる。
ドライエッチング用ガスとしては、CF4,C2 6
CCl4 ,BCl3 ,Cl2 ,HCl,H2 等を挙げる
ことができる。必要に応じてこれらのガスは組合わせて
使用される。エッチングの条件としては、微細パターン
が形成される物質の種類と用いられたレジストとの組合
わせに基づいて、反応槽内のウェットエッチング剤の濃
度、ドライエッチング用ガスの濃度、反応温度、反応時
間等を決定するが、特にその方法等に制限されない。
Finally, the substrate is etched by using the pattern thus obtained as a mask. As an etching method at this time, for example, a wet etching method or a dry etching method is adopted, but when a fine pattern of 3 μm or less is formed, the dry etching method is preferable. As the wet etching agent, an aqueous solution of hydrofluoric acid, an aqueous solution of ammonium fluoride, etc. when the silicon oxide film is an etching target, an aqueous solution of phosphoric acid, an aqueous acetic acid solution, an aqueous nitric acid solution, etc. when the aluminum is an etching target, When the chromium-based film is the etching target, an aqueous solution of cerium ammonium nitrate or the like is used.
As the dry etching gas, CF 4 , C 2 F 6 ,
CCl 4 , BCl 3 , Cl 2 , HCl, H 2 and the like can be mentioned. These gases are used in combination as required. As the etching conditions, the concentration of the wet etching agent in the reaction tank, the concentration of the dry etching gas, the reaction temperature, and the reaction time are based on the combination of the type of material used to form the fine pattern and the resist used. Etc., but the method is not particularly limited.

【0051】上述したようなエッチング後には、基板上
に残存する平坦化層及び第1の発明のレジストを使用し
て形成されたパターンを、例えばナガセ化成社製商品
名:J−100等の剥離剤、酸素ガスプラズマ等によっ
て除去する。
After the etching as described above, the pattern formed by using the flattening layer remaining on the substrate and the resist of the first invention is peeled off by, for example, Nagase Kasei's trade name: J-100. Remove with chemicals, oxygen gas plasma, etc.

【0052】なお以上の工程以外に、その目的に応じて
さらに工程を付加することも何等差支えない。例えば、
第1の発明のレジストからなるレジスト膜と平坦化層ま
たは平坦化層と基板との密着性を向上させる目的から各
液の塗布前に行なう前処理工程、レジスト膜の現像後に
現像液を除去する目的で行なうリンス工程、ドライエッ
チングの前に行なう紫外線の再照射工程等を挙げること
ができる。また、以上は第1の発明のレジストを多層レ
ジストシステムに適用する場合について示したが、第1
の発明のレジストは従来の単層レジストに適用すること
も可能である。
It should be noted that, in addition to the above steps, it does not matter at all that additional steps may be added depending on the purpose. For example,
For the purpose of improving the adhesion between the resist film made of the resist of the first invention and the flattening layer or the flattening layer and the substrate, a pretreatment step performed before the application of each liquid, and the developer removed after the development of the resist film Examples include a rinsing step performed for the purpose and an ultraviolet ray re-irradiation step performed before dry etching. The case where the resist of the first invention is applied to the multilayer resist system has been described above.
The resist of the present invention can be applied to a conventional single layer resist.

【0053】次に、第2の発明について詳細に説明す
る。
Next, the second invention will be described in detail.

【0054】第2の発明のケイ素ポリマー組成物におい
て使用され得るポリシランは、下記一般式(2)で表さ
れる繰返し単位を有する化合物である。
The polysilane which can be used in the silicon polymer composition of the second invention is a compound having a repeating unit represented by the following general formula (2).

【0055】[0055]

【化16】 Embedded image

【0056】(ただし式中、R1 は置換または非置換の
アリール基、または置換または非置換のアルキル基を示
す。) このポリシランの側鎖に導入されるアリール基として
は、フェニル基、ナフチル基、アントラニル基等が例示
され、また、アルキル基としては、例えば、メチル基、
エチル基、プロピル基、およびヘキシル基等が挙げられ
る。このようなポリシランは、ホモポリマーであっても
コポリマーであってもよく、一般式(2)で表される繰
返し単位以外の繰返し単位とのコポリマーであってもよ
い。ただし、コポリマーの場合、一般式(2)で表され
る繰返し単位が30%以上、さらには50%以上含有さ
れることが好ましい。ポリシランの分子量については特
に限定されないが、分子量が500〜100,000さ
らには1,000〜10,000のものが好ましい。何
となれば、ポリシランの分子量が500未満であると、
膜質の良好な塗膜の形成が困難となり、一方、前記ポリ
シランの分子量が100,000を越えると、溶媒可溶
性が低下するおそれがある。ここで、第2の発明のケイ
素ポリマー組成物においては、前述の第1の発明で用い
られ得るポリシランに加えて、以下のようなポリシラン
を使用することができる。
(In the formula, R 1 represents a substituted or unsubstituted aryl group or a substituted or unsubstituted alkyl group.) The aryl group introduced into the side chain of this polysilane includes a phenyl group and a naphthyl group. , Anthranyl group and the like, and the alkyl group includes, for example, a methyl group,
Examples thereof include an ethyl group, a propyl group and a hexyl group. Such polysilane may be a homopolymer or a copolymer, and may be a copolymer with a repeating unit other than the repeating unit represented by the general formula (2). However, in the case of a copolymer, it is preferable that the repeating unit represented by the general formula (2) is contained in an amount of 30% or more, further 50% or more. The molecular weight of the polysilane is not particularly limited, but those having a molecular weight of 500 to 100,000, more preferably 1,000 to 10,000 are preferable. If the molecular weight of polysilane is less than 500,
It becomes difficult to form a coating film having good film quality. On the other hand, if the molecular weight of the polysilane exceeds 100,000, the solubility of the solvent may decrease. Here, in the silicon polymer composition of the second invention, the following polysilane can be used in addition to the polysilane that can be used in the above-mentioned first invention.

【0057】[0057]

【化17】 Embedded image

【0058】[0058]

【化18】 Embedded image

【0059】[0059]

【化19】 Embedded image

【0060】式中、n,m,kは重合度を示し、PSは
ポリシラン骨格である。
In the formula, n, m and k indicate the degree of polymerization, and PS is a polysilane skeleton.

【0061】なお、上記一般式(2)において、R1
フェニル基のような置換もしくは非置換のアリール基が
導入されている場合には、第2の発明のケイ素ポリマー
組成物を用いて形成される絶縁膜に適度な柔軟性を付与
して、クラック等の発生を確実に防止できるので好まし
い。ただし、第2の発明では、ポリシラン単独の場合に
比べ、SiO2 微粉末および/またはSiN微粉末を配
合することで絶縁膜の耐クラック性が高められるため、
1 が置換もしくは非置換のアルキル基であっても特に
差し支えない。
In the above general formula (2), when a substituted or unsubstituted aryl group such as a phenyl group is introduced into R 1 , it is formed by using the silicon polymer composition of the second invention. It is preferable because the insulating film to be formed can be provided with appropriate flexibility and the occurrence of cracks can be surely prevented. However, in the second invention, the crack resistance of the insulating film is improved by adding SiO 2 fine powder and / or SiN fine powder, as compared with the case of using polysilane alone.
It does not matter if R 1 is a substituted or unsubstituted alkyl group.

【0062】また、第2の発明で用いられるSiO2
粉末および/またはSiN微粉末は、特に限定されない
が、平坦性や均一性の良好な塗膜を形成する観点から、
直径10μm以下のものが好ましい。なお、微粉末の直
径は、より好ましくは0.1μm以下である。
The SiO 2 fine powder and / or SiN fine powder used in the second invention is not particularly limited, but from the viewpoint of forming a coating film having good flatness and uniformity,
A diameter of 10 μm or less is preferable. The diameter of the fine powder is more preferably 0.1 μm or less.

【0063】第2の発明において前述のような微粉末の
配合量は、ポリシランに対し1〜70wt%、さらには
10〜50wt%であることが好ましい。すなわち1w
t%未満であると、形成される絶縁膜においてさほどの
緻密化や高抵抗化などを期待し難く、一方70wt%を
越えると膜質の良好な塗膜の形成が困難となるおそれが
ある。
In the second invention, the compounding amount of the fine powder as described above is preferably 1 to 70 wt%, more preferably 10 to 50 wt% with respect to the polysilane. That is, 1w
If it is less than t%, it is difficult to expect a certain degree of densification or higher resistance in the insulating film to be formed, while if it exceeds 70 wt%, it may be difficult to form a coating film with good film quality.

【0064】さらに、第2の発明のケイ素ポリマー組成
物には、有機金属化合物を配合してもよい。すなわち、
こうした有機金属化合物は、ポリシランの架橋剤となっ
て、絶縁膜を形成した際にその基板との密着性の向上な
どに寄与する。さらに、絶縁膜を形成するに当り、ケイ
素ポリマー組成物の膜を加熱乾燥するに先だって膜への
露光を施す場合などに、有機金属化合物が紫外線を吸収
することで容易に開環してシラノール(Si−OH)と
結合するので、露光感度や露光波長領域を拡大する触媒
としても機能する。
Furthermore, an organometallic compound may be added to the silicon polymer composition of the second invention. That is,
Such an organometallic compound serves as a cross-linking agent for polysilane and contributes to the improvement of the adhesion to the substrate when the insulating film is formed. Furthermore, in forming the insulating film, when the film of the silicon polymer composition is exposed to light before being dried by heating, the organometallic compound absorbs ultraviolet rays to easily open the ring to form a silanol ( Since it combines with Si-OH), it also functions as a catalyst for expanding the exposure sensitivity and the exposure wavelength region.

【0065】この有機金属化合物としては、例えばアル
ミニウム、チタン、クロム、ジルコニウム、銅、鉄、マ
ンガン、ニッケル、バナジウム、コバルト等の金属に各
種の有機基が直接結合したもの、または前記金属の錯体
を挙げることができる。これらの有機金属化合物のう
ち、特に、有機ジルコニウム化合物、有機アルミニウム
化合物、有機チタン化合物が有用であり、また上述した
ような有機基及び錯体を形成する際の配位子としては、
1)アルコキシ基、2)フェノキシ基、3)アシルオキ
シ配位子、4)β−ジケトン配位子、5)o−カルボニ
ルフェノラート配位子等が挙げられる。具体的には、以
下に示すような置換基または配位子等が金属原子に結合
した有機金属化合物が好ましい。
Examples of the organic metal compound include compounds in which various organic groups are directly bonded to metals such as aluminum, titanium, chromium, zirconium, copper, iron, manganese, nickel, vanadium and cobalt, or complexes of the above metals. Can be mentioned. Among these organometallic compounds, organozirconium compounds, organoaluminum compounds, and organotitanium compounds are particularly useful, and as the ligand for forming the above-described organic group and complex,
1) alkoxy group, 2) phenoxy group, 3) acyloxy ligand, 4) β-diketone ligand, 5) o-carbonylphenolate ligand and the like. Specifically, an organometallic compound having the following substituents or ligands bonded to a metal atom is preferable.

【0066】1)アルコキシ基 アルコキシ基としては、炭素数1〜10のものが好まし
く、例えばメトキシ基、イソプロポキシ基、ペントオキ
シ基が挙げられる。
1) Alkoxy group As the alkoxy group, those having 1 to 10 carbon atoms are preferable, and examples thereof include a methoxy group, an isopropoxy group and a pentoxy group.

【0067】2)フェノキシ基 フェノキシ基としては、例えばフェノキシ基、o−メチ
ルフェノキシ基、o−メトキシフェノキシ基、p−ニト
ロフェノキシ基、2,6−ジメチルフェノキシ基等が挙
げられる。
2) Phenoxy group Examples of the phenoxy group include a phenoxy group, an o-methylphenoxy group, an o-methoxyphenoxy group, a p-nitrophenoxy group and a 2,6-dimethylphenoxy group.

【0068】3)アシルオキシ配位子 アシルオキシ配位子としては、例えばアセタト、プロピ
オナト、イソプロピナト、ブチラト、ステアラト、エチ
ルアセトアセタト、プロピルアセトアセタト、ブチルア
セトアセタト、ジエチルマラト、ジビバロイルメタナト
等を挙げることができる。
3) Acyloxy Ligand Examples of the acyloxy ligand include acetato, propionato, isopropylate, butyrato, stearat, ethylacetoacetato, propylacetoacetato, butylacetoacetato, diethylmalato, dibivaloylmethanato. Etc. can be mentioned.

【0069】4)β−ジケトン配位子 β−ジケトン配位子としては、例えばアセチルアセトナ
ト、トリフルオロアセチルアセトナト、ヘキサフルオロ
アセチルアセトナト、下記化20に示す(Cー1)〜
(C−3)の配位子等を挙げることができる。
4) β-diketone ligand Examples of the β-diketone ligand include acetylacetonato, trifluoroacetylacetonato, hexafluoroacetylacetonate, and (C-1) to (C-1) shown in Chemical Formula 20 below.
The ligand of (C-3) etc. can be mentioned.

【0070】[0070]

【化20】 Embedded image

【0071】5)o−カルボニルフェノラート配位子 o−カルボニルフェノラート配位子としては、例えばサ
リチルアルデヒダト等が挙げられる。
5) O-Carbonylphenolate Ligand Examples of the o-carbonylphenolate ligand include salicylaldehyde.

【0072】有機アルミニウム化合物の具体例としては
トリスメトキシアルミニウム、トリエトキシアルミニウ
ム、トリイソプロポキシアルミニウム、トリスフェノキ
シアルミニウム、トリスパラメチルフェノキシアルミニ
ウム、イソプロポキシジエトキシアルミニウム、トリス
ブトキシアルミニウム、トリスアセトキシアルミニウ
ム、トリスイソオウロピオナトアルミニウム、トリスア
セチルアセトナトアルミニウム、トリストリフルオロア
セチルアセトナトアルミニウム、トリスヘキサフルオロ
アセチルアセトナトアルミニウム、トリスエチルアセチ
ルアセトナトアルミニウム、トリスジエチルマラトアル
ミニウム、トリスプロピルアセチルアセトナトアルミニ
ウム、トリスブチルアセトアセタナトアルミニウム、ト
リスジビバロイルメタナトアルミニウム、ジアセチルア
セトナトジビバロイルメタナトアルミニウム、または下
記化21、化22に示す(D−1)〜(D−6)の化合
物等を挙げることができる。
Specific examples of the organoaluminum compound include trismethoxyaluminum, triethoxyaluminum, triisopropoxyaluminum, trisphenoxyaluminum, trisparamethylphenoxyaluminum, isopropoxydiethoxyaluminum, trisbutoxyaluminum, trisacetoxyaluminum, trisisosodium. Auropionato aluminum, tris acetyl acetonato aluminum, tris trifluoroacetyl acetonato aluminum, tris hexafluoro acetyl acetonato aluminum, tris ethyl acetyl acetonato aluminum, tris diethyl malato aluminum, tris propyl acetyl acetonato aluminum, tris butyl acetoacetate Tanato aluminum, tris divivaro ilme Diisocyanato aluminum, diacetylacetonatobis divinyl Varo yl meth diisocyanatohexane aluminum or below of 21, is shown in Chemical formula 22 (D-1) may include compounds such as the ~ (D-6).

【0073】[0073]

【化21】 Embedded image

【0074】[0074]

【化22】 Embedded image

【0075】また、第2の発明のケイ素ポリマー組成物
には、例えば絶縁膜の形成に当たって膜の加熱乾燥前に
膜への露光を施す際あるいはパターンを形成する際の増
感剤として、ラジカル発生剤または酸発生剤を配合する
こともできる。なお、ラジカル発生剤とは化学放射線の
照射によりラジカルを発生する化合物であり、酸発生剤
とは、化学放射線の照射により酸を発生する化合物であ
る。
Further, in the silicon polymer composition of the second invention, a radical generating agent is used as a sensitizer when exposing the film before heating and drying the film for forming an insulating film or forming a pattern. Agents or acid generators can also be added. The radical generator is a compound that generates a radical upon irradiation with actinic radiation, and the acid generator is a compound that generates an acid upon irradiation with actinic radiation.

【0076】ラジカル発生剤としては、例えば、アゾビ
スイソブチルニトリルなどのアゾ化合物、ベンゾイルペ
ルオキサイド、ジターシャリブチルペルオキサイドなど
の過酸化物、ベンゾイン、ベンゾインアルキルエーテ
ル、ベンゾインアルキルアリールチオエーテル、ベンゾ
イルアリールエーテル、ベンゾイルアルキルアリールチ
オエーテル、ベンジルアルアルキルエタノール、フェニ
ル−グリオクザルアルキルアセタール、ベンゾイルオキ
シムなどのアルキルアリールケトン、下記化23で示さ
れる有機ハロゲン化物を挙げることができる。
Examples of the radical generator include azo compounds such as azobisisobutyl nitrile, peroxides such as benzoyl peroxide and ditertiary butyl peroxide, benzoin, benzoin alkyl ether, benzoin alkylaryl thioether, benzoyl aryl ether, and the like. Examples thereof include benzoylalkylaryl thioethers, benzylaralkylethanols, phenyl-glyoxal alkylacetals, alkylaryl ketones such as benzoyloxime, and organic halides represented by the following chemical formula 23.

【0077】[0077]

【化23】 Embedded image

【0078】前記有機ハロゲン化物のなかで、米国特許
第3779778号明細書に記載されたトリハロメチル
−s−トリアジン類、すなわち下記化24に示す一般式
(3)で表される化合物が好ましい。具体的には、2,
4−ビス(トリクロロメチル)−6−メチル−s−トリ
アジン、2,4,6−トリス(クロロメチル)−s−ト
リアジンが挙げられる。
Among the above organic halides, the trihalomethyl-s-triazines described in US Pat. No. 3,779,778, that is, the compounds represented by the general formula (3) shown in the following chemical formula 24 are preferable. Specifically, 2,
4-bis (trichloromethyl) -6-methyl-s-triazine and 2,4,6-tris (chloromethyl) -s-triazine can be mentioned.

【0079】[0079]

【化24】 Embedded image

【0080】ただし、式中のQは臭素原子または塩素原
子、Pは−CQ3 、−NH2 、−NHR3 、−N
(R3 2 、−OR3 、置換あるいは非置換のフェニル
基(ここで、Qは臭素原子または塩素原子、R3 はフェ
ニル基、ナフチル基または炭素数6以下の低級アルキル
基である。)、R2 は−CQ3 、−NH2 、−NH
3 、−N(R3 2 、−OR3 、−(CH=CH)n
−W、置換あるいは非置換のフェニル基(ここで、Qは
臭素原子または塩素原子、R3 はフェニル基、ナフチル
基または炭素数6以下の低級アルキル基、nは1〜3の
整数、Wは芳香族基、複素環基、または下記化25に示
す一般式(4)で表される基である。)を示す。
[0080] However, Q in the formula is a bromine atom or a chlorine atom, P is -CQ 3, -NH 2, -NHR 3 , -N
(R 3) 2, -OR 3, substituted or unsubstituted phenyl group (wherein, Q is a bromine atom or a chlorine atom, R 3 is a phenyl group, a naphthyl group, or more than 6 lower alkyl group having a carbon.) , R 2 is —CQ 3 , —NH 2 , —NH
R 3, -N (R 3) 2, -OR 3, - (CH = CH) n
-W, a substituted or unsubstituted phenyl group (wherein Q is a bromine atom or a chlorine atom, R 3 is a phenyl group, a naphthyl group or a lower alkyl group having 6 or less carbon atoms, n is an integer of 1 to 3, W is And an aromatic group, a heterocyclic group, or a group represented by the general formula (4) shown below.

【0081】[0081]

【化25】 Embedded image

【0082】ただし、式中のZは酸素原子若しくは硫黄
原子、R4 は低級アルキル基若しくはフェニル基を示
す。
However, in the formula, Z represents an oxygen atom or a sulfur atom, and R 4 represents a lower alkyl group or a phenyl group.

【0083】前記一般式(3)において、Wで表される
芳香環もしくは複素環はさらに置換されていてもよい。
このような置換基としては、例えば塩素原子、臭素原
子、フェニル基、炭素数6以下の低級アルキル基、ニト
ロ基、フェノキシ基、アルコキシ基、アセトキシ基、ア
セチル基、アミノ基およびアルキルアミノ基等を挙げる
ことができる。
In the general formula (3), the aromatic ring or heterocycle represented by W may be further substituted.
Examples of such substituents include chlorine atom, bromine atom, phenyl group, lower alkyl group having 6 or less carbon atoms, nitro group, phenoxy group, alkoxy group, acetoxy group, acetyl group, amino group and alkylamino group. Can be mentioned.

【0084】前記有機ハロゲン化物のなかで、さらに米
国特許第3987037号明細書に開示されたビニルハ
ロメチル−s−トリアジンで置換された化合物が好まし
い。このビニルハロメチル−s−トリアジン化合物は、
少なくとも1つのトリハロメチル基と少なくとも1つの
エチレン性不飽和結合でトリアジン環と共役している基
とを有する光分解性のs−トリアジン類であり、前記一
般式(3)の中のR2として−(CH=CH)n −Wが
導入された化合物である。
Among the above organic halides, the compounds further substituted with vinylhalomethyl-s-triazine disclosed in US Pat. No. 3,987,037 are preferable. The vinylhalomethyl-s-triazine compound is
A photodecomposable s-triazine having at least one trihalomethyl group and a group conjugated with a triazine ring by at least one ethylenically unsaturated bond, wherein R 2 in the general formula (3) is It is a compound in which-(CH = CH) n- W is introduced.

【0085】前記一般式(3)で表されるトリハロメチ
ル−s−トリアジン類を下記化26に、その他のラジカ
ル発生剤を下記化27〜化31に具体的に例示する。
Specific examples of the trihalomethyl-s-triazines represented by the general formula (3) are shown below in Chemical formula 26, and other radical generators are shown in Chemical formulas 27 to 31 below.

【0086】[0086]

【化26】 Embedded image

【0087】[0087]

【化27】 Embedded image

【0088】[0088]

【化28】 Embedded image

【0089】[0089]

【化29】 Embedded image

【0090】[0090]

【化30】 Embedded image

【0091】[0091]

【化31】 Embedded image

【0092】前記酸発生剤としては、例えばオニウム
塩、ハロゲン含有化合物、オルトキノンジアジド化合
物、スルホン化合物、スルホン酸化合物、ニトロベンジ
ル化合物が挙げられる。これらのなかでも、オニウム
塩、オルトキノンジアジド化合物が好ましい。
Examples of the acid generator include onium salts, halogen-containing compounds, orthoquinonediazide compounds, sulfone compounds, sulfonic acid compounds and nitrobenzyl compounds. Among these, onium salts and orthoquinonediazide compounds are preferable.

【0093】オニウム塩としては、具体的にはヨードニ
ウム塩、スルホニウム塩、ホスホニウム塩、ジアゾニウ
ム塩、アンモニウム塩等を挙げることができる。好まし
くは、下記化32で表される化合物を挙げることができ
る。
Specific examples of onium salts include iodonium salts, sulfonium salts, phosphonium salts, diazonium salts, ammonium salts and the like. Preferably, the compound represented by the following chemical formula 32 can be mentioned.

【0094】[0094]

【化32】 Embedded image

【0095】(式中、R9 〜R11は互いに同一であって
も異なっていてもよく、それぞれ水素原子、アミノ基、
ニトロ基、シアノ基、置換もしくは非置換のアルキル基
またはアルコキシ基、XはSbF6 、AsF6 、P
6 、BF4 、CF3 CO2 、ClO4 、CF3
3 、または下記に示す群から選択される。)
(In the formula, R 9 to R 11 may be the same or different from each other, and each represents a hydrogen atom, an amino group,
Nitro group, cyano group, substituted or unsubstituted alkyl group or alkoxy group, X is SbF 6 , AsF 6 , P
F 6 , BF 4 , CF 3 CO 2 , ClO 4 , CF 3 S
O 3 , or selected from the group shown below. )

【化33】 Embedded image

【0096】(ただし、R12は水素原子、アミノ基、ア
ニリノ基、置換もしくは非置換のアルキル基またはアル
コキシ基、R13、R14は互いに同一であっても異なって
いてもよく、それぞれ置換または非置換のアルコキシ
基、R15は水素原子、アミノ基、アニリノ基、置換もし
くは非置換のアルキル基またはアルコキシ基を示す。) さらに、下記化34および化35で表される化合物も、
好ましいオニウム塩として挙げられる。
(Provided that R 12 is a hydrogen atom, an amino group, an anilino group, a substituted or unsubstituted alkyl group or an alkoxy group, R 13 and R 14 may be the same or different, and are substituted or An unsubstituted alkoxy group, R 15 represents a hydrogen atom, an amino group, an anilino group, a substituted or unsubstituted alkyl group or an alkoxy group.) Furthermore, the compounds represented by the following Chemical Formulas 34 and 35 are also
It is mentioned as a preferable onium salt.

【0097】[0097]

【化34】 Embedded image

【0098】式中、R9 、R10、Xは上記と同様であ
る。
In the formula, R 9 , R 10 and X are the same as above.

【0099】[0099]

【化35】 Embedded image

【0100】式中、R9 、R10、Xは上記と同様であ
る。
In the formula, R 9 , R 10 and X are as defined above.

【0101】前記ハロゲン含有化合物としては、具体的
にはハロアルキル基含有炭化水素系化合物、ハロアルキ
ル基含有ヘテロ環状化合物等を挙げることができ、特に
下記化36および化37に示す化学式で表される化合物
が好ましい。
Specific examples of the halogen-containing compound include haloalkyl group-containing hydrocarbon compounds, haloalkyl group-containing heterocyclic compounds, and the like. In particular, the compounds represented by the chemical formulas 36 and 37 below. Is preferred.

【0102】[0102]

【化36】 Embedded image

【0103】(式中、R16はトリクロロメチル基、フェ
ニル基、メトキシフェニル基、ナフチル基またはメトキ
シナフチル基を示す。)
(In the formula, R 16 represents a trichloromethyl group, a phenyl group, a methoxyphenyl group, a naphthyl group or a methoxynaphthyl group.)

【化37】 Embedded image

【0104】(式中、R17〜R19は互いに同一であって
も異なっていてもよく、それぞれ水素原子、ハロゲン原
子、メチル基、メトキシ基または水酸基を示す。) 前記キノンジアジド化合物としては、具体的にはジアゾ
ベンゾキノン化合物、ジアゾナフトキノン化合物等を挙
げることができ、特に下記化38〜化41で表される化
合物が好ましい。
(In the formula, R 17 to R 19 may be the same or different and each represents a hydrogen atom, a halogen atom, a methyl group, a methoxy group or a hydroxyl group.) The quinonediazide compound is specifically, Specific examples thereof include diazobenzoquinone compounds and diazonaphthoquinone compounds, and the compounds represented by the following Chemical Formulas 38 to 41 are particularly preferable.

【0105】[0105]

【化38】 Embedded image

【0106】[0106]

【化39】 Embedded image

【0107】[0107]

【化40】 Embedded image

【0108】(式中、R20は−CH2 −、−C(C
3 2 −、−C(=O)−、または−SO2 −を示
し、qは1〜6の整数、rは0〜5の整数、qとrとの
合計は1〜6である。)
(In the formula, R 20 is --CH 2- , --C (C
H 3) 2 -, - C (= O) -, or -SO 2 - indicates, q is an integer from 1 to 6, the sum of r is an integer of 0 to 5, and q and r are 1-6 . )

【化41】 Embedded image

【0109】(式中、R21は水素原子またはメチル基、
22は−CH2 −、−C(CH3 2−、−C(=O)
−、または−SO2 −を示し、sは1〜6の整数、tは
0〜5の整数、sとtとの合計は1〜6である。) 前記スルホン化合物としては、具体的にはβ−ケトスル
ホン、β−スルホニルスルホン等を挙げることができ、
特に下記化42に示す化学式で表される化合物等が好ま
しい。
(In the formula, R 21 is a hydrogen atom or a methyl group,
R 22 is -CH 2 -, - C (CH 3) 2 -, - C (= O)
-, or -SO 2 - indicates, s is an integer from 1 to 6, t is the sum of the 0-5 integer, s and t is 1-6. ) Specific examples of the sulfone compound include β-ketosulfone and β-sulfonylsulfone.
In particular, a compound represented by the chemical formula shown below in Chemical Formula 42 is preferable.

【0110】[0110]

【化42】 Embedded image

【0111】(式中、R23〜R26は互いに同一であって
も異なっていてもよく、それぞれ置換もしくは非置換の
アルキル基またはハロゲン原子、Yは−C(=O)−ま
たは−SO2 −を示し、uは0〜3の整数である。) 前記ニトロベンジル化合物としては、具体的にはニトロ
ベンジルスルホネート化合物、ジニトロベンジルスルホ
ネート化合物等を挙げることができ、特に下記化43に
示す化学式で表される化合物等が好ましい。
(In the formula, R 23 to R 26 may be the same or different, and each is a substituted or unsubstituted alkyl group or a halogen atom, and Y is —C (═O) — or —SO 2 ). -Indicates-, and u is an integer of 0 to 3.) Specific examples of the nitrobenzyl compound include a nitrobenzyl sulfonate compound and a dinitrobenzyl sulfonate compound. The compounds represented are preferred.

【0112】[0112]

【化43】 Embedded image

【0113】(式中、R27は置換または非置換のアルキ
ル基、R28は水素原子またはメチル基、R29は下記に示
す群から選択される基であり、vは1〜3の整数であ
る。)
(Wherein R 27 is a substituted or unsubstituted alkyl group, R 28 is a hydrogen atom or a methyl group, R 29 is a group selected from the group shown below, and v is an integer of 1 to 3) is there.)

【化44】 Embedded image

【0114】(ただし、R30は水素原子またはメチル
基、R31、R32は互いに同一であっても異なっていても
よく、それぞれ置換または非置換のアルコキシ基を示
す。) 前記スルホン酸化合物としては、具体的にはアルキルス
ルホン酸エステル、ハロアルキルスルホン酸エステル、
アリールスルホン酸エステル、イミノスルホネート等を
挙げることができ、特に下記化45〜化47で表される
化合物が好ましい。
(However, R 30 is a hydrogen atom or a methyl group, R 31 and R 32 may be the same or different and each represents a substituted or unsubstituted alkoxy group.) As the sulfonic acid compound Are specifically alkyl sulfonates, haloalkyl sulfonates,
Examples thereof include aryl sulfonates and imino sulfonates, and the compounds represented by the following chemical formulas 45 to 47 are particularly preferable.

【0115】[0115]

【化45】 Embedded image

【0116】(式中、R33、R34は互いに同一であって
も異なっていてもよく、それぞれ水素原子または置換も
しくは非置換のアルキル基、R35、R36は互いに同一で
あっても異なっていてもよく、それぞれ水素原子、置換
もしくは非置換のアルキル基またはアリール基を示
す。)
(In the formula, R 33 and R 34 may be the same or different from each other, and a hydrogen atom or a substituted or unsubstituted alkyl group, R 35 and R 36 may be the same or different from each other. And each represents a hydrogen atom, a substituted or unsubstituted alkyl group or aryl group.)

【化46】 Embedded image

【0117】(式中、R37は水素原子または置換もしく
は非置換のアルキル基、R38,R39は互いに同一であっ
ても異なっていてもよく、それぞれ置換もしくは非置換
のアルキル基またはアリール基を示し、R38とR39とは
互いに結合して環構造を形成していてもよい。)
(In the formula, R 37 is a hydrogen atom or a substituted or unsubstituted alkyl group, and R 38 and R 39 may be the same or different from each other, and each is a substituted or unsubstituted alkyl group or aryl group. And R 38 and R 39 may be bonded to each other to form a ring structure.)

【化47】 Embedded image

【0118】(式中、Zはフッ素原子または塩素原子を
示す。) なお、上述したような増感剤の配合量は、上記一般式
(2)で表されるポリシラン100重量部に対して0.
1〜30重量部、さらには1〜10重量部とすることが
好ましい。増感剤の配合量が0.1重量部未満である
と、露光時に発生するラジカルや酸とポリシランとの反
応が不十分になるおそれがある。一方、前記増感剤の配
合量が30重量部を越えると、例えばポジ型のパターン
の形成において、露光部のアルカリ現像液に対する溶解
性が低下する傾向がある。なお、増感剤としてハロメチ
ル−s−トリアジン類を用いた場合には、前記ポリシラ
ン100重量部に対してこの化合物を0.011〜3重
量部、好ましくは1〜2重量部程度の少量の配合でも十
分感度向上がなされたケイ素ポリマー組成物を得ること
ができる。
(In the formula, Z represents a fluorine atom or a chlorine atom.) The compounding amount of the sensitizer as described above is 0 with respect to 100 parts by weight of the polysilane represented by the general formula (2). .
It is preferably 1 to 30 parts by weight, more preferably 1 to 10 parts by weight. If the compounding amount of the sensitizer is less than 0.1 part by weight, the reaction between radicals or acids generated during exposure and polysilane may be insufficient. On the other hand, if the blending amount of the sensitizer exceeds 30 parts by weight, the solubility of the exposed portion in the alkaline developer tends to be lowered in the formation of a positive pattern, for example. When halomethyl-s-triazines are used as the sensitizer, 0.01 to 3 parts by weight, preferably 1 to 2 parts by weight of this compound is added to 100 parts by weight of the polysilane. However, a silicon polymer composition with sufficiently improved sensitivity can be obtained.

【0119】第2の発明のケイ素ポリマー組成物は、上
述したポリシランと、SiO2 微粉末およびSiN微粉
末の少なくとも一方の微粉末、並びに必要に応じて配合
される有機金属化合物、ラジカル発生剤や酸発生剤を、
適切な有機溶媒に溶解させることにより調製される。こ
こで、使用され得る有機溶媒としては、具体的には、ト
ルエン、キシレン、メチルエチルケトン、テトラヒドロ
フラン、エチルアセテートセルソルブ、ブチロラクト
ン、ブチル乳酸などが例示され、これらは単独でまたは
混合物の形で用いることができる。なおこのとき、微粉
末は、シリカゾル、種々の界面活性剤、極性基、官能基
を有するポリマーなどの分散剤を用いて分散させること
が好ましい。
The silicon polymer composition of the second invention comprises the above-mentioned polysilane, fine powder of at least one of SiO 2 fine powder and SiN fine powder, and an organometallic compound, a radical generator and Acid generator,
It is prepared by dissolving in a suitable organic solvent. Examples of the organic solvent that can be used here include toluene, xylene, methyl ethyl ketone, tetrahydrofuran, ethyl acetate cellosolve, butyrolactone, and butyl lactic acid, and these may be used alone or in the form of a mixture. it can. At this time, the fine powder is preferably dispersed using a dispersant such as silica sol, various kinds of surfactants, polymers having a polar group or a functional group.

【0120】かかるケイ素ポリマー組成物を用いて絶縁
膜を製造するに当たっては、まず、この組成物を含有す
る溶液を調製して、スピンコート法等により所定の基板
上に塗布した後、50〜150℃程度の温度で乾燥させ
て溶媒を揮発させ、有機ポリシランを主体とした有機ケ
イ素化合物膜を成膜する。
In producing an insulating film using such a silicon polymer composition, first, a solution containing this composition is prepared and applied on a predetermined substrate by spin coating or the like, and then 50-150. It is dried at a temperature of about ° C to volatilize the solvent to form an organic silicon compound film mainly containing organic polysilane.

【0121】ここで、基板としては、例えば透光性のガ
ラスや樹脂からなる透明基板、表面に配線が形成された
半導体基板、同配線が形成されたガラス基板等を用いる
ことができる。
Here, as the substrate, for example, a transparent substrate made of translucent glass or resin, a semiconductor substrate having wiring formed on its surface, a glass substrate having the wiring formed thereon, or the like can be used.

【0122】次いで、前記基板上の塗膜を酸素含有雰囲
気中で加熱処理して酸素架橋三次元化することにより絶
縁膜を形成する。前記酸素含有雰囲気としては、酸素を
含むガス雰囲気であればよく、特に酸素を1%以上含む
雰囲気が好ましい。
Next, the coating film on the substrate is heat-treated in an oxygen-containing atmosphere to three-dimensionally crosslink with oxygen to form an insulating film. The oxygen-containing atmosphere may be a gas atmosphere containing oxygen, and an atmosphere containing 1% or more of oxygen is particularly preferable.

【0123】前記加熱処理は、100〜600℃の温度
で行うことが好ましい。前記加熱処理温度を100℃未
満にすると、十分な酸化がなされず、酸素架橋三次元化
を十分に行うことが困難になる。一方、前記加熱処理温
度が600℃を越えると、体積収縮が大きくなりすぎ
て、形成された絶縁膜にクラックが発生するおそれがあ
る。より好ましい加熱処理温度は、200〜500℃で
ある。
The heat treatment is preferably performed at a temperature of 100 to 600 ° C. When the heat treatment temperature is lower than 100 ° C., sufficient oxidation is not performed, and it becomes difficult to sufficiently perform three-dimensional oxygen crosslinking. On the other hand, when the heat treatment temperature exceeds 600 ° C., the volume shrinkage becomes too large, and cracks may occur in the formed insulating film. A more preferable heat treatment temperature is 200 to 500 ° C.

【0124】こうして第2の発明のケイ素ポリマー組成
物を用いて得られた絶縁膜では、上述したような酸素架
橋三次元化によりSi−O−Si結合の三次元化構造を
有するガラス化したケイ素系マトリックスが形成される
ので、基板への密着性や耐熱性が非常に優れている。し
かも、第2の発明のケイ素ポリマー組成物には、SiO
2 微粉末およびSiN微粉末の少なくとも一方の微粉末
が配合されているので、この組成物を用いることにより
高抵抗、高強度等優れた特性を有する絶縁膜を製造する
ことができる。すなわち、SiO2 微粉末を混合したこ
とによって、膜の密度が上がり、高抵抗、高強度かつ耐
絶縁破壊性を有する膜を形成することができる。また、
SiN微粉末を混合したことによって、膜の密度が上が
り、高抵抗、高強度かつイオントラップ性、H2 Oバリ
ア性を兼ね備えた絶縁膜を形成することができる。
In the insulating film thus obtained by using the silicon polymer composition of the second invention, vitrified silicon having a three-dimensional structure of Si--O--Si bond by oxygen crosslinking three-dimensionalization as described above. Since the system matrix is formed, the adhesion to the substrate and the heat resistance are very excellent. Moreover, the silicon polymer composition of the second invention contains SiO
Since at least one of 2 fine powder and SiN fine powder is blended, an insulating film having excellent characteristics such as high resistance and high strength can be produced by using this composition. That is, by mixing the SiO 2 fine powder, the density of the film is increased, and a film having high resistance, high strength and dielectric breakdown resistance can be formed. Also,
By mixing the SiN fine powder, the density of the film is increased, and an insulating film having high resistance, high strength, an ion trapping property, and a H 2 O barrier property can be formed.

【0125】なお、前記ケイ素ポリマー組成物を含む溶
液を基板上に塗布し成膜した後で、この膜を加熱処理す
る前に、酸素含有雰囲気中で紫外線による全面露光を行
うことが好ましい。この露光の工程において、紫外線の
波長は150〜400nm程度であればよいが、特に2
00〜300nmの波長の紫外線を照射することが好ま
しい。また照射量は、10mJ〜10J、さらには10
0mJ〜3J程度に設定されることが好ましい。
After the solution containing the above-mentioned silicon polymer composition is applied onto the substrate to form a film, it is preferable that the entire surface is exposed to ultraviolet rays in an oxygen-containing atmosphere before the film is heat-treated. In this exposure step, the wavelength of ultraviolet rays may be about 150 to 400 nm, but especially 2
Irradiation with ultraviolet rays having a wavelength of 00 to 300 nm is preferable. The irradiation dose is 10 mJ to 10 J, and further 10
It is preferably set to about 0 mJ to 3J.

【0126】このように全面露光を施すことによって、
前記膜中のポリシランが分解して酸性度の高いシラノー
ル結合(Si−OH)が生成される。このような露光後
にこの有機ケイ素化合物膜を加熱することによって、全
体に高い架橋密度のシロキサン結合(Si−O−Si)
が速やかに形成される。したがって、露光工程を経ない
場合よりも低温での加熱処理を行うことができ、基板へ
の密着性が高く、かつ良好な耐熱性を有する絶縁膜を容
易に形成することができる。
By performing the entire surface exposure in this way,
Polysilane in the film is decomposed to generate a silanol bond (Si-OH) having high acidity. By heating the organosilicon compound film after such exposure, a siloxane bond (Si-O-Si) having a high cross-linking density is entirely formed.
Are quickly formed. Therefore, heat treatment can be performed at a lower temperature than in the case where the exposure step is not performed, and an insulating film having high adhesion to a substrate and good heat resistance can be easily formed.

【0127】前記全面露光後の有機ケイ素化合物膜は、
前記加熱処理前に、ケイ素、ジルコニウム、アルミニウ
ム、チタンなどの金属アルコキシドのゾルに浸漬しても
よい。これによって、前記全面露光で生成されたシラノ
ール(Si−OH)とケイ素、ジルコニウム、アルミニ
ウム、チタンなどの金属が結合し、その後の加熱処理に
より極めて高い架橋密度で、基板への密着性、耐熱性が
よりいっそう向上された絶縁膜が得られる。
The organosilicon compound film after the whole surface exposure is
Before the heat treatment, it may be immersed in a sol of a metal alkoxide such as silicon, zirconium, aluminum or titanium. As a result, the silanol (Si-OH) generated by the overall exposure is bonded to the metal such as silicon, zirconium, aluminum, and titanium, and the heat treatment after that results in an extremely high crosslink density, adhesion to the substrate, and heat resistance. It is possible to obtain an insulating film having an improved state.

【0128】また、第2の発明のケイ素ポリマー組成物
を用いて、パターニングされた絶縁膜を製造することも
可能である。以下に、ポジ型パターンおよびネガ型パタ
ーンを得る方法を、それぞれ詳細に説明する。
It is also possible to manufacture a patterned insulating film by using the silicon polymer composition of the second invention. The methods for obtaining the positive pattern and the negative pattern will be described in detail below.

【0129】ポジ型パターンは、上記一般式(2)にお
いて、R1 が置換または非置換のアリール基であるポリ
シランの場合に形成することができる。すなわち、ま
ず、かかるポリシランと、SiO2 微粉末およびSiN
微粉末の少なくとも一方の微粉末、並びに必要に応じて
有機金属化合物等の成分を配合して、前述と同様にケイ
素ポリマー組成物を調製し、この組成物を含有する溶液
を基板上に塗布して有機ケイ素化合物膜を形成する。
The positive type pattern can be formed when polysilane in which R 1 is a substituted or unsubstituted aryl group in the general formula (2) is used. That is, first, such polysilane, SiO 2 fine powder, and SiN
At least one of the fine powders and, if necessary, components such as an organometallic compound are mixed to prepare a silicon polymer composition in the same manner as described above, and a solution containing this composition is applied onto a substrate. To form an organosilicon compound film.

【0130】次いで、この有機ケイ素化合物膜の所定の
領域に紫外線を照射して、選択的に露光を施す。ここで
のパターン露光は、前述と同様の条件で行うことができ
る。紫外線の波長は150〜400nm程度であればよ
いが、特に200〜300nmの波長の紫外線を照射す
ることが好ましい。また照射量は、10mJ〜10J、
さらには100mJ〜3J程度に設定されることが好ま
しい。
Then, a predetermined region of this organosilicon compound film is irradiated with ultraviolet rays to selectively expose it. The pattern exposure here can be performed under the same conditions as described above. The wavelength of ultraviolet rays may be about 150 to 400 nm, but it is particularly preferable to irradiate ultraviolet rays having a wavelength of 200 to 300 nm. The irradiation dose is 10 mJ to 10 J,
Further, it is preferably set to about 100 mJ to 3J.

【0131】パターン露光後の有機ケイ素化合物膜は、
アルカリ水溶液で現像して、露光部を選択的に溶解除去
する。アルカリ水溶液としては、例えば、テトラメチル
アンモニウムヒドロキシド、コリンなどの有機アルカ
リ;KOH、NaOHなどの無機アルカリを用いること
ができる。また、フッ酸水溶液を用いて露光部を溶解除
去することも可能である。なお、現像後の有機ケイ素化
合物膜は、適宜純水で水洗する。
The organic silicon compound film after pattern exposure is
The exposed area is selectively dissolved and removed by developing with an alkaline aqueous solution. As the alkaline aqueous solution, for example, an organic alkali such as tetramethylammonium hydroxide and choline; an inorganic alkali such as KOH and NaOH can be used. It is also possible to dissolve and remove the exposed portion by using a hydrofluoric acid aqueous solution. The organic silicon compound film after development is washed with pure water as appropriate.

【0132】その後、有機ケイ素化合物膜に対して、酸
素含有雰囲気下、必要に応じて全面露光を施した後、1
00〜600℃、好ましくは200〜500℃の温度で
加熱処理を施すことによって、パターニングされた絶縁
膜が得られる。
After that, the organosilicon compound film is exposed to the whole surface in an oxygen-containing atmosphere, if necessary, and then 1
By performing heat treatment at a temperature of 00 to 600 ° C., preferably 200 to 500 ° C., a patterned insulating film is obtained.

【0133】なお、全面露光は前述のパターン露光と同
様の条件で行うことができる。
The entire surface exposure can be performed under the same conditions as the above-mentioned pattern exposure.

【0134】上述のようなポジ型のパターンの形成にお
いては、有機ケイ素化合物膜に選択的に露光を施すこと
によって、膜中のポリシランが分解して露光部に酸性度
の高いシラノール性水酸基(Si−OH)が選択的に形
成される。このような露光後にアルカリ水溶液で現像す
ることによって、前記有機ケイ素化合物膜の露光部が選
択的に溶解除去されてポジ型パターンが形成される。次
いで、有機ケイ素化合物膜パターンを必要に応じて全面
露光することによって、全面にシラノール性水酸基(S
i−OH)が形成され、この後に加熱処理することによ
ってパターン全体に架橋密度の高いシロキサン結合(S
i−O−Si)が形成される。したがって、ガラスマト
リックスからなり、基板への密着性が高く、かつ良好な
耐熱性を有する高精度のパターンを容易に形成すること
ができる。
In the formation of the positive type pattern as described above, the polysilane in the film is decomposed by selectively exposing the organic silicon compound film to light, and the silanol group (Si) having a high acidity is exposed in the exposed area. -OH) is selectively formed. By developing with an alkaline aqueous solution after such exposure, the exposed portion of the organosilicon compound film is selectively dissolved and removed to form a positive pattern. Then, the organosilicon compound film pattern is exposed on the entire surface if necessary, so that the silanol group (S
i-OH) is formed, and heat treatment is performed thereafter to form a siloxane bond (S
i-O-Si) is formed. Therefore, it is possible to easily form a highly precise pattern made of a glass matrix and having high adhesion to the substrate and good heat resistance.

【0135】一方、ネガ型パターンは、上記一般式
(2)におけるR1 がアリール基の場合のみならず、こ
の基がアルキル基の場合にも形成することができる。ネ
ガ型パターンの形成に当たっては、まず、有機ケイ素化
合物膜に選択的に露光を施し、加熱処理した後、有機溶
媒で現像して未露光部を選択的に溶解除去する。
On the other hand, the negative pattern can be formed not only when R 1 in the general formula (2) is an aryl group but also when this group is an alkyl group. In forming the negative pattern, first, the organosilicon compound film is selectively exposed to light, heat-treated, and then developed with an organic solvent to selectively dissolve and remove the unexposed portion.

【0136】パターン露光は、前述と同様の条件で行う
ことができる。すなわち、紫外線の波長は150〜40
0nm程度であればよいが、特に200〜300nmの
波長の紫外線を照射することが好ましい。また照射量
は、10mJ〜10J、さらには100mJ〜3J程度
に設定されることが好ましい。
The pattern exposure can be performed under the same conditions as described above. That is, the wavelength of ultraviolet rays is 150 to 40
It may be about 0 nm, but it is particularly preferable to irradiate with ultraviolet rays having a wavelength of 200 to 300 nm. The irradiation dose is preferably set to about 10 mJ to 10 J, and more preferably about 100 mJ to 3 J.

【0137】現像前の加熱処理は、加熱時間にもよるが
100〜150℃の温度で行うことが好ましい。これ
は、100℃未満だとシラノール性水酸基の生成した露
光部での架橋が不十分となるおそれがあり、逆に150
℃を越えると未露光部についてもケイ素ポリマーの架橋
が進行してしまうことがあり、いずれの場合も精度のよ
い有機ケイ素化合物膜のパターニングが困難となるから
である。
The heat treatment before development is preferably carried out at a temperature of 100 to 150 ° C., though it depends on the heating time. If the temperature is lower than 100 ° C., the cross-linking in the exposed area where the silanol hydroxyl group is generated may be insufficient.
If the temperature exceeds ℃, the crosslinking of the silicon polymer may proceed even in the unexposed area, and in any case, it becomes difficult to pattern the organosilicon compound film with high accuracy.

【0138】また、有機溶媒としては、例えば、トルエ
ン、キシレンなどの芳香族系溶媒、またはメタノール、
エタノールなどのアルコール系溶媒、アセトン、メチル
エチルケトンなどのケトン系溶媒、酢酸メチル、酢酸エ
チル、酢酸ブチルなどのエステル系溶媒等の極性溶媒を
挙げることができる。さらに、上記一般式(2)のR1
が置換または非置換のアリール基であるポリシランを用
いた場合には、現像前に予め有機ケイ素化合物膜に紫外
線を照射してシラノール性水酸基を生成させれば、アル
カリ水溶液やフッ酸水溶液での現像を行うことも可能で
ある。
As the organic solvent, for example, an aromatic solvent such as toluene or xylene, or methanol,
Examples thereof include alcohol solvents such as ethanol, ketone solvents such as acetone and methyl ethyl ketone, and polar solvents such as ester solvents such as methyl acetate, ethyl acetate and butyl acetate. Further, R 1 in the above general formula (2) is
When a polysilane in which is a substituted or unsubstituted aryl group is used, the organosilicon compound film is irradiated with ultraviolet rays in advance to develop silanol-containing hydroxyl groups before development, and development with an aqueous alkaline solution or aqueous hydrofluoric acid solution is performed. It is also possible to do

【0139】現像後の有機ケイ素化合物膜は、酸素含有
雰囲気下、100〜600℃、好ましくは200〜50
0℃の温度で加熱処理を施すことによって、パターニン
グされた絶縁膜が得られる。
The organic silicon compound film after development is 100 to 600 ° C., preferably 200 to 50 in an oxygen-containing atmosphere.
By performing heat treatment at a temperature of 0 ° C., a patterned insulating film is obtained.

【0140】上述のようなネガ型パターンの形成におい
ては、有機ケイ素化合物膜に選択的に露光を施すことに
よって、膜中のポリシランが分解して露光部にシラノー
ル性水酸基(Si−OH)が選択的に生成する。このよ
うな露光後に比較的低い温度(例えば100〜150
℃)で加熱処理することによって、シラノール性水酸基
(Si−OH)が選択的に架橋される。その結果、溶媒
に不溶な架橋密度のシロキサン結合(Si−O−Si)
が露光部に形成され、未露光部との間で選択的な溶解性
が現れる。続いて、有機溶媒で現像することにより未露
光部が選択的に溶解除去されてネガ型のパターンが形成
される。この後、パターニングされた有機ケイ素化合物
膜全体を比較的高い温度(例えば200〜500℃)で
加熱処理することによって、全体に高い架橋密度のシロ
キサン結合(Si−O−Si)が生成される。したがっ
て、ガラス化したケイ素系マトリックスからなり、基板
への密着性が高く、かつ良好な耐熱性を有する絶縁膜パ
ターンを容易に形成することができる。
In the formation of the negative pattern as described above, by selectively exposing the organosilicon compound film, polysilane in the film is decomposed and silanol-containing hydroxyl groups (Si-OH) are selected in the exposed area. To generate. After such exposure, a relatively low temperature (for example, 100 to 150) is used.
The silanol-containing hydroxyl group (Si-OH) is selectively crosslinked by heat treatment at (° C). As a result, a siloxane bond (Si-O-Si) having a crosslink density that is insoluble in the solvent
Are formed in the exposed portion, and selective solubility appears between the exposed portion and the unexposed portion. Then, by developing with an organic solvent, the unexposed portion is selectively dissolved and removed to form a negative pattern. Thereafter, the entire patterned organosilicon compound film is heat-treated at a relatively high temperature (for example, 200 to 500 ° C.), so that a siloxane bond (Si—O—Si) having a high crosslink density is generated throughout. Therefore, it is possible to easily form an insulating film pattern made of a vitrified silicon-based matrix, which has high adhesion to the substrate and has good heat resistance.

【0141】なお、得られるパターンの形状はネガ型パ
ターンの方が優れているが、ポジ型パターンは環境に影
響の少ないアルカリ水溶液を使用できるという利点を有
している。
The shape of the obtained pattern is superior to the negative type pattern, but the positive type pattern has an advantage that an alkaline aqueous solution which has little influence on the environment can be used.

【0142】第2の発明のケイ素ポリマー組成物は、側
鎖でケイ素原子に直接結合した水素原子を有するポリシ
ランと、SiO2 微粉末およびSiN微粉末のいずれか
一方の微粉末とを含有することを特徴とするものであ
る。したがって、この組成物を用いることにより、Si
−O−Si結合の三次元構造を有するガラス化したケイ
素系マトリックスからなり、このマトリックス中にSi
2 微粉末およびSiN微粉末のいずれか一方の微粉末
が含浸された絶縁膜を製造することができる。得られる
絶縁膜は、上述したような微粉末を含有しているので膜
の密度が上がり、高抵抗、かつ高強度を有しており、S
iO2 微粉末を使用した場合には、さらに耐絶縁破壊性
を高めることができる。また、SiN微粉末を使用した
場合には、イオントラップ性およびH2 Oバリア性を付
与することができる。
The silicon polymer composition of the second invention contains polysilane having a hydrogen atom directly bonded to a silicon atom in a side chain, and one fine powder of either SiO 2 fine powder or SiN fine powder. It is characterized by. Therefore, by using this composition, Si
It is composed of a vitrified silicon-based matrix having a three-dimensional structure of —O—Si bond, and Si is contained in the matrix.
An insulating film impregnated with either one of O 2 fine powder and SiN fine powder can be manufactured. Since the obtained insulating film contains the fine powder as described above, the density of the film is increased, the resistance is high, and the strength is high.
When fine powder of iO 2 is used, the dielectric breakdown resistance can be further enhanced. Further, when SiN fine powder is used, ion trapping property and H 2 O barrier property can be imparted.

【0143】次に、第3の発明の絶縁膜の製造方法を詳
細に説明する。
Next, the method of manufacturing the insulating film of the third invention will be described in detail.

【0144】第3の発明の製造方法においては、ケイ素
ポリマーとしては、下記一般式(2)で表される繰返し
単位を有するポリシランを使用することができる。
In the production method of the third invention, polysilane having a repeating unit represented by the following general formula (2) can be used as the silicon polymer.

【0145】[0145]

【化48】 Embedded image

【0146】(ただし式中、R1 は、置換または非置換
のアリール基、あるいは置換または非置換のアルキル基
を示す。) すなわち、上述した第2の発明のケイ素ポリマー組成物
におけるポリシランと同様の化合物を使用することがで
きる。かかるポリシランを含有する膜は、その所定の領
域に紫外線を照射した後、SiO2 等の微粉末を含有す
る溶液に浸漬すると、微粉末は紫外線照射部に選択的に
含浸される。一般にポリシランは、紫外線のエネルギー
を吸収するとSi−Si結合が切断された後大気中の酸
素や水分などを取り込んで酸化され、1個のケイ素原子
につき2個のシラノール性水酸基(Si−OH)を生成
し得るので、ポリシランの紫外線照射部にはこのシラノ
ール性水酸基と微粉末との相互作用に基づき、微粉末が
選択的に吸着される。
(In the formula, R 1 represents a substituted or unsubstituted aryl group or a substituted or unsubstituted alkyl group.) That is, the same as the polysilane in the silicon polymer composition of the second invention described above. Compounds can be used. When a film containing such polysilane is irradiated with ultraviolet rays on a predetermined region thereof and then immersed in a solution containing fine powder such as SiO 2 , the fine powder is selectively impregnated in the ultraviolet irradiation part. In general, when polysilane absorbs ultraviolet energy, Si-Si bond is broken, and then oxygen and moisture in the air are taken in and oxidized, so that two silanol-containing hydroxyl groups (Si-OH) are contained in each silicon atom. Since it can be generated, the fine powder is selectively adsorbed on the ultraviolet irradiation portion of the polysilane based on the interaction between the silanol-containing hydroxyl group and the fine powder.

【0147】さらに、上記一般式(2)で表される繰返
し単位を有するポリシランは、その側鎖でケイ素原子と
直接結合した水素原子もまた、紫外線を照射することで
シラノール性水酸基に変化する。従って紫外線に対する
感度が高まるとともに、1個のケイ素原子につき3個の
シラノール性水酸基を生成させることができるので、結
果的に微粉末が吸着される速度が著しく速められ、含浸
に要する時間の大幅な短縮が可能となる。
Further, in the polysilane having the repeating unit represented by the above general formula (2), the hydrogen atom directly bonded to the silicon atom in its side chain is also converted into a silanol group by irradiation with ultraviolet rays. Therefore, as the sensitivity to ultraviolet rays increases, three silanol-containing hydroxyl groups can be generated for each silicon atom, and as a result, the speed at which fine powder is adsorbed is significantly increased, and the time required for impregnation is greatly increased. It can be shortened.

【0148】一方、第3の発明において、ポリシランの
光酸化物を主体としたケイ素系マトリックス中に含浸さ
れる微粉末としては、前述の第2の発明と同様のSiO
2 微粉末およびSiN微粉末を使用することができ、そ
の粒径は、前述と同様の理由から、10μm以下さらに
は0.1μm以下であることが好ましい。
On the other hand, in the third invention, as the fine powder to be impregnated in the silicon-based matrix mainly composed of the photo oxide of polysilane, the same SiO as in the second invention is used.
2 Fine powder and SiN fine powder can be used, and the particle size thereof is preferably 10 μm or less, more preferably 0.1 μm or less for the same reason as described above.

【0149】また、このような微粉末を含有する溶液を
調製する際に用いられる溶媒としては、水、アルコール
などが挙げられ、微粉末の溶液中の濃度は1〜10wt
%程度であることが好ましい。すなわち濃度が1wt%
未満であると、微粉末が十分に含有された絶縁膜を得る
ことが困難となり、濃度が10wt%を越えると、得ら
れる絶縁膜の組成にムラを生じるおそれがある。
The solvent used when preparing the solution containing such fine powder includes water, alcohol, etc., and the concentration of the fine powder in the solution is 1 to 10 wt.
% Is preferable. That is, the concentration is 1 wt%
If it is less than the above range, it becomes difficult to obtain an insulating film containing a sufficient amount of fine powder, and if the concentration exceeds 10 wt%, the composition of the obtained insulating film may be uneven.

【0150】さらに、金属アルコキシドあるいはその分
解生成物のゾル溶液を用い、これに微粉末を配合しても
構わない。なお、ここでの金属アルコキシドは半金属の
アルコキシドであってもよく、例えばケイ素やアルミニ
ウム、ジルコニウム、チタンなどのエトキシドをアルコ
ールと水との混合溶液に溶解または分散させ、次いで酸
を加えてゾル化させた後、SiO2 微粉末等を配合する
ことで調製される。金属アルコキシドの配合量は、溶液
の流動性が損なわれることがない範囲内で適宜設定され
ればよく、具体的には溶媒に対し70wt%以下程度で
ある。
Further, a sol solution of a metal alkoxide or a decomposition product thereof may be used and fine powder may be mixed therein. The metal alkoxide here may be a semi-metal alkoxide, for example, ethoxide such as silicon, aluminum, zirconium, or titanium is dissolved or dispersed in a mixed solution of alcohol and water, and then acid is added to form a sol. After that, it is prepared by blending SiO 2 fine powder and the like. The amount of the metal alkoxide compounded may be appropriately set within the range where the fluidity of the solution is not impaired, and is specifically about 70 wt% or less with respect to the solvent.

【0151】第3の発明において、ポリシランを主体と
した有機ケイ素化合物膜の所定の領域に紫外線を照射し
た後、上述したようなSiO2 微粉末等を含有するゾル
に浸漬してその紫外線照射部への含浸を行うと、例え
ば、微粉末の水、アルコールなどへの分散性が低い場合
にも、微粉末をゾル中に良好に分散させたうえで吸着さ
せることも可能となる。
In the third invention, after irradiating a predetermined region of the polysilane-based organosilicon compound film with ultraviolet rays, it is immersed in the sol containing the above-mentioned SiO 2 fine powder and the ultraviolet irradiation portion If the fine powder is poorly dispersible in water, alcohol, etc., the fine powder can be favorably dispersed in the sol and then adsorbed.

【0152】さらに、ケイ素のアルコキシドあるいはそ
の分解生成物のゾル溶液については、有機ケイ素化合物
膜を浸漬したときにこのケイ素のアルコキシドあるいは
その分解生成物が微粉末とともに吸着して、有機ケイ素
化合物膜を加熱乾燥した際、ポリシランへの紫外線の照
射で生成したシラノール性水酸基と相互に反応する。従
って、架橋成分としてSi−O−Si結合の三次元構造
に直接関与することになり、絶縁膜の耐久性などの向上
に寄与する。なおここでは、ケイ素のアルコキシドある
いはその分解生成物とポリシランへの紫外線の照射で生
成したシラノール性水酸基との反応を促進させる触媒が
ゾル溶液中に配合されていてもよい。
Further, regarding the sol solution of silicon alkoxide or its decomposition product, when the organic silicon compound film is immersed, the silicon alkoxide or its decomposition product is adsorbed together with the fine powder to form the organic silicon compound film. When heated and dried, they interact with the silanol hydroxyl groups generated by irradiation of polysilane with ultraviolet rays. Therefore, it directly participates in the three-dimensional structure of the Si-O-Si bond as a cross-linking component and contributes to the improvement of the durability of the insulating film. Here, a catalyst that accelerates the reaction between the alkoxide of silicon or its decomposition product and the silanol hydroxyl group generated by irradiation of polysilane with ultraviolet rays may be added to the sol solution.

【0153】またこのとき、アセトニトリル、ジオキサ
ン、テトラヒドロフランなどの親水性有機溶媒を適宜併
用することで、含浸の工程における微粉末の吸着速度を
速めることも可能である。ただし水溶性有機溶媒を併用
する場合、その量は20wt%以下に設定されることが
好ましい。この理由は、溶液中の水溶性有機溶媒の量が
多いと含浸の工程での膜の溶出が促進される傾向がある
からである。
At this time, it is possible to accelerate the adsorption rate of the fine powder in the impregnation step by appropriately using a hydrophilic organic solvent such as acetonitrile, dioxane, or tetrahydrofuran. However, when a water-soluble organic solvent is used in combination, the amount is preferably set to 20 wt% or less. The reason for this is that when the amount of the water-soluble organic solvent in the solution is large, the elution of the film in the impregnation step tends to be promoted.

【0154】なお、特にケイ素のアルコキシドあるいは
その分解生成物のゾル溶液は、微粉末を配合することな
く、微粉末の含浸工程の後に有機ケイ素化合物膜に含浸
させてもよい。この場合には、有機ケイ素化合物膜の露
光部にSiO2 等の微粉末を含浸させた後、加熱乾燥の
工程に先だってゾル溶液を有機ケイ素化合物膜に含浸さ
せる。この場合も、ケイ素のアルコキシドあるいはその
分解生成物が架橋成分となってSi−O−Si結合の三
次元構造を有するケイ素系マトリックスに取り込まれる
ので、結果的に耐久性などが優れた絶縁膜を得ることが
可能となる。
In particular, the sol solution of the silicon alkoxide or its decomposition product may be impregnated into the organic silicon compound film after the step of impregnating the fine powder without blending the fine powder. In this case, the exposed portion of the organic silicon compound film is impregnated with fine powder such as SiO 2 and then the sol solution is impregnated into the organic silicon compound film prior to the step of heating and drying. Also in this case, since the silicon alkoxide or its decomposition product becomes a cross-linking component and is incorporated into the silicon-based matrix having a three-dimensional structure of Si—O—Si bond, as a result, an insulating film having excellent durability and the like can be obtained. It becomes possible to obtain.

【0155】上述したようなポリシランおよび微粉末を
用いて本発明の絶縁膜を製造する場合、まず、ポリシラ
ンを含有する溶液を調製して透光性のガラスや樹脂など
からなる透明基板や半導体基板上に塗布した後、50〜
150℃程度の温度で乾燥させて溶媒を揮発させ、ポリ
シランを主体とした有機ケイ素化合物膜を成膜する。こ
のときポリシランの溶媒としては、トルエン、キシレ
ン、メチルエチルケトン、テトラヒドロフラン、エチル
アセテートセルソルブ、ブチロラクトン、ブチル乳酸な
どを用いることができ、ポリシランを1〜50wt%含
有する溶液を調製し、必要に応じて0.1μm程度のフ
ィルタで濾過した後、基板上にスピンコート法等により
塗布すればよい。
When the insulating film of the present invention is manufactured using the polysilane and the fine powder as described above, first, a solution containing polysilane is prepared and a transparent substrate or a semiconductor substrate made of translucent glass or resin. After applying on 50
It is dried at a temperature of about 150 ° C. to volatilize the solvent and form an organosilicon compound film mainly containing polysilane. At this time, as a solvent for polysilane, toluene, xylene, methyl ethyl ketone, tetrahydrofuran, ethyl acetate cellosolve, butyrolactone, butyl lactic acid, etc. can be used. After filtering with a filter of about 1 μm, it may be applied onto the substrate by spin coating or the like.

【0156】なお、ここで用いられるポリシランを含有
する溶液には、前述の第2の発明において説明したよう
な有機金属化合物、増感剤としてのラジカル発生剤およ
び酸発生剤等が配合されていてもよい。この場合、これ
らの成分の配合量は、上述した量と同程度とすることが
好ましい。
The solution containing polysilane used here contains the organometallic compound as described in the second invention, the radical generator as a sensitizer and the acid generator. Good. In this case, it is preferable that the blending amount of these components is approximately the same as the above-mentioned amounts.

【0157】次いで、基板上の有機ケイ素化合物膜の所
定の領域に、高圧水銀ランプ、キセノンランプ、エキシ
マレーザなどから紫外線を照射する。このように紫外線
を照射することで、第3の発明で用いられるポリシラン
は、紫外線のエネルギーを吸収してSi−Si結合が切
断された後、大気中の酸素や水分などを取り込んで酸化
され、側鎖でケイ素原子と直接結合した水素原子ととも
にシラノール性水酸基を生成する。
Then, a predetermined region of the organic silicon compound film on the substrate is irradiated with ultraviolet rays from a high pressure mercury lamp, a xenon lamp, an excimer laser or the like. By irradiating with ultraviolet rays in this manner, the polysilane used in the third invention absorbs the energy of ultraviolet rays to break the Si-Si bond, and then is oxidized by taking in oxygen and moisture in the atmosphere, A silanol-containing hydroxyl group is formed with a hydrogen atom directly bonded to a silicon atom in a side chain.

【0158】上述したような露光の工程において、紫外
線の波長は150〜400nm程度であればよいが、特
に200〜300nmの波長の紫外線を有機ケイ素化合
物膜に照射したときには、十分に絶縁化された絶縁膜が
得られやすい。また照射量は10mJ〜10J、さらに
は100mJから3J程度に設定されることが好まし
い。すなわち、照射量が10Jを越えると露光時間が長
時間化して製造性が低下するうえ、ピンホールなどが発
生して膜質も損なわれる傾向がある。一方10mJ未満
では、露光不足のため含浸の工程におけるポリシランの
紫外線照射部への微粉末の含浸が不十分となるおそれが
ある。
In the exposure process as described above, the wavelength of ultraviolet rays may be about 150 to 400 nm, but when the organic silicon compound film is irradiated with ultraviolet rays having a wavelength of 200 to 300 nm, the film is sufficiently insulated. An insulating film can be easily obtained. The irradiation dose is preferably set to 10 mJ to 10 J, and more preferably 100 mJ to 3 J. That is, when the irradiation amount exceeds 10 J, the exposure time becomes long and the manufacturability is deteriorated, and pinholes and the like tend to occur to deteriorate the film quality. On the other hand, if it is less than 10 mJ, the exposure of the polysilane to the ultraviolet-irradiated portion of the polysilane may be insufficient due to insufficient exposure.

【0159】次に、有機ケイ素化合物膜を、SiO2
の微粉末を含有する溶液に0〜50℃の温度下0.5〜
10分程度浸漬する。ここで、シラノール性水酸基が生
成した有機ケイ素化合物膜の紫外線照射部に、微粉末が
選択的に吸着する。含浸後の有機ケイ素化合物膜は、適
宜水洗する。続いて、有機ケイ素化合物膜を50〜15
0℃で5〜30分程度加熱乾燥することで、有機ケイ素
化合物膜中に浸透した溶媒が除去されるとともに、露光
の工程において、多数生成したシラノール性水酸基が相
互に反応し、結果的にSi−O−Si結合の三次元構造
を有するケイ素系マトリックスが形成される。従って、
このケイ素系マトリックス中にSiO2等の微粉末が含
有されてなる耐久性や機械的強度の優れた絶縁膜が得ら
れる。
Next, the organic silicon compound film is applied to a solution containing fine powder such as SiO 2 at a temperature of 0 to 50 ° C. for 0.5 to
Soak for about 10 minutes. Here, the fine powder is selectively adsorbed on the ultraviolet irradiation portion of the organosilicon compound film in which the silanol-containing hydroxyl group is generated. The organic silicon compound film after impregnation is washed with water as appropriate. Then, the organic silicon compound film is applied to 50 to 15
By heating and drying at 0 ° C. for about 5 to 30 minutes, the solvent that has penetrated into the organic silicon compound film is removed, and a large number of silanol hydroxyl groups generated during the exposure process interact with each other, resulting in Si. A silicon-based matrix having a three-dimensional structure of —O—Si bonds is formed. Therefore,
It is possible to obtain an insulating film having excellent durability and mechanical strength, in which fine powder such as SiO 2 is contained in the silicon-based matrix.

【0160】なお、上述したような有機ケイ素化合物膜
の露光が全面露光でない場合は、前記ケイ素化合物膜の
露光部にSiO2 等の微粉末を含浸させた後、この膜を
加熱処理する前に紫外線による全面露光を行ってもよ
い。この露光の工程において、紫外線の波長は150〜
400nm程度であればよいが、特に200〜300n
mの波長の紫外線を照射することが好ましい。また照射
量は、10mJ〜10J、さらには100mJ〜3J程
度に設定されることが好ましい。
When the above-mentioned exposure of the organosilicon compound film is not the whole surface exposure, after exposing the exposed part of the silicon compound film to fine powder such as SiO 2 and before heat-treating this film. You may perform the whole surface exposure by ultraviolet rays. In this exposure process, the wavelength of ultraviolet rays is 150-
It may be about 400 nm, but especially 200 to 300 n
It is preferable to irradiate ultraviolet rays having a wavelength of m. The irradiation dose is preferably set to about 10 mJ to 10 J, and more preferably about 100 mJ to 3 J.

【0161】また有機ケイ素化合物膜の露光部に微粉末
を含浸させる工程の後、加熱処理前に、上述したように
有機ケイ素化合物膜を金属アルコキシドのゾル溶液に浸
漬することもできる。このようにゾル溶液に浸漬するこ
とによって、全面露光の工程で生成したシラノール性水
酸基と、有機ケイ素化合物膜に吸着した金属アルコキシ
ドの金属が結合し、その後の加熱処理により極めて高い
架橋密度で、基板への密着性、耐熱性がさらに向上され
た絶縁膜を形成することができる。
Further, after the step of impregnating the exposed portion of the organosilicon compound film with the fine powder and before the heat treatment, the organosilicon compound film may be immersed in the sol solution of the metal alkoxide as described above. By soaking in the sol solution in this way, the silanol hydroxyl group generated in the entire exposure step and the metal of the metal alkoxide adsorbed on the organosilicon compound film are bonded, and the substrate is treated with a very high cross-linking density to give a substrate. It is possible to form an insulating film having further improved adhesiveness to and heat resistance.

【0162】さらに第3の発明の製造方法においても、
有機ケイ素化合物膜の所定の領域を選択的に溶解除去し
てパターニングされた絶縁膜を形成することが可能であ
る。以下に、パターニングされた絶縁膜の製造方法を詳
細に説明する。
Further, also in the manufacturing method of the third invention,
It is possible to selectively dissolve and remove a predetermined region of the organosilicon compound film to form a patterned insulating film. Hereinafter, a method for manufacturing a patterned insulating film will be described in detail.

【0163】まず、基板上に形成された有機ケイ素化合
物膜に、所定のパターンを有するマスクを介して上述と
同様の条件で紫外線を照射し、次いで、アルカリ水溶液
を用いて有機ケイ素化合物膜の露光部を選択的に溶解除
去することによって、パターニングされた有機ケイ素化
合物膜を得る。
First, the organosilicon compound film formed on the substrate is irradiated with ultraviolet rays under the same conditions as described above through a mask having a predetermined pattern, and then the organosilicon compound film is exposed using an alkaline aqueous solution. The patterned organosilicon compound film is obtained by selectively removing the portion by dissolution.

【0164】なお、このような方法によってパターニン
グされた有機ケイ素化合物膜を得るためには、使用され
るポリシランは、上記一般式(2)においてR1 が置換
または非置換のアリール基である必要がある。
In order to obtain an organosilicon compound film patterned by such a method, the polysilane used must have R 1 in the above general formula (2) as a substituted or unsubstituted aryl group. is there.

【0165】ここで用いられるアルカリ水溶液として
は、前述の第2の発明でポジ型パターンを形成する場合
と同様のものが挙げられる。すなわち、例えば、テトラ
メチルアンモニウムヒドロキシド、コリンなどの有機ア
ルカリ;KOH、NaOHなどの無機アルカリを用いる
ことができる。また、フッ酸水溶液を用いて露光部を溶
解除去することも可能である。なお、現像後の有機ケイ
素化合物膜は、適宜純水で水洗する。
As the alkaline aqueous solution used here, the same aqueous solution as in the case of forming the positive type pattern in the above-mentioned second invention can be mentioned. That is, for example, an organic alkali such as tetramethylammonium hydroxide or choline; an inorganic alkali such as KOH or NaOH can be used. It is also possible to dissolve and remove the exposed portion by using a hydrofluoric acid aqueous solution. The organic silicon compound film after development is washed with pure water as appropriate.

【0166】続いて、パターニングされた有機ケイ素化
合物膜に、前述と同様の条件で紫外線を照射して、シラ
ノール性水酸基(Si−OH)を生成させ、引き続い
て、パターニングされた有機ケイ素化合物膜をSiO2
等の微粉末を含有する溶液に浸漬して微粉末を吸着させ
る。最後に、有機ケイ素化合物膜を前述と同様の条件で
加熱処理することで、パターニングされた絶縁膜が得ら
れる。
Then, the patterned organosilicon compound film is irradiated with ultraviolet rays under the same conditions as described above to generate silanol-containing hydroxyl groups (Si-OH), and subsequently, the patterned organosilicon compound film is formed. SiO 2
And so on to adsorb the fine powder. Finally, the organosilicon compound film is heat-treated under the same conditions as described above to obtain a patterned insulating film.

【0167】なお、加熱処理工程の前には、前述と同様
の金属アルコキシドのゾル溶液に浸漬させて絶縁膜の耐
熱性等をさらに向上させることもできる。
Before the heat treatment step, the heat resistance and the like of the insulating film can be further improved by immersing it in the same sol solution of metal alkoxide as described above.

【0168】また、パターニングされた絶縁膜は、有機
ケイ素化合物膜の所定の領域に選択的に露光を施した
後、SiO2 等の微粉末を露光部に含浸し、熱処理、現
像処理の工程を経ることにより形成することもできる。
この場合には、まず、基板上に形成された有機ケイ素化
合物膜に対して、前述と同様の条件でパターン露光を行
なった後、この膜の露光部にSiO2 等の微粉末を選択
的に含浸させる。
Further, the patterned insulating film is formed by selectively exposing a predetermined region of the organosilicon compound film to light, and then impregnating the exposed portion with a fine powder such as SiO 2 to carry out the steps of heat treatment and development treatment. It can also be formed by passing through.
In this case, first, pattern exposure is performed on the organosilicon compound film formed on the substrate under the same conditions as described above, and then fine powder such as SiO 2 is selectively applied to the exposed portion of this film. Impregnate.

【0169】次に、有機ケイ素化合物膜を50〜150
℃で5〜30分程度、第2の発明でネガ型パターンを形
成する場合と同様に熱処理する。なお、熱処理工程の前
には、上述したような金属アルコキシドのゾル溶液に有
機ケイ素化合物膜を浸漬させて、絶縁膜の耐熱性等をさ
らに向上させることもできる。
Next, an organic silicon compound film is applied to 50-150.
Heat treatment is performed at 5 ° C. for about 5 to 30 minutes in the same manner as in the case of forming the negative pattern in the second invention. Before the heat treatment step, the heat resistance and the like of the insulating film can be further improved by immersing the organosilicon compound film in the sol solution of the metal alkoxide as described above.

【0170】この後、微粉末が含浸していない部分は、
有機溶媒またはアルカリ水溶液のいずれを用いて溶解除
去することも可能である。すなわち、微粉末が含浸して
いない未露光部はシラノール性水酸基が生成していない
ために、熱処理することによっても溶媒に不溶な架橋密
度のシロキサン結合(Si−O−Si)は形成されな
い。したがって、キシレン等の芳香族系溶媒;メチルエ
チルケトン等のケトン系溶媒;エタノール等のアルコー
ル系溶媒等で溶解除去することができる。一方、アルカ
リ水溶液で微粉末の未含浸部分を溶解除去するには、予
め有機ケイ素化合物膜に紫外線を照射して露光を施す。
ここでの露光は、前述と同様の条件で行うことができ、
波長が150〜400nm、より好ましくは200〜3
00nmの光を用いて行うことが好ましい。露光時の照
射量は、10mJ〜10J、より好ましくは100mJ
〜3Jにすることが望ましい。この露光によりシラノー
ル性水酸基が形成されるので、上述したようなアルカリ
水溶液を用いて容易に溶解除去される。ただしこの場合
は、使用されるポリシランにおいて上記一般式(2)中
のR1 が置換または非置換のアリール基である必要があ
る。なお、ここでもフッ酸水溶液を使用することができ
る。
After this, the portion not impregnated with the fine powder was
It can be dissolved and removed using either an organic solvent or an alkaline aqueous solution. That is, since silanol-containing hydroxyl groups are not generated in the unexposed portion not impregnated with the fine powder, the siloxane bond (Si—O—Si) having a crosslink density insoluble in the solvent is not formed even by the heat treatment. Therefore, it can be dissolved and removed with an aromatic solvent such as xylene; a ketone solvent such as methyl ethyl ketone; an alcohol solvent such as ethanol. On the other hand, in order to dissolve and remove the unimpregnated portion of the fine powder with the alkaline aqueous solution, the organosilicon compound film is previously exposed to ultraviolet rays for exposure.
The exposure here can be performed under the same conditions as described above,
The wavelength is 150 to 400 nm, more preferably 200 to 3
It is preferable to use light of 00 nm. The irradiation dose during exposure is 10 mJ to 10 J, more preferably 100 mJ.
It is desirable to set it to ~ 3J. Since this exposure forms silanol hydroxyl groups, it can be easily dissolved and removed using the alkaline aqueous solution as described above. However, in this case, in the polysilane used, R 1 in the general formula (2) needs to be a substituted or unsubstituted aryl group. Note that an aqueous solution of hydrofluoric acid can also be used here.

【0171】第3の発明の方法により、Si−O−Si
結合の三次元構造を有するガラス化したケイ素系マトリ
ックスからなり、このマトリックス中にSiO2 微粉末
およびSiN微粉末の少なくとも一方の微粉末が含浸さ
れた絶縁膜を製造することができる。得られる絶縁膜
は、第2の発明のケイ素ポリマー組成物を用いた場合と
同様に、含浸された微粉末に起因して膜の密度が上が
り、高抵抗、かつ高強度を有しており、SiO2 微粉末
を使用した場合には、さらに耐絶縁破壊性を高めること
ができる。また、SiN微粉末を使用した場合には、イ
オントラップ性およびH2 Oバリア性を付与することが
できる。
According to the method of the third invention, Si--O--Si
An insulating film comprising a vitrified silicon-based matrix having a three-dimensional structure of bonds and impregnated with at least one of SiO 2 fine powder and SiN fine powder can be produced. The obtained insulating film has a high film density due to the impregnated fine powder, high resistance, and high strength, as in the case of using the silicon polymer composition of the second invention. When SiO 2 fine powder is used, the dielectric breakdown resistance can be further enhanced. Further, when SiN fine powder is used, ion trapping property and H 2 O barrier property can be imparted.

【0172】[0172]

【発明の実施の形態】以下、実施例および比較例を示し
て本発明をさらに詳細に説明する。
BEST MODE FOR CARRYING OUT THE INVENTION Hereinafter, the present invention will be described in more detail with reference to Examples and Comparative Examples.

【0173】(実施例I) (実施例I−1)下記化学式で示される平均分子量4,
000のポリシラン15gをt−ブチル乳酸エステル1
00gに溶解させ、得られたレジスト液をシリコン基板
上に回転塗布した後、100℃で5分プリベークして厚
さ0.5μmのレジスト膜を成膜した。次いで、マスク
パターンを介して低圧水銀ランプから波長254nmの
紫外線を500mJ/cm2 照射し、2.38wt%テ
トラメチルアンモニウムヒドロキシド水溶液で25℃、
40秒の現像を行なったところ、パターン形状の良好な
ポジ型パターンが精度よく形成された。
(Example I) (Example I-1) An average molecular weight of 4 represented by the following chemical formula:
15 g of polysilane of 000 t-butyl lactate 1
After dissolving in 100 g, the obtained resist solution was spin-coated on a silicon substrate and then prebaked at 100 ° C. for 5 minutes to form a resist film having a thickness of 0.5 μm. Then, 500 mJ / cm 2 of ultraviolet ray having a wavelength of 254 nm is irradiated from a low pressure mercury lamp through a mask pattern, and a 2.38 wt% tetramethylammonium hydroxide aqueous solution is used at 25 ° C.
When development was performed for 40 seconds, a positive pattern having a good pattern shape was accurately formed.

【0174】[0174]

【化49】 Embedded image

【0175】式中、nは重合度を示す。In the formula, n represents the degree of polymerization.

【0176】(比較例I−1)下記化学式で示される平
均分子量4,000のポリシラン15gをt−ブチル乳
酸エステル100gに溶解させ、得られたレジスト液を
シリコン基板上に回転塗布した後、100℃で5分プリ
ベークして厚さ0.8μmのレジスト膜を成膜した。次
いで、マスクパターンを介して低圧水銀ランプから波長
254nmの紫外線を500mJ/cm2 照射し、25
℃の2.38wt%テトラメチルアンモニウムヒドロキ
シド水溶液に浸漬したが、パターンは全く形成されなか
った。
Comparative Example I-1 15 g of polysilane having an average molecular weight of 4,000 represented by the following chemical formula was dissolved in 100 g of t-butyl lactic acid ester, and the obtained resist solution was spin-coated on a silicon substrate. Pre-baking was performed at 5 ° C. for 5 minutes to form a resist film having a thickness of 0.8 μm. Then, 500 mJ / cm 2 of ultraviolet ray having a wavelength of 254 nm is irradiated from a low pressure mercury lamp through the mask pattern,
When immersed in a 2.38 wt% tetramethylammonium hydroxide aqueous solution at 0 ° C., no pattern was formed.

【0177】[0177]

【化50】 Embedded image

【0178】式中、nは重合度を示す。In the formula, n represents the degree of polymerization.

【0179】(実施例I−2)平均分子量が9,000
である以外は実施例(I−1)と同一構造のポリシラン
100gと、このポリシランの架橋剤となるラジカル発
生剤としてのベンゾイルペルオキシド4g及び不飽和化
合物であるフェニレンジアルデヒド6gをトルエン50
gに溶解させ、得られたレジスト液をシリコン基板上に
回転塗布した後、100℃で5分プリベークして厚さ
0.5μmのレジスト膜を成膜した。次いで、マスクパ
ターンを介して低圧水銀ランプから波長254nmの紫
外線を500mJ/cm2 照射し、2.48wt%テト
ラメチルアンモニウムヒドロキシド水溶液で25℃、4
0秒の現像を行なった結果、パターン形状の良好なポジ
型パターンが精度よく形成された。
(Example I-2) The average molecular weight is 9,000.
100 g of the polysilane having the same structure as in Example (I-1) except that the above is used, 4 g of benzoyl peroxide as a radical generator serving as a cross-linking agent of this polysilane, and 6 g of phenylenedialdehyde which is an unsaturated compound are added to 50 parts of toluene.
After being dissolved in g, the obtained resist solution was spin-coated on a silicon substrate and then prebaked at 100 ° C. for 5 minutes to form a resist film having a thickness of 0.5 μm. Next, ultraviolet rays having a wavelength of 254 nm are irradiated at 500 mJ / cm 2 from a low-pressure mercury lamp through a mask pattern, and the solution is made into a 2.48 wt% tetramethylammonium hydroxide aqueous solution at 25 ° C.
As a result of development for 0 seconds, a positive pattern having a good pattern shape was accurately formed.

【0180】さらに、このパターンを徐々に加熱して1
00℃〜200℃のステップベークを30分程度施した
ところ、パターン形状が損なわれることがなく、しかも
熱処理後のパターンの表面硬度は鉛筆硬度で3Hと充分
な強度を有していることが確認された。
Further, this pattern is gradually heated to 1
After a step bake at 00 ° C to 200 ° C for about 30 minutes, it was confirmed that the pattern shape was not impaired, and the surface hardness of the pattern after heat treatment was 3H in pencil hardness. Was done.

【0181】(実施例I−3)実施例(I−1)と同様
のポリシラン5gとアルカリ可溶性の有機化合物である
平均分子量12,000のポリビニルフェノール10g
をt−ブチル乳酸エステル100gに溶解させ、得られ
たレジスト液をシリコン基板上に回転塗布した後、10
0℃で5分プリベークして厚さ0.6μmのレジスト膜
を成膜した。次いで、マスクパターンを介して低圧水銀
ランプから波長254nmの紫外線を500mJ/cm
2 照射し、1.19wt%テトラメチルアンモニウムヒ
ドロキシド水溶液で25℃、40秒の現像を行なったと
ころ、パターン形状の良好なポジ型パターンが精度よく
形成された。
(Example I-3) 5 g of the same polysilane as in Example (I-1) and 10 g of polyvinylphenol having an average molecular weight of 12,000 which is an alkali-soluble organic compound.
Was dissolved in 100 g of t-butyl lactate, and the obtained resist solution was spin-coated on a silicon substrate and then 10
Prebaking was performed at 0 ° C. for 5 minutes to form a resist film having a thickness of 0.6 μm. Then, ultraviolet rays with a wavelength of 254 nm are emitted from the low-pressure mercury lamp through the mask pattern to 500 mJ / cm.
Two irradiations were performed, and development was performed with a 1.19 wt% tetramethylammonium hydroxide aqueous solution at 25 ° C. for 40 seconds. As a result, a positive pattern having a good pattern shape was accurately formed.

【0182】(比較例I−2)下記化学式で示される平
均分子量5,000のポリシラン5gとアルカリ可溶性
の有機化合物である平均分子量12,000のポリビニ
ルフェノール10gをt−ブチル乳酸エステル100g
に溶解させ、得られたレジスト液をシリコン基板上に回
転塗布した後、100℃で5分プリベークして厚さ0.
6μmのレジスト膜を成膜した。次いで、マスクパター
ンを介して低圧水銀ランプから波長254nmの紫外光
を500mJ/cm2 照射し、1.19wt%テトラメ
チルアンモニウムヒドロキシド水溶液で25℃、40秒
の現像を試みたが、パターンは全く形成されなかった。
(Comparative Example I-2) 5 g of polysilane having an average molecular weight of 5,000 represented by the following chemical formula and 10 g of polyvinylphenol having an average molecular weight of 12,000, which is an alkali-soluble organic compound, and 100 g of t-butyl lactic acid ester.
The obtained resist solution was spin-coated on a silicon substrate and then prebaked at 100 ° C. for 5 minutes to a thickness of 0.
A 6 μm resist film was formed. Next, 500 mJ / cm 2 of ultraviolet light having a wavelength of 254 nm was irradiated from a low pressure mercury lamp through a mask pattern, and an attempt was made to develop it with a 1.19 wt% tetramethylammonium hydroxide aqueous solution at 25 ° C. for 40 seconds, but the pattern did not appear at all. Not formed.

【0183】[0183]

【化51】 Embedded image

【0184】(実施例I−4)下記化学式で示される平
均分子量7,000のポリシラン5gとアルカリ可溶性
の有機化合物であるポリアミック酸(30℃で測定した
濃度0.5g/dlのN−メチル−2−ピロリドン溶液
の固有粘度:0.54dl/g)10gをγ−ブチロラ
クトン100gに溶解させ、得られたレジスト液をシリ
コン基板上に回転塗布した後、100℃で5分プリベー
クして厚さ1.2μmのレジスト膜を成膜した。次い
で、マスクパターンを介して低圧水銀ランプから波長2
54nmの紫外光を照射し、0.56wt%テトラメチ
ルアンモニウムヒドロキシド水溶液で25℃、10秒の
現像を行なったところ、パターン形状の良好なポジ型パ
ターンが精度よく形成された。さらにこのパターンに対
し、150℃で1時間、250℃で1時間、400℃で
1時間の熱処理を施したところ、パターン中のポリアミ
ック酸が充分にイミド化されてポリイミド樹脂を主体と
する膜パターンを得ることができた。
Example I-4 5 g of polysilane having an average molecular weight of 7,000 represented by the following chemical formula and polyamic acid which is an alkali-soluble organic compound (concentration of 0.5 g / dl N-methyl-measured at 30 ° C.) were used. Intrinsic viscosity of 2-pyrrolidone solution: 0.54 dl / g) 10 g was dissolved in 100 g of γ-butyrolactone, and the obtained resist solution was spin-coated on a silicon substrate and then prebaked at 100 ° C. for 5 minutes to give a thickness of 1 A resist film having a thickness of 0.2 μm was formed. Then, from the low-pressure mercury lamp through the mask pattern, the wavelength 2
After irradiation with 54 nm ultraviolet light and development with a 0.56 wt% tetramethylammonium hydroxide aqueous solution at 25 ° C. for 10 seconds, a positive pattern having a good pattern shape was accurately formed. Furthermore, when this pattern was subjected to heat treatment at 150 ° C. for 1 hour, 250 ° C. for 1 hour, and 400 ° C. for 1 hour, the polyamic acid in the pattern was sufficiently imidized and a film pattern mainly composed of a polyimide resin was formed. I was able to get

【0185】[0185]

【化52】 Embedded image

【0186】式中、PSはポリシラン骨格である。In the formula, PS is a polysilane skeleton.

【0187】(実施例I−5)下記化学式で示される平
均分子量12,000のポリシラン2gをトルエン10
gに溶解して、20wt%の溶液を調製した。得られた
レジスト液をシリコン基板上に回転塗布した後、100
℃で5分プリベークして厚さ0.7μmのレジスト膜を
成膜した。次いで、マスクパターンを介して低圧水銀ラ
ンプから波長254nmの紫外線を1J/cm2 照射
し、2.38wt%のテトラメチルアンモニウムヒドロ
キシド水溶液で25℃、90秒現像したところ、線幅
1.0μmのパターンが形成された。さらに、このパタ
ーンを室温から400℃まで徐々に昇温したところ、誘
電率2.8%、体積抵抗率1×1013Ω・cmの絶縁膜
が得られた。
Example I-5 2 g of polysilane having an average molecular weight of 12,000 represented by the following chemical formula was added to 10 parts of toluene.
It was dissolved in g to prepare a 20 wt% solution. After spin coating the obtained resist solution on a silicon substrate, 100
Prebaking was performed at 5 ° C. for 5 minutes to form a 0.7 μm thick resist film. Then, 1 J / cm 2 of ultraviolet ray having a wavelength of 254 nm was irradiated from a low-pressure mercury lamp through a mask pattern, and developed with a 2.38 wt% tetramethylammonium hydroxide aqueous solution at 25 ° C. for 90 seconds, and a line width of 1.0 μm was obtained. A pattern was formed. Furthermore, when this pattern was gradually heated from room temperature to 400 ° C., an insulating film having a dielectric constant of 2.8% and a volume resistivity of 1 × 10 13 Ω · cm was obtained.

【0188】[0188]

【化53】 Embedded image

【0189】式中、nは重合度を示す。In the formula, n represents the degree of polymerization.

【0190】なお、前述した工程において、パターン露
光後の膜を150℃で10分加熱し、トルエンで90秒
間現像したところ、前述と同様に線幅1.0μmで反転
パターンが形成された。このパターンを室温から400
℃まで徐々に昇温したところ、誘電率2.8%、体積抵
抗率1×1013Ω・cmの絶縁膜が得られた。
In the above-mentioned step, the film after pattern exposure was heated at 150 ° C. for 10 minutes and developed with toluene for 90 seconds. As a result, an inverted pattern having a line width of 1.0 μm was formed. This pattern from room temperature to 400
When the temperature was gradually raised to 0 ° C., an insulating film having a dielectric constant of 2.8% and a volume resistivity of 1 × 10 13 Ω · cm was obtained.

【0191】(実施例I−6)下記化学式で示される平
均分子量12,000のポリシランを用いる以外は、前
述の実施例(I−5)と同様の溶液を調製し、前述と同
様にしてこの溶液を基板上に塗布し、露光、現像を行っ
たところ、線幅1.0μmのパターンが形成された。さ
らに、このパターンを室温から400℃まで徐々に昇温
したところ、誘電率3.2%、体積抵抗率1×1013Ω
・cmの絶縁膜が得られた。
Example I-6 A solution similar to the above Example (I-5) was prepared except that polysilane having an average molecular weight of 12,000 represented by the following chemical formula was used, and this solution was prepared in the same manner as above. When the solution was applied onto the substrate, exposed and developed, a pattern having a line width of 1.0 μm was formed. Furthermore, when this pattern was gradually heated from room temperature to 400 ° C., the dielectric constant was 3.2% and the volume resistivity was 1 × 10 13 Ω.
An insulating film of cm was obtained.

【0192】[0192]

【化54】 Embedded image

【0193】式中、nは重合度を示す。In the formula, n represents the degree of polymerization.

【0194】以上の結果から、本発明のレジストを用い
ることにより、アルカリ現像が可能で微細なパターンを
精度よく形成することができるポリシランを含有するレ
ジストが得られることがわかる。
From the above results, it is understood that by using the resist of the present invention, it is possible to obtain a resist containing polysilane which can be developed with an alkali and can form a fine pattern with high accuracy.

【0195】(実施例II)まず、本実施例で用いられる
ポリシランを以下のように合成した。
Example II First, the polysilane used in this example was synthesized as follows.

【0196】(ポリシランAZrの合成)アルゴン雰囲
気下−20℃で乾燥したジエチルエーテル60ml及び
ジルコノセンジクロル5.34gを攪拌し、ここに1.
5Mのメチルリチウムを少量ずつ添加し70分間攪拌し
た。さらに0℃で30分攪拌した後、ジエチルエーテル
を取り除き、生成した白い固体を昇華してジルコノセン
ジメチルを調製した。
(Synthesis of Polysilane AZr) 60 ml of diethyl ether and 5.34 g of zirconocene dichlore which had been dried at −20 ° C. under an argon atmosphere were stirred, and 1.
5M Methyllithium was added little by little and stirred for 70 minutes. After further stirring at 0 ° C. for 30 minutes, diethyl ether was removed, and the produced white solid was sublimated to prepare zirconocene dimethyl.

【0197】次に、フェニルシランにこのジルコノセン
ジメチルを50:1のモル比で添加し、フェニルシラン
を室温下5時間重合させた。次いで、得られた粗製のポ
リマーをトルエンに溶解し、メタノール中に攪拌しなが
ら投入してポリマーを再沈させた。さらに、ポリマーを
同様にメタノール中で2回再沈させた後80〜90℃で
減圧乾燥して、重量平均分子量約6,000の下記化学
式で示されるポリシランAZrを得た。
Next, this zirconocene dimethyl was added to phenylsilane at a molar ratio of 50: 1, and phenylsilane was polymerized at room temperature for 5 hours. Next, the obtained crude polymer was dissolved in toluene and poured into methanol with stirring to reprecipitate the polymer. Further, the polymer was similarly reprecipitated twice in methanol and then dried under reduced pressure at 80 to 90 ° C. to obtain polysilane AZr represented by the following chemical formula and having a weight average molecular weight of about 6,000.

【0198】[0198]

【化55】 Embedded image

【0199】式中、nは重合度を示す。In the formula, n represents the degree of polymerization.

【0200】(ポリシランBZrの合成)上述したよう
に合成されたポリシランAZr10g及びアゾビス(イ
ソブチロニトリル)15.5gをアセトン50gに溶解
し3日間還流することで、ケイ素原子と結合する水素原
子の50%をイソプロピル基に置換せしめ、重量平均分
子量約6,000の下記化学式で示されるポリシランB
Zrを得た。
(Synthesis of polysilane BZr) 10 g of the polysilane AZr and 15.5 g of azobis (isobutyronitrile) synthesized as described above were dissolved in 50 g of acetone and refluxed for 3 days to remove hydrogen atoms bonded to silicon atoms. Polysilane B represented by the following chemical formula having a weight average molecular weight of about 6,000, in which 50% is replaced with an isopropyl group.
Zr was obtained.

【0201】[0201]

【化56】 Embedded image

【0202】(ポリシランCNaの合成)メチルジクロ
ロシラン10g及びメチルフェニルジクロロシラン1
6.6gをトルエンに溶解し、50wt%トルエン溶液
を調製した。一方1L用フラスコ中、細断した金属Na
8.4gをトルエン100mlに分散させ、ここに先の
50wt%トルエン溶液を110℃で少しずつ滴下し
た。2時間攪拌した後、室温まで温度を下げてアルゴン
雰囲気下で濾過した濾液を濃縮し、これをイソプロピル
アルコールに滴下してポリマーを析出させた。
(Synthesis of polysilane CNa) 10 g of methyldichlorosilane and 1 of methylphenyldichlorosilane
6.6 g was dissolved in toluene to prepare a 50 wt% toluene solution. On the other hand, in a 1 L flask, shredded metal Na
8.4 g was dispersed in 100 ml of toluene, and the above 50 wt% toluene solution was added dropwise thereto little by little at 110 ° C. After stirring for 2 hours, the temperature was lowered to room temperature and the filtrate filtered under an argon atmosphere was concentrated, and the filtrate was added dropwise to isopropyl alcohol to precipitate a polymer.

【0203】次いで、得られたポリマーを減圧乾燥して
再びトルエンに溶解し、水洗後同様にイソプロピルアル
コールに滴下することでポリマーを調製した。さらに、
このような操作を5回繰返した後ポリマーを減圧乾燥
し、重量平均分子量約12,000の下記化学式で示さ
れるポリシランCNaを得た。
Next, the obtained polymer was dried under reduced pressure, dissolved in toluene again, washed with water, and similarly dropped into isopropyl alcohol to prepare a polymer. further,
After repeating this operation 5 times, the polymer was dried under reduced pressure to obtain polysilane CNa represented by the following chemical formula and having a weight average molecular weight of about 12,000.

【0204】[0204]

【化57】 Embedded image

【0205】(ポリシランENaの合成)メチルジクロ
ロシラン10g、メチルフェニルジクロロシラン10g
及びフェニルトリクロロシラン7.4gをトルエンに溶
解して50wt%トルエン溶液を調製した以外は、ポリ
シランCNaを合成したときと全く同様にして、重量平
均分子量約15,000の下記化学式で示されるポリシ
ランENaを得た。
(Synthesis of Polysilane ENa) 10 g of methyldichlorosilane and 10 g of methylphenyldichlorosilane.
And phenyltrichlorosilane (7.4 g) were dissolved in toluene to prepare a 50 wt% toluene solution, and polysilane ENa represented by the following chemical formula having a weight average molecular weight of about 15,000 was prepared in exactly the same manner as when polysilane CNa was synthesized. Got

【0206】[0206]

【化58】 Embedded image

【0207】式中、PSはポリシラン骨格である。In the formula, PS is a polysilane skeleton.

【0208】(ケイ素ポリマー組成物の調製)ポリシラ
ンAZr15gをトルエン85gに溶解してトルエン溶
液を得、さらにこの溶液に、平均粒径約0.2μmのS
iO2 微粉末2.5gを分散剤により分散させてケイ素
ポリマー組成物(P−1)を得た。
(Preparation of Silicon Polymer Composition) Polysilane AZr (15 g) was dissolved in toluene (85 g) to obtain a toluene solution, and the solution was added with S having an average particle size of about 0.2 μm.
2.5 g of iO 2 fine powder was dispersed with a dispersant to obtain a silicon polymer composition (P-1).

【0209】また、ポリシランAZr15gをトルエン
85gに溶解してトルエン溶液を得、さらにこの溶液
に、平均粒径約0.2μmのSiN微粉末5gを分散剤
により分散させてケイ素ポリマー組成物(P−2)を得
た。
Further, 15 g of polysilane AZr was dissolved in 85 g of toluene to obtain a toluene solution, and 5 g of SiN fine powder having an average particle diameter of about 0.2 μm was dispersed in the solution with a dispersant to obtain a silicon polymer composition (P- 2) was obtained.

【0210】(実施例II−1)ケイ素ポリマー組成物
(P−1)をシリコン基板上に回転塗布した後、100
℃で5分プリベークして厚さ2μmの有機ケイ素化合物
膜を形成した。次いで、有機ケイ素化合物膜にマスクパ
ターンを介して低圧水銀ランプからの紫外線を1J/c
2 照射し、2.38wt%テトラメチルアンモニウム
ヒドロキシド水溶液で25℃、1分現像を行なった結
果、ポジ型パターンが形成された。
Example II-1 The silicon polymer composition (P-1) was spin-coated on a silicon substrate, and then 100
Prebaking was performed at 5 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. Then, the organic silicon compound film was exposed to 1 J / c of ultraviolet rays from a low pressure mercury lamp through a mask pattern.
After irradiation with m 2 and development with a 2.38 wt% tetramethylammonium hydroxide aqueous solution at 25 ° C. for 1 minute, a positive pattern was formed.

【0211】このパターンを400℃で1時間加熱した
ところ、鉛筆硬度5Hの緻密な絶縁膜が得られた。得ら
れた膜についてシリコン基板との密着性を碁盤目試験で
評価したところ、100/100が基板上に残存してお
り、膜の剥離は全く認められなかった。さらに、この膜
の体積抵抗率は1×1014Ω・cmと良好であり、かつ
300℃に加熱しても軟化しなかった。
When this pattern was heated at 400 ° C. for 1 hour, a dense insulating film having a pencil hardness of 5H was obtained. When the adhesion of the obtained film with a silicon substrate was evaluated by a cross-cut test, 100/100 remained on the substrate, and no peeling of the film was observed. Furthermore, the volume resistivity of this film was as good as 1 × 10 14 Ω · cm, and it did not soften even when heated to 300 ° C.

【0212】(実施例II−2)ケイ素ポリマー組成物
(P−1)をシリコン基板上に回転塗布した後、100
℃で5分プリベークして厚さ2μmの有機ケイ素化合物
膜を形成した。次いで、有機ケイ素化合物膜にマスクパ
ターンを介して低圧水銀ランプからの紫外線を1J/c
2 照射した後、この基板を150℃で10分加熱し
た。その後、トルエンで1分間現像した結果、ネガ型パ
ターンが形成された。
Example II-2 A silicon polymer composition (P-1) was spin-coated on a silicon substrate, and then 100
Prebaking was performed at 5 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. Then, the organic silicon compound film was exposed to 1 J / c of ultraviolet rays from a low pressure mercury lamp through a mask pattern.
After irradiation with m 2 , the substrate was heated at 150 ° C. for 10 minutes. Then, as a result of developing with toluene for 1 minute, a negative pattern was formed.

【0213】このパターンを400℃で1時間加熱した
ところ、鉛筆硬度5Hの緻密な絶縁膜が得られた。得ら
れた膜についてシリコン基板との密着性を碁盤目試験で
評価したところ、100/100が基板上に残存してお
り、膜の剥離は全く認められなかった。さらに、この膜
の体積抵抗率は1×1014Ω・cmと良好であり、かつ
300℃に加熱しても軟化しなかった。
When this pattern was heated at 400 ° C. for 1 hour, a dense insulating film having a pencil hardness of 5H was obtained. When the adhesion of the obtained film with a silicon substrate was evaluated by a cross-cut test, 100/100 remained on the substrate, and no peeling of the film was observed. Furthermore, the volume resistivity of this film was as good as 1 × 10 14 Ω · cm, and it did not soften even when heated to 300 ° C.

【0214】(実施例II−3)ケイ素ポリマー組成物
(P−2)をシリコン基板上に回転塗布した後、100
℃で5分プリベークして厚さ2μmの有機ケイ素化合物
膜を形成した。次いで、有機ケイ素化合物膜にマスクパ
ターンを介して低圧水銀ランプからの紫外線を1J/c
2 照射し、2.38wt%テトラメチルアンモニウム
ヒドロキシド水溶液で1分間現像した結果、ポジ型パタ
ーンが形成された。
(Example II-3) The silicon polymer composition (P-2) was spin-coated on a silicon substrate, and then 100
Prebaking was performed at 5 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. Then, the organic silicon compound film was exposed to 1 J / c of ultraviolet rays from a low pressure mercury lamp through a mask pattern.
After irradiation with m 2 and development with a 2.38 wt% tetramethylammonium hydroxide aqueous solution for 1 minute, a positive pattern was formed.

【0215】このパターンに対し低圧水銀ランプから紫
外線を1J/cm2 照射した後、300℃で1時間加熱
したところ、鉛筆硬度5Hの緻密な絶縁膜が得られた。
得られた膜についてシリコン基板との密着性を碁盤目試
験で評価したところ、100/100が基板上に残存し
ており、膜の剥離は全く認められなかった。さらに、こ
の膜の体積抵抗率は1×1014Ω・cmと良好であり、
かつ300℃に加熱しても軟化しなかった。
This pattern was irradiated with 1 J / cm 2 of ultraviolet rays from a low-pressure mercury lamp and then heated at 300 ° C. for 1 hour, whereby a dense insulating film having a pencil hardness of 5H was obtained.
When the adhesion of the obtained film with a silicon substrate was evaluated by a cross-cut test, 100/100 remained on the substrate, and no peeling of the film was observed. Furthermore, the volume resistivity of this film is as good as 1 × 10 14 Ω · cm,
Moreover, it did not soften even when heated to 300 ° C.

【0216】さらに、以下のようにして、Naトラップ
性およびH2 Oバリアー性を調べた。まず、シリコン基
板の表面にCVD法によりSiO2 膜を形成した後、こ
のSiO2 膜の表面に、ポジ型パターンを形成しないこ
とを除いて前述と同様にして絶縁膜を形成して試料とし
た。
Further, the Na trapping property and the H 2 O barrier property were examined as follows. First, a SiO 2 film was formed on the surface of a silicon substrate by a CVD method, and then an insulating film was formed on the surface of this SiO 2 film in the same manner as described above except that a positive pattern was not formed to obtain a sample. .

【0217】この試料を1wt%NaOH水溶液に1時
間浸漬した後、SIMSにより基板および絶縁膜中のN
aイオンの検出を試みた。その結果、Naイオンは絶縁
膜の表面付近に吸着され、SiO2 膜にはその存在は認
められなかった。
After this sample was immersed in a 1 wt% NaOH aqueous solution for 1 hour, SIMS was used to remove N in the substrate and the insulating film.
An attempt was made to detect a ion. As a result, Na ions were adsorbed in the vicinity of the surface of the insulating film, and their existence was not recognized in the SiO 2 film.

【0218】H2 Oバリアー性の評価に当たっては、ま
ず、前述と同様の試料をD2 Oを収容したシャーレ内に
1日放置した。この際、基板の塗布面がD2 O雰囲気に
曝されるように配置した。その後、SIMSにより基板
および絶縁膜中のD2 Oの検出を試みた結果、絶縁膜中
およびSiO2 膜中にはD2 Oの存在は認められなかっ
た。
In evaluating the H 2 O barrier property, first, the same sample as described above was left for 1 day in a Petri dish containing D 2 O. At this time, the coated surface of the substrate was placed so as to be exposed to the D 2 O atmosphere. After that, as a result of attempting to detect D 2 O in the substrate and the insulating film by SIMS, the presence of D 2 O was not found in the insulating film and the SiO 2 film.

【0219】(実施例II−4)ケイ素ポリマー組成物
(P−2)をシリコン基板上に回転塗布した後、100
℃で5分プリベークして厚さ2μmの有機ケイ素化合物
膜を形成した。次いで、有機ケイ素化合物膜にマスクパ
ターンを介して低圧水銀ランプからの紫外線を1J/c
2 照射した後、この基板を150℃で10分加熱し
た。その後、トルエンで1分間現像した結果、ネガ型パ
ターンが形成された。
(Example II-4) After the silicon polymer composition (P-2) was spin-coated on a silicon substrate, 100
Prebaking was performed at 5 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. Then, the organic silicon compound film was exposed to 1 J / c of ultraviolet rays from a low pressure mercury lamp through a mask pattern.
After irradiation with m 2 , the substrate was heated at 150 ° C. for 10 minutes. Then, as a result of developing with toluene for 1 minute, a negative pattern was formed.

【0220】このパターンを400℃で1時間加熱した
ところ、鉛筆硬度5Hの緻密な絶縁膜が得られた。得ら
れた膜についてシリコン基板との密着性を碁盤目試験で
評価したところ、100/100が基板上に残存してお
り、膜の剥離は全く認められなかった。さらに、この膜
の体積抵抗率は1×1014Ω・cmと良好であり、かつ
300℃に加熱しても軟化しなかった。
When this pattern was heated at 400 ° C. for 1 hour, a dense insulating film having a pencil hardness of 5H was obtained. When the adhesion of the obtained film with a silicon substrate was evaluated by a cross-cut test, 100/100 remained on the substrate, and no peeling of the film was observed. Furthermore, the volume resistivity of this film was as good as 1 × 10 14 Ω · cm, and it did not soften even when heated to 300 ° C.

【0221】さらに、前述の実施例(II−3)と同様に
して、有機ケイ素化合物膜のNaトラップ性およびH2
Oバリアー性を調べたところ、Naトラップ能力および
2Oバリアー性を有していることを確認した。
Further, in the same manner as in the above-mentioned Example (II-3), the Na-trapping property and H 2 of the organosilicon compound film were
When the O barrier property was examined, it was confirmed that it had Na trapping ability and H 2 O barrier property.

【0222】(比較例II−1)ポリシランAZrのかわ
りに、平均分子量8,000のポリメチルフェニルシラ
ンを含有するケイ素ポリマー組成物を用いた以外は実施
例(II−1)と全く同様にして、有機ケイ素化合物膜を
形成した。次いで、この有機ケイ素化合物膜を400℃
に加熱したが十分に緻密な膜は得られず、鉛筆硬度Bで
膜が損傷するとともに基板から剥離した。
(Comparative Example II-1) In the same manner as in Example (II-1) except that a silicon polymer composition containing polymethylphenylsilane having an average molecular weight of 8,000 was used in place of polysilane AZr. , An organosilicon compound film was formed. Then, the organic silicon compound film is heated to 400 ° C.
However, a sufficiently dense film was not obtained, and the film was damaged by the pencil hardness B and peeled from the substrate.

【0223】(実施例II−5)ポリシランAZrの15
wt%トルエン溶液をシリコンウェハ基板上に回転塗布
した後、100℃で5分プリベークして厚さ2μmの有
機ケイ素化合物膜を形成した。得られた有機ケイ素化合
物膜に低圧水銀ランプからの紫外線を1J/cm2 照射
した。続いて、SiO2 微粉末(平均粒径約0.1μ
m)を10wt%の濃度で分散させたイソプロピルアル
コール溶液に上記ウェハを浸漬し、水洗後150℃で3
0分、400℃で1時間加熱乾燥させることにより、S
iO2 微粉末が含浸したケイ素系マトリックスからなる
絶縁膜を形成することができた。
Example II-5 Polysilane AZr 15
A wt% toluene solution was spin-coated on a silicon wafer substrate and then prebaked at 100 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. The obtained organic silicon compound film was irradiated with ultraviolet rays from a low pressure mercury lamp at 1 J / cm 2 . Then, SiO 2 fine powder (average particle size of about 0.1 μm)
The wafer was immersed in an isopropyl alcohol solution in which m) was dispersed at a concentration of 10 wt%, washed with water, and then at 150 ° C. for 3 minutes.
By heating and drying for 0 minutes at 400 ° C. for 1 hour, S
An insulating film composed of a silicon-based matrix impregnated with iO 2 fine powder could be formed.

【0224】得られた膜は鉛筆硬度が5Hと十分に緻密
であり、またシリコンウェハ基板との密着性を碁盤目試
験で評価したところ、100/100が基板上に残存し
ており、膜の剥離は全く認められなかった。さらにこの
膜の体積抵抗率は1×1014Ω・cmと良好であり、か
つ300℃に加熱しても軟化しなかった。
The obtained film was sufficiently dense with a pencil hardness of 5H, and the adhesiveness to a silicon wafer substrate was evaluated by a cross-cut test. As a result, 100/100 remained on the substrate and No peeling was observed at all. Furthermore, the volume resistivity of this film was as good as 1 × 10 14 Ω · cm, and it did not soften even when heated to 300 ° C.

【0225】(実施例II−6)ポリシランBZrの15
wt%トルエン溶液をシリコンウェハ基板上に回転塗布
した後、100℃で5分プリベークして厚さ2μmの有
機ケイ素化合物膜を形成した。得られた有機ケイ素化合
物膜に低圧水銀ランプからの紫外線を1J/cm2 照射
した。続いて、SiN微粉末(平均粒径約0.1μm)
を10wt%の濃度で分散させたイソプロピルアルコー
ル溶液に上記ウェハを浸漬し、水洗後150℃で30
分、400℃で1時間乾燥させることにより、SiN微
粉末が含浸したケイ素系マトリックスからなる絶縁膜を
形成することができた。
Example II-6 Polysilane BZr 15
A wt% toluene solution was spin-coated on a silicon wafer substrate and then prebaked at 100 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. The obtained organic silicon compound film was irradiated with ultraviolet rays from a low pressure mercury lamp at 1 J / cm 2 . Subsequently, SiN fine powder (average particle size of about 0.1 μm)
The above wafer is immersed in an isopropyl alcohol solution in which is dispersed at a concentration of 10 wt%, washed with water, and then heated at 150 ° C.
By drying for 1 minute at 400 ° C., an insulating film composed of a silicon-based matrix impregnated with SiN fine powder could be formed.

【0226】得られた膜は鉛筆硬度が5Hと十分に緻密
であり、またシリコンウェハ基板との密着性を碁盤目試
験で評価したところ、100/100が基板上に残存し
ており、膜の剥離は全く認められなかった。さらにこの
膜の体積抵抗率は1×1014Ω・cmと良好であり、か
つ300℃に加熱しても軟化しなかった。
The obtained film was sufficiently dense with a pencil hardness of 5H, and the adhesiveness to a silicon wafer substrate was evaluated by a cross-cut test. As a result, 100/100 remained on the substrate and No peeling was observed at all. Furthermore, the volume resistivity of this film was as good as 1 × 10 14 Ω · cm, and it did not soften even when heated to 300 ° C.

【0227】さらに、前述の実施例(II−3)と同様に
して、有機ケイ素化合物膜のNaトラップ性およびH2
Oバリアー性を調べたところ、Naトラップ能力および
2Oバリアー性を有していることを確認した。
Further, in the same manner as in the above-mentioned Example (II-3), the Na-trapping property and H 2 of the organic silicon compound film were
When the O barrier property was examined, it was confirmed that it had Na trapping ability and H 2 O barrier property.

【0228】(実施例II−7)ポリシランENaの15
wt%トルエン溶液をシリコンウェハ基板上に回転塗布
した後、100℃で10分プリベークして厚さ2μmの
有機ケイ素化合物膜を形成した。得られた有機ケイ素化
合物膜に低圧水銀ランプからの紫外線を1J/cm2
射した。続いて、SiN微粉末(平均粒径約0.1μ
m)を10wt%の濃度で分散させたイソプロピルアル
コール溶液に上記ウェハを浸漬し、水洗後150℃で3
0分、400℃で1時間乾燥させることにより、SiN
微粉末が含浸したケイ素系マトリックスからなる絶縁膜
を形成することができた。
Example II-7 Polysilane ENa 15
A wt% toluene solution was spin-coated on a silicon wafer substrate and then prebaked at 100 ° C. for 10 minutes to form an organosilicon compound film having a thickness of 2 μm. The obtained organic silicon compound film was irradiated with ultraviolet rays from a low pressure mercury lamp at 1 J / cm 2 . Then, SiN fine powder (average particle size of about 0.1μ
The wafer was immersed in an isopropyl alcohol solution in which m) was dispersed at a concentration of 10 wt%, washed with water, and then at 150 ° C. for 3 minutes.
By drying for 0 minutes at 400 ° C for 1 hour, SiN
An insulating film composed of a silicon-based matrix impregnated with fine powder could be formed.

【0229】得られた膜は鉛筆硬度が5Hと十分に緻密
であり、またシリコンウェハ基板との密着性を碁盤目試
験で評価したところ、100/100が基板上に残存し
ており、膜の剥離は全く認められなかった。さらにこの
膜の体積抵抗率は1×1014Ω・cmと良好であり、か
つ300℃に加熱しても軟化しなかった。
The obtained film was sufficiently dense with a pencil hardness of 5H, and the adhesiveness to a silicon wafer substrate was evaluated by a cross-cut test. As a result, 100/100 remained on the substrate. No peeling was observed at all. Furthermore, the volume resistivity of this film was as good as 1 × 10 14 Ω · cm, and it did not soften even when heated to 300 ° C.

【0230】さらに、前述の実施例(II−3)と同様に
して、有機ケイ素化合物膜のNaトラップ性およびH2
Oバリアー性を調べたところ、Naトラップ能力および
2Oバリアー性を有していることを確認した。
Further, in the same manner as in the above-mentioned Example (II-3), the Na-trapping property of the organosilicon compound film and H 2
When the O barrier property was examined, it was confirmed that it had Na trapping ability and H 2 O barrier property.

【0231】(実施例II−8)ポリシランCNaの15
wt%トルエン溶液をシリコンウェハ基板上に回転塗布
した後、100℃で5分プリベークして厚さ2μmの有
機ケイ素化合物膜を作製した。得られた有機ケイ素化合
物膜にマスクパターンを介して低圧水銀ランプからの紫
外線を1J/cm2 照射した。続いて、SiN微粉末
(平均粒径約0.1μm)を10wt%の濃度で分散さ
せたイソプロピルアルコール溶液に上記ウェハを浸漬
し、水洗後150℃で30分乾燥させることにより、露
光部に選択的にSiN微粉末が含浸したケイ素系マトリ
ックスからなる絶縁膜を形成することができた。
Example II-8 15 Polysilane CNa
A wt% toluene solution was spin-coated on a silicon wafer substrate and then prebaked at 100 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. The obtained organic silicon compound film was irradiated with ultraviolet rays from a low pressure mercury lamp at 1 J / cm 2 through a mask pattern. Subsequently, the above wafer is immersed in an isopropyl alcohol solution in which SiN fine powder (average particle size: about 0.1 μm) is dispersed at a concentration of 10 wt%, washed with water, and dried at 150 ° C. for 30 minutes to select an exposed portion. As a result, an insulating film made of a silicon-based matrix impregnated with SiN fine powder could be formed.

【0232】(実施例II−9)ポリシランBZrの15
wt%トルエン溶液をシリコンウェハ基板上に回転塗布
した後、100℃で5分プリベークして厚さ2μmの有
機ケイ素化合物膜を形成した。得られた有機ケイ素化合
物膜にマスクパターンを介して低圧水銀ランプからの紫
外線を1J/cm2 照射した。続いて、SiN微粉末
(平均粒径約0.1μm)を10wt%の濃度で分散さ
せたイソプロピルアルコール溶液に上記ウェハを浸漬
し、水洗後150℃で30分乾燥させることにより、露
光部に選択的にSiN微粉末が含浸したケイ素系マトリ
ックスからなる絶縁膜を形成することができた。
Example II-9 Polysilane BZr 15
A wt% toluene solution was spin-coated on a silicon wafer substrate and then prebaked at 100 ° C. for 5 minutes to form an organosilicon compound film having a thickness of 2 μm. The obtained organic silicon compound film was irradiated with ultraviolet rays from a low pressure mercury lamp at 1 J / cm 2 through a mask pattern. Subsequently, the above wafer is immersed in an isopropyl alcohol solution in which SiN fine powder (average particle size: about 0.1 μm) is dispersed at a concentration of 10 wt%, washed with water, and dried at 150 ° C. for 30 minutes to select an exposed portion. As a result, an insulating film made of a silicon-based matrix impregnated with SiN fine powder could be formed.

【0233】さらに、この膜に低圧水銀ランプから全面
照射を行った後、2.38wt%テトラメチルアンモニ
ウムヒドロキシド水溶液で1分現像したところ、SiN
微粉末が含浸していない部分が溶解除去され、パターニ
ングされた絶縁膜が形成された。このパターンを400
℃で1時間加熱することにより、緻密な絶縁膜パターン
を形成することができた。
Further, the entire surface of this film was irradiated with a low pressure mercury lamp and then developed with a 2.38 wt% tetramethylammonium hydroxide aqueous solution for 1 minute.
The portion not impregnated with the fine powder was dissolved and removed, and a patterned insulating film was formed. 400 this pattern
By heating at 0 ° C. for 1 hour, a dense insulating film pattern could be formed.

【0234】(比較例II−2)ポリシランAZrの代わ
りに平均分子量8,000のポリメチルフェニルシラン
を用いた以外は、実施例(II−5)と全く同様にしてS
iO2 微粉末が含浸した絶縁膜を形成した。しかしなが
ら、ここで得られた膜では、鉛筆硬度がBで膜が損傷す
るとともに基板から剥離し、基板との密着性などが不十
分であった。
Comparative Example II-2 Except that polymethylphenylsilane having an average molecular weight of 8,000 was used instead of polysilane AZr, S was carried out in the same manner as in Example (II-5).
An insulating film impregnated with fine powder of iO 2 was formed. However, with the film obtained here, the pencil hardness was B and the film was damaged and peeled off from the substrate, resulting in insufficient adhesion to the substrate.

【0235】以上の結果から、本発明のケイ素ポリマー
組成物あるいは絶縁膜の製造方法により、Si−O−S
i結合の三次元化構造を有するケイ素系マトリックス中
にSiO2 等の微粉末が含浸され、基板への密着性、耐
熱性に優れるとともに、高抵抗、高強度の絶縁膜が形成
されることがわかる。
From the above results, according to the method for producing a silicon polymer composition or an insulating film of the present invention, Si--O--S
A silicon-based matrix having an i-bonded three-dimensional structure may be impregnated with fine powder such as SiO 2 to form an insulating film having excellent adhesion to a substrate and heat resistance, and high resistance and strength. Recognize.

【0236】[0236]

【発明の効果】以上詳述したように、本発明のレジスト
及びパターン形成方法によれば、アルカリ現像で微細な
パターンを精度よく、かつ高い感度で形成することが可
能となる。しかも、得られたパターンをガラス化して、
強度、耐熱性などの優れた絶縁膜パターンとして用いる
こともできる。また、本発明によれば、基板への密着
性、耐熱性に優れるとともに、高抵抗、高強度の絶縁膜
を製造する方法や、こうした絶縁膜を簡便に製造し得る
ケイ素ポリマー組成物が提供される。
As described in detail above, according to the resist and the pattern forming method of the present invention, it is possible to form a fine pattern with high accuracy and high sensitivity by alkali development. Moreover, by vitrifying the obtained pattern,
It can also be used as an insulating film pattern having excellent strength and heat resistance. Further, according to the present invention, a method for producing an insulating film having excellent adhesion to a substrate and heat resistance, high resistance, and high strength, and a silicon polymer composition capable of easily producing such an insulating film are provided. It

【0237】本発明を用いて製造される絶縁膜は、半導
体デバイスのパッシベーション膜や層間絶縁膜として有
用であり、その工業的価値は大きい。
The insulating film manufactured by using the present invention is useful as a passivation film or an interlayer insulating film of a semiconductor device, and its industrial value is great.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 川田 利佳子 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 沖野 剛史 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 (72)発明者 藤岡 佐和子 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝研究開発センター内 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Rikako Kawada 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Toshiba Research and Development Center (72) Inventor Takeshi Okino Toshiba Komukai-shi, Kawasaki-shi, Kanagawa Town No. 1 Incorporated company Toshiba Research and Development Center (72) Inventor Sawako Fujioka Komukai Toshiba-cho, Kawasaki City, Kanagawa Prefecture No. 1 Inside Toshiba Research and Development Center

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 下記一般式(1)で表される繰返し単位
を有するポリシランからなることを特徴とするレジス
ト。 【化1】 (ただし式中、Arは炭素数6〜24の置換または非置
換アリール基を示す。)
1. A resist comprising a polysilane having a repeating unit represented by the following general formula (1). Embedded image (In the formula, Ar represents a substituted or unsubstituted aryl group having 6 to 24 carbon atoms.)
【請求項2】 下記一般式(1)で表される繰返し単位
を有するポリシランと、このポリシランの架橋剤とから
なることを特徴とするレジスト。 【化2】 (ただし式中、Arは炭素数6〜24の置換または非置
換アリール基を示す。)
2. A resist comprising a polysilane having a repeating unit represented by the following general formula (1) and a crosslinking agent for the polysilane. Embedded image (In the formula, Ar represents a substituted or unsubstituted aryl group having 6 to 24 carbon atoms.)
【請求項3】 アルカリ可溶性の有機化合物が配合され
ていることを特徴とする請求項1または2に記載のレジ
スト。
3. The resist according to claim 1, which contains an alkali-soluble organic compound.
【請求項4】 アルカリ現像用であることを特徴とする
請求項1または2に記載のレジスト。
4. The resist according to claim 1, which is for alkaline development.
【請求項5】 下記一般式(1)で表される繰返し単位
を有するポリシランを含有するレジスト膜を基板上に成
膜する工程と、基板上のレジスト膜の所望の領域を露光
する工程と、露光後のレジスト膜をアルカリ水溶液で現
像する工程からなることを特徴とするパターン形成方
法。 【化3】 (ただし式中、Arは炭素数6〜24の置換または非置
換アリール基を示す。)
5. A step of forming a resist film containing polysilane having a repeating unit represented by the following general formula (1) on a substrate, and a step of exposing a desired region of the resist film on the substrate to light. A pattern forming method comprising a step of developing a resist film after exposure with an alkaline aqueous solution. Embedded image (In the formula, Ar represents a substituted or unsubstituted aryl group having 6 to 24 carbon atoms.)
【請求項6】 下記一般式(2)で表される繰返し単位
を有するポリシランと、SiO2 微粉末およびSiN微
粉末の少なくとも一方の微粉末とを含有することを特徴
とするケイ素ポリマー組成物。 【化4】 (ただし式中、R1 は、置換または非置換のアリール
基、あるいは置換または非置換のアルキル基を示す。)
6. A silicon polymer composition comprising a polysilane having a repeating unit represented by the following general formula (2) and at least one fine powder of SiO 2 fine powder and SiN fine powder. Embedded image (In the formula, R 1 represents a substituted or unsubstituted aryl group or a substituted or unsubstituted alkyl group.)
【請求項7】 請求項6に記載のケイ素ポリマー組成物
を含有する有機ケイ素化合物膜を基板上に形成する成膜
工程と、 前記有機ケイ素化合物膜を酸素含有雰囲気下で加熱乾燥
する三次元化工程とを具備する絶縁膜の形成方法。
7. A film-forming step of forming an organosilicon compound film containing the silicon polymer composition according to claim 6 on a substrate, and three-dimensionalization by heating and drying the organosilicon compound film in an oxygen-containing atmosphere. A method for forming an insulating film, comprising:
【請求項8】 前記有機ケイ素化合物膜を加熱乾燥する
に先だって、酸素含有雰囲気下で前記有機ケイ素化合物
膜に全面露光を施す請求項7に記載の絶縁膜の形成方
法。
8. The method for forming an insulating film according to claim 7, wherein prior to heating and drying the organic silicon compound film, the entire surface of the organic silicon compound film is exposed in an oxygen-containing atmosphere.
【請求項9】 前記一般式(2)中のR1 が置換または
非置換のアリール基であり、前記有機ケイ素化合物膜を
加熱乾燥するに先だって、 有機ケイ素化合物膜の所定の領域に紫外線を照射する露
光工程、および前記露光後の有機ケイ素化合物膜の露光
部を、アルカリ水溶液で溶解除去する現像工程を具備す
る請求項7に記載の絶縁膜の製造方法。
9. R 1 in the general formula (2) is a substituted or unsubstituted aryl group, and a predetermined region of the organosilicon compound film is irradiated with ultraviolet light before heating and drying the organosilicon compound film. 8. The method for producing an insulating film according to claim 7, further comprising: an exposing step of: and a developing step of dissolving and removing an exposed portion of the organic silicon compound film after the exposing with an alkaline aqueous solution.
【請求項10】 前記有機ケイ素化合物膜を加熱乾燥す
るに先だって、 有機ケイ素化合物膜の所定の領域に紫外線を照射する露
光工程、 前記露光後の有機ケイ素化合物膜を加熱する熱処理工
程、および前記熱処理後の有機ケイ素化合物膜の未露光
部を有機溶媒で溶解除去する現像工程を具備する請求項
7に記載の絶縁膜の製造方法。
10. An exposure step of irradiating a predetermined region of the organosilicon compound film with ultraviolet rays before heating and drying the organosilicon compound film, a heat treatment step of heating the exposed organosilicon compound film, and the heat treatment. The method for producing an insulating film according to claim 7, further comprising a developing step of dissolving and removing an unexposed portion of the organic silicon compound film afterwards with an organic solvent.
【請求項11】 下記一般式(2)で表される繰返し単
位を有するポリシランを主体とした有機ケイ素化合物膜
を形成する成膜工程と、 前記有機ケイ素化合物膜の所定の領域に紫外線を照射す
る露光工程と、 前記有機ケイ素化合物膜の露光部に、SiO2 微粉末お
よびSiN微粉末の少なくとも一方の微粉末を含浸させ
る工程と、 前記微粉末が含浸した有機ケイ素化合物膜を加熱乾燥す
る三次元化工程と具備する絶縁膜の形成方法。 【化5】 (ただし式中、R1 は置換または非置換のアリール基、
または置換または非置換のアルキル基を示す。)
11. A film forming step of forming an organosilicon compound film mainly containing polysilane having a repeating unit represented by the following general formula (2), and irradiating a predetermined region of the organosilicon compound film with ultraviolet rays. An exposure step; a step of impregnating the exposed portion of the organic silicon compound film with at least one of SiO 2 fine powder and SiN fine powder; and three-dimensional heating and drying the organic silicon compound film impregnated with the fine powder. And a method of forming an insulating film provided with the step of forming. Embedded image (In the formula, R 1 is a substituted or unsubstituted aryl group,
Or a substituted or unsubstituted alkyl group is shown. )
JP05502996A 1995-04-28 1996-03-12 Pattern formation method Expired - Fee Related JP3629087B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP05502996A JP3629087B2 (en) 1995-04-28 1996-03-12 Pattern formation method
US08/638,698 US5962581A (en) 1995-04-28 1996-04-26 Silicone polymer composition, method of forming a pattern and method of forming an insulating film
KR1019960013334A KR100199653B1 (en) 1995-04-28 1996-04-27 Resist, pattern forming method using it, silicon polymer composite, and manufacture of insulating film

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP7-105165 1995-04-28
JP10516595 1995-04-28
JP05502996A JP3629087B2 (en) 1995-04-28 1996-03-12 Pattern formation method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004182604A Division JP3851913B2 (en) 1995-04-28 2004-06-21 Insulating film manufacturing method

Publications (2)

Publication Number Publication Date
JPH0915864A true JPH0915864A (en) 1997-01-17
JP3629087B2 JP3629087B2 (en) 2005-03-16

Family

ID=26395860

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05502996A Expired - Fee Related JP3629087B2 (en) 1995-04-28 1996-03-12 Pattern formation method

Country Status (1)

Country Link
JP (1) JP3629087B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010034782A (en) * 2008-07-28 2010-02-12 Fujitsu Ltd Elastic boundary wave device, manufacturing method thereof, and manufacturing method of duplexer
KR20150101401A (en) * 2014-02-26 2015-09-03 도쿄엘렉트론가부시키가이샤 Substrate processing method, program, computer-readable storage medium and substrate processing system
CN112859520A (en) * 2021-01-20 2021-05-28 深圳市撒比斯科技有限公司 Low-energy-curing photoresist, resist pattern and preparation method thereof

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010034782A (en) * 2008-07-28 2010-02-12 Fujitsu Ltd Elastic boundary wave device, manufacturing method thereof, and manufacturing method of duplexer
KR20150101401A (en) * 2014-02-26 2015-09-03 도쿄엘렉트론가부시키가이샤 Substrate processing method, program, computer-readable storage medium and substrate processing system
JP2015162477A (en) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 Substrate processing method, program, computer memory medium and substrate processing system
US9690185B2 (en) 2014-02-26 2017-06-27 Tokyo Electron Limited Substrate processing method, program, computer-readable storage medium, and substrate processing system
TWI627489B (en) * 2014-02-26 2018-06-21 Tokyo Electron Ltd Substrate processing method, program, computer memory medium and substrate processing system
CN112859520A (en) * 2021-01-20 2021-05-28 深圳市撒比斯科技有限公司 Low-energy-curing photoresist, resist pattern and preparation method thereof

Also Published As

Publication number Publication date
JP3629087B2 (en) 2005-03-16

Similar Documents

Publication Publication Date Title
US5962581A (en) Silicone polymer composition, method of forming a pattern and method of forming an insulating film
JP4133968B2 (en) Anti-reflective hard mask composition and method for manufacturing semiconductor device using the same
JP2744875B2 (en) Photosensitive silicon-containing resist composition and method of using the same
EP1660561B1 (en) Photosensitive silsesquioxane resin
JPH1160735A (en) Polysilane and formation of pattern
JP3766288B2 (en) Composite member manufacturing method and electronic package
JP2005115380A (en) Silicon-containing composition for spin-on antireflection coating (arc)/hard mask
JPS61144639A (en) Radiation sensitive composition and pattern forming method using its composition
JP2507481B2 (en) Polysilane and photosensitive composition
JP2003043682A (en) Radiation sensitive composition with variable dielectric constant and method for varying dielectric constant
JPH0527444A (en) Silica base material irreflexive planed layer
JP5241241B2 (en) Photosensitive resin composition
JPH04366958A (en) Radiation sensitive resin composition
KR101230529B1 (en) Hardmask Composition and Method for forming a pattern of Semiconductor Device Using the Same
KR100245180B1 (en) A phothosensitive composition, a method of forming a pattern and electronic parts
JP3629087B2 (en) Pattern formation method
US20040086806A1 (en) Method for forming metal pattern
JP3529953B2 (en) Method for forming insulating film pattern and photosensitive composition
JP4314236B2 (en) Method for manufacturing composite member, photosensitive composition, insulator for manufacturing composite member, composite member, multilayer wiring board, and electronic package
JP3851913B2 (en) Insulating film manufacturing method
JPH11154638A (en) Method for forming pattern
EP0285025A2 (en) Silylated poly(vinyl)phenol resists
TWI252373B (en) Fluorinated Si-polymers and photoresists comprising same
KR100199653B1 (en) Resist, pattern forming method using it, silicon polymer composite, and manufacture of insulating film
JPH0940779A (en) Polysiloxane, polysiloxane composition, production of insulating film, production of colored member and production of conductive film

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040427

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040824

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041020

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041207

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20041210

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071217

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081217

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091217

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees