JPH08264510A - Method and device for etching silicon nitride film - Google Patents

Method and device for etching silicon nitride film

Info

Publication number
JPH08264510A
JPH08264510A JP6819095A JP6819095A JPH08264510A JP H08264510 A JPH08264510 A JP H08264510A JP 6819095 A JP6819095 A JP 6819095A JP 6819095 A JP6819095 A JP 6819095A JP H08264510 A JPH08264510 A JP H08264510A
Authority
JP
Japan
Prior art keywords
etching
nitride film
silicon nitride
gas
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6819095A
Other languages
Japanese (ja)
Inventor
Akira Kobayashi
亮 小林
Tadashi Niimura
忠 新村
Yasuyuki Taniguchi
泰之 谷口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP6819095A priority Critical patent/JPH08264510A/en
Publication of JPH08264510A publication Critical patent/JPH08264510A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE: To set the selective ratio of a silicon nitride film to a silicon substrate or a silicon oxide film at a relatively high value in the case of removing the silicon nitride film on the silicon substrate or the silicon oxide film by selective etching by using CDE. CONSTITUTION: In the case of removing a part of or the whole silicon nitride film formed on a silicon substrate or a silicon oxide film, mixed gas, which is composed of a gas containing fluorine, oxygen gas and a gas containing hydrogen atoms, is introduced into a discharge part 2 to generate plasma, and only the radical of the plasma active species is introduced into a process chamber 5 wherein the silicon substrate is stored. Thus, the silicon nitride film on the silicon substrate is selectively removed by etching.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置の製造に際
して使用されるシリコン窒化膜のエッチング方法および
エッチング装置に係り、特にシリコン基体あるいはシリ
コン酸化膜に対してシリコン窒化膜を選択エッチングす
る方法および装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method and an apparatus for etching a silicon nitride film used for manufacturing a semiconductor device, and more particularly to a method for selectively etching a silicon nitride film with respect to a silicon substrate or a silicon oxide film. Regarding the device.

【0002】[0002]

【従来の技術】半導体ウエハー上に形成された絶縁膜や
導電性の被エッチング膜を所望のパターンに微細加工す
る技術として使用されているドライエッチングは、大別
するとRIE(リアクティブイオンエッチング)とCD
E(ケミカルドライエッチング)となる。
2. Description of the Related Art Dry etching, which is used as a technique for finely processing an insulating film or a conductive film to be etched formed on a semiconductor wafer into a desired pattern, is roughly classified into RIE (reactive ion etching). CD
It becomes E (chemical dry etching).

【0003】RIEは、プラズマ中で生成された荷電粒
子が自己バイアスにより加速されて被エッチング膜に入
射するので、被エッチング膜の下地にイオン打ち込みに
よる汚染や結晶の乱れ等のダメージが生じる。これは微
細素子の生成の妨げになる。
In RIE, charged particles generated in plasma are accelerated by a self-bias and are incident on a film to be etched, so that the underlying layer of the film to be etched is damaged by ion implantation such as contamination or crystal disorder. This hinders the production of fine elements.

【0004】CDEは、荷電粒子を用いないので上記し
たような不都合は生じないが、シリコン窒化膜のエッチ
ング速度がシリコンのエッチング速度より遅くなるの
で、シリコン対するシリコン窒化膜の選択比が相対的に
小さくなる。これにより、従来は、シリコン上に形成さ
れたシリコン窒化膜を除去する際に、オーバエッチング
中に下地のシリコンが多量にエッチングされてしまうと
いう問題があった。
Since the CDE does not use charged particles to cause the above-mentioned disadvantages, the etching rate of the silicon nitride film is slower than that of silicon, so that the selection ratio of the silicon nitride film to silicon is relatively large. Get smaller. As a result, conventionally, there has been a problem that a large amount of underlying silicon is etched during overetching when removing a silicon nitride film formed on silicon.

【0005】また、従来のCDEにおいては、シリコン
酸化膜に対するシリコン窒化膜の選択比は5程度である
が、シリコン窒化膜の下地がゲート絶縁膜のように非常
に薄い場合には、シリコン窒化膜のオーバーエッチング
中に下地の薄いシリコン酸化膜がエッチング除去される
という問題があった。
Further, in the conventional CDE, the selection ratio of the silicon nitride film to the silicon oxide film is about 5, but when the base of the silicon nitride film is very thin like a gate insulating film, the silicon nitride film is formed. There was a problem that the underlying thin silicon oxide film was removed by etching during the over etching.

【0006】[0006]

【発明が解決しようとする課題】上記したように従来の
CDEを用いたエッチング方法は、シリコン基体あるい
はシリコン酸化膜に対するシリコン窒化膜の選択比が比
較的小さいので、シリコン基体あるいはシリコン酸化膜
上のシリコン窒化膜を除去する際に、オーバエッチング
中に下地のシリコンが多量にエッチングされたり、下地
の薄いシリコン酸化膜がエッチング除去されてしまうと
いう問題があった。
As described above, in the conventional etching method using CDE, since the selection ratio of the silicon nitride film to the silicon substrate or the silicon oxide film is relatively small, the etching method on the silicon substrate or the silicon oxide film is performed. When removing the silicon nitride film, there has been a problem that a large amount of underlying silicon is etched during over-etching, or the underlying thin silicon oxide film is removed by etching.

【0007】本発明は上記の問題点を解決すべくなされ
たもので、CDEを用いてシリコン基体またはシリコン
酸化膜上のシリコン窒化膜を選択的に除去する際、シリ
コンまたはシリコン酸化膜に対するシリコン窒化膜の選
択比を比較的高く設定し得るシリコン窒化膜のエッチン
グ方法およびエッチング装置を提供することを目的とす
る。
The present invention has been made to solve the above problems, and when the silicon nitride film on the silicon substrate or the silicon oxide film is selectively removed by using CDE, silicon or the silicon nitride film on the silicon oxide film is removed. An object of the present invention is to provide a silicon nitride film etching method and an etching apparatus capable of setting a relatively high film selection ratio.

【0008】[0008]

【課題を解決するための手段】本発明のシリコン窒化膜
のエッチング方法は、シリコン基体上またはシリコン酸
化膜にシリコン窒化膜が形成された半導体ウエハーを処
理室内部に収容する工程と、フッ素を含むガスと、酸素
ガスと、水素原子を含むガスとを構成元素とする混合ガ
スを放電部に導入してプラズマを発生させ、プラズマ活
性種のラジカルのみを前記処理室内部に導入し、前記シ
リコン窒化膜の一部またはその全てを選択的にエッチン
グ除去する工程とを具備することを特徴とする。
A method for etching a silicon nitride film according to the present invention includes a step of accommodating a semiconductor wafer having a silicon nitride film formed on a silicon substrate or a silicon oxide film in a processing chamber, and fluorine. Gas, oxygen gas, and a mixed gas containing a gas containing hydrogen atoms as constituent elements are introduced into the discharge part to generate plasma, and only radicals of plasma active species are introduced into the processing chamber, and the silicon nitride is used. And a step of selectively etching away part or all of the film.

【0009】また、本発明のシリコン窒化膜のエッチン
グ装置は、シリコン基体上またはシリコン酸化膜にシリ
コン窒化膜が形成された半導体ウエハーを内部に収容す
る処理室と、上記処理室の内部に設置され、その上面に
前記半導体ウエハーを載置させてその温度を調整するた
めのステージと、フッ素を含むガスと、酸素ガスと、水
素原子を含むガスとを構成元素とする混合ガスが導入さ
れ、プラズマを発生する放電部と、上記放電部からプラ
ズマ活性種のラジカルのみを取り出して前記処理室の内
部に導入するラジカル導入部とを具備することを特徴と
する。
The silicon nitride film etching apparatus of the present invention is installed inside a processing chamber for accommodating therein a semiconductor wafer on which a silicon nitride film is formed on a silicon substrate or a silicon oxide film. , A stage for mounting the semiconductor wafer on its upper surface to adjust its temperature, a gas containing fluorine, an oxygen gas, and a mixed gas having a constituent element of a gas containing hydrogen atoms are introduced, and plasma is introduced. And a radical introduction unit that takes out only radicals of plasma active species from the discharge unit and introduces the radicals into the inside of the processing chamber.

【0010】[0010]

【作用】本発明のエッチング方法およびエッチング装置
は、フッ素を含むガスと、酸素ガスと、水素原子を含む
ガスとを構成元素とする混合ガスを放電部に導入してプ
ラズマを発生させ、プラズマ活性種のラジカルのみを取
り出し、シリコン基体またはシリコン酸化膜上にシリコ
ン窒化膜が形成された半導体ウエハーを内部に収容した
処理室に導入するものである。
According to the etching method and the etching apparatus of the present invention, a mixed gas containing a gas containing fluorine, an oxygen gas, and a gas containing hydrogen atoms as constituent elements is introduced into the discharge part to generate plasma, thereby plasma activation. Only the radicals of the seeds are taken out and introduced into a processing chamber having therein a semiconductor wafer having a silicon nitride film formed on a silicon substrate or a silicon oxide film.

【0011】これにより、処理室内部でCDE(ケミカ
ルドライエッチング)が行われることにより、シリコン
窒化膜の一部またはその全てがシリコン基体に対して選
択的にエッチング除去される。
As a result, the CDE (Chemical Dry Etching) is performed inside the processing chamber, whereby a part or all of the silicon nitride film is selectively removed by etching with respect to the silicon substrate.

【0012】[0012]

【実施例】以下、図面を参照して本発明の実施例を詳細
に説明する。図1は、本発明のシリコン窒化膜のエッチ
ング方法で使用されるマイクロ波CDE装置の概略構成
の一例を示している。
Embodiments of the present invention will be described below in detail with reference to the drawings. FIG. 1 shows an example of a schematic configuration of a microwave CDE device used in the method for etching a silicon nitride film of the present invention.

【0013】このCDE装置において、処理室5の内部
には、半導体ウエハー6およびこれを上面に載置するた
めのステージ7が収容されている。上記ステージ7は、
温度調節機構を有しており、半導体ウエハー6の温度を
制御できるようになっている。
In this CDE apparatus, a semiconductor wafer 6 and a stage 7 for mounting the semiconductor wafer 6 on the upper surface are housed inside the processing chamber 5. Stage 7 above
It has a temperature adjusting mechanism and can control the temperature of the semiconductor wafer 6.

【0014】処理室5の上面部にはラジカル導入口が設
けられており、処理室5の下面部にはガス排気口8が設
けられている。上記ラジカル導入口には、反応ガスとし
て使用されるCF4/O2/H2Oの混合ガスを導入する
ための輸送管3が接続されている。さらに、輸送管3の
他端には放電管2が接続されており、この放電管2には
ガス導入口1から反応ガスが導入される。放電管2には
マイクロ波導波管4が接続されている。
A radical introduction port is provided on the upper surface of the processing chamber 5, and a gas exhaust port 8 is provided on the lower surface of the processing chamber 5. A transport pipe 3 for introducing a mixed gas of CF 4 / O 2 / H 2 O used as a reaction gas is connected to the radical introducing port. Further, a discharge tube 2 is connected to the other end of the transport tube 3, and a reaction gas is introduced into the discharge tube 2 from a gas introduction port 1. A microwave waveguide 4 is connected to the discharge tube 2.

【0015】上記構成のCDE装置において、ガス導入
口1から導入された反応ガスがプラズマ化されて活性種
(イオン、ラジカル)が生成され、寿命が短いイオンは
輸送管3内で失活し、寿命の長いラジカルのみが輸送管
3を通過して前記処理室5の内部に導入され、半導体ウ
エハー6と反応してCDEが行われる。そして、反応後
のガスは排気口8より処理室5の外部に排気される。
In the CDE device having the above structure, the reactive gas introduced from the gas inlet 1 is plasmatized to generate active species (ions, radicals), and the short-lived ions are deactivated in the transport pipe 3, Only radicals having a long life pass through the transport tube 3 and are introduced into the processing chamber 5, and react with the semiconductor wafer 6 to perform CDE. Then, the reacted gas is exhausted to the outside of the processing chamber 5 through the exhaust port 8.

【0016】なお、上記CDE装置におけるラジカル導
入部の変形例として、処理室5の直上部に放電部が設け
られている場合には、ここで生成されたイオン、ラジカ
ルのうちのイオンをパンチングプレートで遮蔽し、ラジ
カルのみを処理室5の内部に導入するようにしてもよ
い。
As a modification of the radical introduction part in the above CDE apparatus, when a discharge part is provided directly above the processing chamber 5, the ions generated here and the radical ions are punched. Alternatively, the radicals may be blocked and the radicals alone may be introduced into the processing chamber 5.

【0017】図2は、図1に示したCDE装置を用いた
CDE方法の第1実施例により得られたシリコン窒化膜
(SiN)、シリコン(Si)、シリコン酸化膜(Si
2)のエッチングレートの特性例を示す。
FIG. 2 shows a silicon nitride film (SiN), silicon (Si), and silicon oxide film (Si) obtained by the first embodiment of the CDE method using the CDE apparatus shown in FIG.
A characteristic example of the etching rate of O 2 ) will be shown.

【0018】この第1実施例では、CDEの条件とし
て、高周波電力(Power)=700W、圧力(Pr
ess.)=70Pa、反応ガスであるCF4/O2の各
流量=270/270sccm、ステージ温度(Tem
p.)=25℃に固定し、H2O添加量を変化させた。
In the first embodiment, the high frequency power (Power) = 700 W and the pressure (Pr) are set as the conditions of CDE.
ess. ) = 70 Pa, each flow rate of reaction gas CF 4 / O 2 = 270/270 sccm, stage temperature (Tem)
p. ) = 25 ° C. and the amount of H 2 O added was changed.

【0019】SiおよびSiO2のエッチングレート
は、H2O添加量が増加するにつれて単調に減少する
が、SiNのエッチングレートは、H2O添加量が10
%、(つまり、H2O=60sccm)の場合に最大値
をとる。
The etching rates of Si and SiO 2 monotonically decrease as the amount of H 2 O added increases, but the etching rate of SiN shows that the amount of H 2 O added is 10%.
% (That is, H 2 O = 60 sccm) takes the maximum value.

【0020】このようなエッチング速度の違いから、シ
リコン窒化膜がシリコン基体およびシリコン酸化膜に対
して選択的にエッチング除去される。すなわち、上記し
たように、CF4ガスおよびO2ガスにH2Oを添加した
混合ガスとしてH2Oの添加量がCF4ガスおよびO2
スの合計流量よりも少ない混合ガスを放電部に導入して
プラズマを発生させ、プラズマ活性種のうちのラジカル
のみをエッチング処理室内に導入することにより、シリ
コン基体上またはシリコン酸化膜上に形成されたシリコ
ン窒化膜の一部またはその全てを選択的にエッチング除
去することが可能になる。
Due to such a difference in etching rate, the silicon nitride film is selectively removed by etching with respect to the silicon substrate and the silicon oxide film. That is, as described above, CF 4 gas and O 2 gas to less mixed gas than the total flow rate of the amount of H 2 O as a mixed gas H 2 O was added the CF 4 gas and O 2 gas to the discharge portion By introducing it to generate plasma and introducing only radicals of plasma active species into the etching treatment chamber, a part or all of the silicon nitride film formed on the silicon substrate or the silicon oxide film is selectively selected. Can be removed by etching.

【0021】図3は、図2に示した特性と同様にH2
添加量を変化させた場合のプラズマ発光部での活性種の
発光強度の特性例を示す。Fラジカル、Oラジカルの発
光強度は、H2O添加量の増加につれて低下し、Hラジ
カルの発光強度は、H2O添加量の増加につれて増加す
る。この結果から、図2に示したような特性が得られる
理由は以下のように考えられる。
FIG. 3 shows that H 2 O has the same characteristics as those shown in FIG.
A characteristic example of the emission intensity of the active species in the plasma emission part when the addition amount is changed is shown. The emission intensity of F radicals and O radicals decreases as the amount of H 2 O added increases, and the emission intensity of H radicals increases as the amount of H 2 O added increases. The reason why the characteristics shown in FIG. 2 are obtained from these results is considered as follows.

【0022】SiとSiO2は、Fラジカルのみによっ
てエッチングが進行すると考えられるが、H2O添加量
を増加させることにより、H2Oから生成したHラジカ
ルがCF4から生成されたFラジカルをスカベンジ(清
掃)し、HFが生成される。この反応によって、被処理
体に供給されるFラジカル量が減少するので、SiとS
iO2のエッチングレートは、H2O添加量の増加につれ
て減少する。
It is considered that etching of Si and SiO 2 proceeds only by the F radicals. However, by increasing the amount of H 2 O added, the H radicals generated from H 2 O are converted to the F radicals generated from CF 4. Scavenging (cleaning), HF is generated. By this reaction, the amount of F radicals supplied to the object to be processed decreases, so that Si and S
The etching rate of iO 2 decreases as the amount of H 2 O added increases.

【0023】これに対して、SiNは次式(1)に示す
ような反応でエッチングされる。 Si34+12F*↑+12H*↑ → 3(SiF4)↑+4(NH3)↑ ……(1) 即ち、SiNのエッチングは、Fラジカル、Hラジカル
両者により進行する。前述したように、H2O添加量が
増加すると、Fラジカル量は減少し、Hラジカル量は増
加するが、SiNのエッチングレートは、Fラジカル、
Hラジカルの存在量のバランスのとれる条件(図2に示
した実施例の場合、H2O添加量=60sccm)で、
最大となると考えられる。
On the other hand, SiN is etched by the reaction represented by the following equation (1). Si 3 N 4 + 12F * ↑ + 12H * ↑ → 3 (SiF 4 ) ↑ + 4 (NH 3 ) ↑ (1) That is, SiN etching proceeds by both F radicals and H radicals. As described above, when the amount of H 2 O added increases, the amount of F radicals decreases and the amount of H radicals increases, but the etching rate of SiN is
Under conditions that balance the amount of H radicals present (in the example shown in FIG. 2, H 2 O addition amount = 60 sccm),
It is considered to be the maximum.

【0024】図4は、図1に示したCDE装置を用いた
CDE方法の第2実施例により得られたSiN、Si、
SiO2のエッチングレートの特性例を示す。この第2
実施例では、CDEの条件として、高周波電力=700
W、圧力=70Pa、H2O添加量=60sccmに固
定し、CF4とO2との合計流量=540sccmとして
CF4/O2の流量比を変化させた。
FIG. 4 shows SiN, Si, obtained by the second embodiment of the CDE method using the CDE apparatus shown in FIG.
A characteristic example of the etching rate of SiO 2 will be shown. This second
In the embodiment, the high frequency power = 700 as the CDE condition.
The flow rate ratio of CF 4 / O 2 was changed with W, pressure = 70 Pa, H 2 O addition amount = 60 sccm fixed, and the total flow rate of CF 4 and O 2 = 540 sccm.

【0025】図4から分るように、O2流量が増加する
につれて、SiN、Si、SiO2ともエッチングレー
トは減少していく。SiNとSiを比較した場合、Si
の方がエッチングレートの減少度(特性の傾斜)が著し
い。これにより、O2の流量比を増加すると、シリコン
窒化膜をシリコン基体に対してより高い選択比でエッチ
ング除去できる。
As can be seen from FIG. 4, the etching rates of SiN, Si and SiO 2 decrease as the O 2 flow rate increases. When comparing SiN and Si, Si
In this case, the degree of decrease in etching rate (gradient of characteristics) is remarkable. As a result, when the O 2 flow rate ratio is increased, the silicon nitride film can be removed by etching with a higher selection ratio with respect to the silicon substrate.

【0026】すなわち、上記したように、CF4ガスお
よびO2ガスにH2Oを添加した混合ガスとしてCF4
スの流量がO2ガスの流量よりも少ない混合ガスを放電
部に導入してプラズマを発生させ、プラズマ活性種のう
ちのラジカルのみをエッチング処理室内に導入すること
により、シリコン基体上またはシリコン酸化膜上に形成
されたシリコン窒化膜の一部またはその全てを選択的に
エッチング除去することが可能になる。
That is, as described above, as a mixed gas obtained by adding H 2 O to CF 4 gas and O 2 gas, a mixed gas in which the flow rate of CF 4 gas is smaller than that of O 2 gas is introduced into the discharge section. By generating plasma and introducing only radicals of plasma active species into the etching chamber, part or all of the silicon nitride film formed on the silicon substrate or silicon oxide film is selectively removed by etching. It becomes possible to do.

【0027】図4に示したような特性が得られる理由は
以下のように考えられる。O2の流量比を増加させるに
つれて、SiN、Siともにその表面が酸化され易くな
るので、エッチングレートは減少していく。しかし、S
iNとSiを比較すると、Siの方がより酸化され易い
特性を持つので、エッチングレートの減少はより著し
い。この特性の違いにより、O2の流量比を増加させる
ことによって、シリコン窒化膜をシリコン基体に対して
高い選択比でエッチング除去できる。本実施例では、C
4/(CF4+O2)が10%のところで、SiNをS
iに対して30倍の速度でエッチングできた。
The reason why the characteristics shown in FIG. 4 are obtained is considered as follows. As the O 2 flow rate ratio is increased, the surfaces of both SiN and Si are easily oxidized, so that the etching rate is reduced. But S
Comparing iN and Si, Si has a characteristic that it is more likely to be oxidized, so that the etching rate is more significantly reduced. Due to this difference in characteristics, the silicon nitride film can be removed by etching with a high selection ratio with respect to the silicon substrate by increasing the O 2 flow rate ratio. In this embodiment, C
When F 4 / (CF 4 + O 2 ) is 10%, SiN is added to S
It could be etched at a rate 30 times that of i.

【0028】なお、上記実施例においては混合ガスの総
流量を1000sccm程度まで変化させても同様の効
果が得られるものと思われる。図5は、図1に示したC
DE装置を用いたCDE方法の第3実施例により得られ
たSiN、Si、SiO2のエッチングレートの特性例
を示す。
In the above embodiment, it is considered that the same effect can be obtained even if the total flow rate of the mixed gas is changed to about 1000 sccm. FIG. 5 shows C shown in FIG.
A characteristic example of the etching rates of SiN, Si, and SiO 2 obtained by the third embodiment of the CDE method using the DE device will be shown.

【0029】この第3実施例では、CDEの条件とし
て、高周波電力=700W、圧力=70Pa、CF4
2の各流量=270/270sccm、H2O添加量=
60sccmに固定し、ステージ温度(被処理体の温
度)を変化させた。
In the third embodiment, the high frequency power = 700 W, pressure = 70 Pa, CF 4 /
O 2 flow rate = 270/270 sccm, H 2 O addition amount =
It was fixed at 60 sccm and the stage temperature (temperature of the object to be processed) was changed.

【0030】図5から分るように、SiとSiO2は、
温度上昇させることによって単調にエッチングレートが
増加するのに対して、SiNは、50℃以上の温度で著
しくエッチングレートが低下する。したがって、シリコ
ン窒化膜をシリコン基体およびシリコン酸化膜に対して
選択的にエッチング除去するには、被処理体の温度を5
0℃以下に保持する必要がある。なお、装置の性能上、
被処理体の温度が−5℃以上の範囲で上記特性を確認し
た。
As can be seen from FIG. 5, Si and SiO 2 are
While the etching rate monotonously increases as the temperature rises, SiN significantly lowers the etching rate at a temperature of 50 ° C. or higher. Therefore, in order to selectively remove the silicon nitride film by etching with respect to the silicon substrate and the silicon oxide film, the temperature of the object to be processed is set to 5
It is necessary to keep the temperature below 0 ° C. In addition, due to the performance of the device,
The above characteristics were confirmed in the range where the temperature of the object to be treated was -5 ° C or higher.

【0031】図5に示したような特性が得られる理由は
以下のように考えられる。SiとSiO2は、Fラジカ
ルのみによってエッチングされるが、温度を上昇させる
ことによって、Fラジカルと被処理体との反応確率が上
昇し、エッチングレートが単調に増加する。一方、Si
Nのエッチング反応には、Fラジカル、Hラジカルの両
者が寄与し、温度によって各ラジカルの反応速度が異な
り、適切な温度範囲でないとエッチング反応が進行しな
くなってしまう。
The reason why the characteristics shown in FIG. 5 are obtained is considered as follows. Si and SiO 2 are etched only by the F radicals, but by increasing the temperature, the reaction probability between the F radicals and the object to be treated increases, and the etching rate monotonically increases. On the other hand, Si
Both F radicals and H radicals contribute to the etching reaction of N, the reaction rate of each radical varies depending on the temperature, and the etching reaction does not proceed unless it is within an appropriate temperature range.

【0032】図6は、上記第3実施例における被処理体
の温度とエッチング処理後のSiN表面の元素組成比と
の関係を示している。図6から分るように、50℃以上
の温度で、Fの組成比が低下し、Oの組成比が急激に増
加している。この結果、50℃以上の温度でSiNの表
面の酸化反応が活発になってしまうので、SiNのエッ
チングレートが急激に低下したものと考えられる。
FIG. 6 shows the relationship between the temperature of the object to be treated and the elemental composition ratio of the SiN surface after the etching treatment in the third embodiment. As can be seen from FIG. 6, the composition ratio of F decreases and the composition ratio of O sharply increases at a temperature of 50 ° C. or higher. As a result, the oxidation reaction of the surface of SiN becomes active at a temperature of 50 ° C. or higher, and it is considered that the etching rate of SiN sharply decreased.

【0033】図7は、本発明の方法によってエッチング
したSiN表面のFT−IR分析結果を示す。図7から
分るように、NH4 +のものだと考えられる吸収ピークが
観察され、表面に堆積(変質)層が形成されている。こ
の堆積層は、後述のように水洗することで除去すること
ができるが、その水洗水中からは、表1に示すように、
NH4 +、F-、Siがほぼ2:6:1の組成で検出さ
れ、堆積層は、(NH4)2SiF6のような構造をもつ
ものと考えられる。この堆積層は、SiN上にのみ形成
され、SiやSiO2上には形成されない。また、Si
N上の堆積層はSiやSiO2のエッチング反応に寄与
することはない。
FIG. 7 shows the FT-IR analysis result of the SiN surface etched by the method of the present invention. As can be seen from FIG. 7, an absorption peak believed to be due to NH 4 + was observed, and a deposited (altered) layer was formed on the surface. This deposited layer can be removed by washing with water as described below, but from the rinsing water, as shown in Table 1,
NH 4 + , F , and Si are detected in a composition of approximately 2: 6: 1, and the deposited layer is considered to have a structure like (NH 4 ) 2 SiF 6 . This deposited layer is formed only on SiN, not on Si or SiO 2 . Also, Si
The deposited layer on N does not contribute to the etching reaction of Si or SiO 2 .

【0034】[0034]

【表1】 [Table 1]

【0035】なお、上記したSiN上の堆積層が厚くな
った場合、SiNのエッチング反応が阻害されるが、以
下に述べるような方法でこの堆積層を除去することによ
り、エッチングを再び進行させることが可能になる。
When the above-mentioned deposited layer on SiN becomes thick, the etching reaction of SiN is hindered. However, by removing this deposited layer by the method described below, the etching can be advanced again. Will be possible.

【0036】図8は、本発明の方法によるSiNのエッ
チング前、後、水洗後、加熱後のXPS分析結果を示
す。図8から分るように、エッチング後の表面では、S
2p、N1sの電子ピークに化学シフトがあり、堆積層が
形成されている。水洗後、あるいは、300℃の加熱後
では、こうした化学シフトは観察されず、このような処
理で堆積層を容易に除去可能である。
FIG. 8 shows the XPS analysis results before and after etching SiN by the method of the present invention, after washing with water, and after heating. As can be seen from FIG. 8, on the surface after etching, S
There is a chemical shift in the electron peaks of i 2p and N 1s , and a deposited layer is formed. No such chemical shift is observed after washing with water or after heating at 300 ° C., and the deposited layer can be easily removed by such treatment.

【0037】次に、前述したシリコン窒化膜のエッチン
グ方法を用いて、図9(a)に示すような構造のシリコ
ン窒化膜を実際にエッチングした例を示す。図9(a)
において、シリコン基板11は、その上面にバッファ酸
化膜13を介してシリコン窒化膜14が形成されてお
り、このシリコン窒化膜14をマスクとしてトレンチが
RIE加工されており、トレンチ内に多結晶シリコン1
2が埋め込まれている。
Next, an example in which the silicon nitride film having the structure as shown in FIG. 9A is actually etched by using the above-described silicon nitride film etching method will be described. FIG. 9 (a)
In the above, in the silicon substrate 11, a silicon nitride film 14 is formed on the upper surface of the silicon substrate 11 via the buffer oxide film 13, and the trench is RIE-processed using this silicon nitride film 14 as a mask.
2 is embedded.

【0038】この状態でシリコン窒化膜14をエッチン
グする工程に際して、従来のエッチング方法では、シリ
コンに対するシリコン窒化膜のエッチング選択比は、
0.3程度しか得られないので、シリコン窒化膜14を
エッチング除去した後は、図9(b)中に点線で示すよ
うに、埋め込み多結晶シリコン12が例えば870nm
の深さまで大量にエッチングされてしまう。
In the step of etching the silicon nitride film 14 in this state, in the conventional etching method, the etching selection ratio of the silicon nitride film to silicon is
Since only about 0.3 can be obtained, after the silicon nitride film 14 is removed by etching, as shown by the dotted line in FIG. 9B, the embedded polycrystalline silicon 12 has a thickness of, for example, 870 nm.
A large amount will be etched to the depth of.

【0039】次に、本発明の方法によりSiNをエッチ
ングした結果を示す。CDEの条件は、高周波電力=7
00W、圧力=70Pa、CF4/O2の各流量=224
/316sccm(合計流量=540sccm)、H2
O添加量=60sccm、ステージ温度=25℃であ
る。この場合、シリコンに対するシリコン窒化膜のエッ
チング選択比は9である。
Next, the results of etching SiN by the method of the present invention will be shown. High frequency power = 7 for CDE
00 W, pressure = 70 Pa, each flow rate of CF 4 / O 2 = 224
/ 316sccm (total flow rate = 540sccm), H 2
O addition amount = 60 sccm, stage temperature = 25 ° C. In this case, the etching selection ratio of the silicon nitride film to silicon is 9.

【0040】この条件で、図9(a)に示すような構造
のシリコン窒化膜14をエッチングした。シリコン窒化
膜の厚さは200nmであり、30%のオーバーエッチ
ングを行った。この場合、図9(b)中に実線で示すよ
うに、シリコン窒化膜14を除去した後の埋め込み多結
晶シリコン12のエッチング量は30nmであり、(従
来方法では870nm)、埋め込み多結晶シリコン12
のエッチング量を大幅に減少させることができた。
Under this condition, the silicon nitride film 14 having the structure shown in FIG. 9A was etched. The silicon nitride film had a thickness of 200 nm and was overetched by 30%. In this case, as shown by the solid line in FIG. 9B, the etching amount of the embedded polycrystalline silicon 12 after the silicon nitride film 14 is removed is 30 nm (870 nm in the conventional method), and the embedded polycrystalline silicon 12 is removed.
It was possible to greatly reduce the etching amount.

【0041】この条件においても、従来方法と比較して
埋め込み多結晶シリコン12のエッチング量を大幅に減
少させることができたが、さらにエッチング量を減少さ
せる方法を次に述べる。
Even under this condition, the etching amount of the buried polycrystalline silicon 12 could be greatly reduced as compared with the conventional method, but a method of further reducing the etching amount will be described below.

【0042】CDEの条件は、高周波電力=700W、
圧力=70Pa、CF4/O2の各流量=140/400
sccm(合計流量=540sccm)、H2O添加量
=60sccm、ステージ温度=25℃である。この場
合、シリコンに対するシリコン窒化膜のエッチング選択
比は30である。
The conditions for CDE are: high frequency power = 700 W,
Pressure = 70 Pa, CF 4 / O 2 flow rate = 140/400
sccm (total flow rate = 540 sccm), H 2 O addition amount = 60 sccm, and stage temperature = 25 ° C. In this case, the etching selection ratio of the silicon nitride film to silicon is 30.

【0043】この条件では、前述したようにシリコン窒
化膜14のエッチング深さが100nmのところで堆積
層の影響によりエッチング反応が進行しなくなってしま
うという現象があるので、図9(a)に示すような厚さ
200nmのシリコン窒化膜を1回のエッチング処理で
除去することはできない。そこで、エッチングの途中で
表面に形成された堆積層を、前述したように水洗や熱処
理で除去する工程が必要となる。
Under this condition, as described above, there is a phenomenon that the etching reaction does not proceed due to the influence of the deposited layer when the etching depth of the silicon nitride film 14 is 100 nm. Therefore, as shown in FIG. A 200 nm thick silicon nitride film cannot be removed by a single etching process. Therefore, a step of removing the deposited layer formed on the surface during the etching by washing with water or heat treatment as described above is required.

【0044】この方法でシリコン窒化膜14に対して3
0%のオーバーエッチングを行ったところ、埋め込み多
結晶シリコン12のエッチング量は殆んど確認されない
状態でシリコン窒化膜14を完全にエッチング除去でき
た。
By this method, 3 is added to the silicon nitride film 14.
When 0% over-etching was performed, the silicon nitride film 14 could be completely removed by etching with almost no confirmed etching amount of the embedded polycrystalline silicon 12.

【0045】以上詳述したように、フッ素を含むガス
と、酸素ガスと、水素原子を含むガスとで構成される混
合ガスを用いたCDEにより、シリコン窒化膜をシリコ
ンに対して選択的にエッチング除去することができた。
As described in detail above, the silicon nitride film is selectively etched with respect to silicon by CDE using a mixed gas composed of a gas containing fluorine, an oxygen gas, and a gas containing hydrogen atoms. Could be removed.

【0046】また、上記各実施例では、F*を生成する
ための原料ガスとしてCF4を用いたが、NF3、S
6、XeF、F2のようにFを含むガスならいずれでも
よい。また、上記各実施例では、Hを含むガスとしてH
2Oを用いたが、H2、NH3のようにHを含むガスなら
いずれでもよい。
In each of the above embodiments, CF 4 was used as the source gas for producing F * , but NF 3 , S
Any gas containing F such as F 6 , XeF and F 2 may be used. In addition, in each of the above-mentioned embodiments, H is used as the gas containing H.
Although 2 O is used, any gas containing H such as H 2 and NH 3 may be used.

【0047】また、前記各実施例において、処理室の圧
力は70Paに限定されるものではなく、90Paまで
変化させても同様の効果が得られることを確認してお
り、1Torr程度(ほぼ133Pa)まで変化させて
も同様の効果が得られるものと思われる。
In each of the above embodiments, the pressure in the processing chamber is not limited to 70 Pa, and it has been confirmed that the same effect can be obtained even when the pressure is changed to 90 Pa, and it is about 1 Torr (approximately 133 Pa). It is thought that the same effect can be obtained even if it is changed to.

【0048】[0048]

【発明の効果】上述したように本発明のシリコン窒化膜
のエッチング方法およびエッチング装置によれば、CD
Eを用いてシリコン基体またはシリコン酸化膜上のシリ
コン窒化膜を選択的に除去する際、シリコンまたはシリ
コン酸化膜に対するシリコン窒化膜の選択比を比較的高
く設定できる。
As described above, according to the silicon nitride film etching method and etching apparatus of the present invention, the CD
When the silicon nitride film on the silicon substrate or the silicon oxide film is selectively removed by using E, the selection ratio of the silicon nitride film to the silicon or the silicon oxide film can be set relatively high.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のシリコン窒化膜のエッチング方法で使
用されるCDE装置の概略構成の一例を示す図。
FIG. 1 is a diagram showing an example of a schematic configuration of a CDE device used in a method for etching a silicon nitride film of the present invention.

【図2】本発明のシリコン窒化膜のエッチング方法の第
1実施例における各被処理体のエッチング速度のH2
流量の依存性を示す図。
FIG. 2 is an etching rate of H 2 O of each object to be processed in the first embodiment of the method for etching a silicon nitride film according to the present invention.
The figure which shows the dependence of a flow volume.

【図3】第1実施例における各ラジカルの発光強度のH
2O流量の依存性を示す図。
FIG. 3 is a graph showing emission intensity H of each radical in Example 1.
The figure which shows the dependency of 2 O flow rate.

【図4】本発明のシリコン窒化膜のエッチング方法の第
2実施例における各被処理体のエッチング速度のCF4
/(CF4+O2)流量比の依存性を示す図。
FIG. 4 is a graph showing the etching rate CF 4 of each object in the second embodiment of the silicon nitride film etching method of the present invention.
/ (CF 4 + O 2) shows the dependence of the flow ratio.

【図5】本発明のシリコン窒化膜のエッチング方法の第
3実施例における各被処理体のエッチング速度の温度依
存性を示す図。
FIG. 5 is a diagram showing the temperature dependence of the etching rate of each object to be processed in the third embodiment of the silicon nitride film etching method of the present invention.

【図6】第3実施例におけるエッチング後の表面元素組
成比の処理温度依存性を示す図。
FIG. 6 is a graph showing the processing temperature dependence of the surface element composition ratio after etching in the third embodiment.

【図7】本発明方法におけるエッチング後のSiN表面
のFT−IR分析結果を示す図。
FIG. 7 is a diagram showing the FT-IR analysis result of the SiN surface after etching in the method of the present invention.

【図8】本発明方法におけるエッチング後のSiN表面
のXPS分析結果を示す図。
FIG. 8 is a diagram showing an XPS analysis result of the SiN surface after etching in the method of the present invention.

【図9】本発明方法が適用される被処理体の構造の一例
を概略的に示す断面図。
FIG. 9 is a cross-sectional view schematically showing an example of the structure of a target object to which the method of the present invention is applied.

【符号の説明】[Explanation of symbols]

1…反応ガス導入口、2…放電管、3…輸送管、4…マ
イクロ波導波管、5…処理室、6…半導体ウエハー、7
…ステージ、8…排気口、11…シリコン基板、12…
埋込み多結晶シリコン、13…バッファ酸化膜、14…
シリコン窒化膜。
DESCRIPTION OF SYMBOLS 1 ... Reactant gas inlet, 2 ... Discharge tube, 3 ... Transport tube, 4 ... Microwave waveguide, 5 ... Processing chamber, 6 ... Semiconductor wafer, 7
... stage, 8 ... exhaust port, 11 ... silicon substrate, 12 ...
Buried polycrystalline silicon, 13 ... Buffer oxide film, 14 ...
Silicon nitride film.

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 シリコン基体上またはシリコン酸化膜上
にシリコン窒化膜が形成された半導体ウエハーを処理室
内部に収容する工程と、フッ素を含むガスと、酸素ガス
と、水素原子を含むガスとを構成元素とする混合ガスを
放電部に導入してプラズマを発生させ、プラズマ活性種
のラジカルのみを前記処理室内部に導入し、前記シリコ
ン窒化膜の一部またはその全てを選択的にエッチング除
去するエッチング工程とを具備することを特徴とするシ
リコン窒化膜のエッチング方法。
1. A process of accommodating a semiconductor wafer having a silicon nitride film formed on a silicon substrate or a silicon oxide film in a processing chamber, a gas containing fluorine, an oxygen gas, and a gas containing hydrogen atoms. A mixed gas as a constituent element is introduced into the discharge part to generate plasma, only radicals of plasma active species are introduced into the processing chamber, and part or all of the silicon nitride film is selectively removed by etching. An etching method for a silicon nitride film, comprising: an etching step.
【請求項2】 請求項1記載のシリコン窒化膜のエッチ
ング方法において、前記水素を含むガスとして、H
2O、H2、NH3のいずれかを使用することを特徴とす
るシリコン窒化膜のエッチング方法。
2. The method of etching a silicon nitride film according to claim 1, wherein the gas containing hydrogen is H 2.
A method for etching a silicon nitride film, characterized in that any one of 2 O, H 2 and NH 3 is used.
【請求項3】 前記シリコン窒化膜のエッチング途中
で、その表面に生成した変質堆積層を除去する工程をさ
らに具備することを特徴とする請求項1記載のシリコン
窒化膜のエッチング方法。
3. The method for etching a silicon nitride film according to claim 1, further comprising the step of removing a deteriorated deposition layer formed on the surface of the silicon nitride film during the etching.
【請求項4】 前記シリコン窒化膜の表面に生成した変
質堆積層を除去する工程は、水洗あるいは熱処理により
行うことを特徴とする請求項3記載のシリコン窒化膜の
エッチング方法。
4. The method for etching a silicon nitride film according to claim 3, wherein the step of removing the altered deposition layer formed on the surface of the silicon nitride film is performed by washing with water or heat treatment.
【請求項5】 シリコン基体上またはシリコン酸化膜上
に形成されたシリコン窒化膜の一部またはその全てを選
択的に処理室内でエッチング除去処理する際、CF4
スおよびO2ガスにH2Oを添加した混合ガスとしてH2
Oの添加量がCF4ガスおよびO2ガスの合計流量よりも
少ない混合ガスを放電部に導入してプラズマを発生さ
せ、プラズマ活性種のうちのラジカルのみを前記処理室
内に導入することを特徴とするシリコン窒化膜のエッチ
ング方法。
5. When selectively removing part or all of a silicon nitride film formed on a silicon substrate or a silicon oxide film in a processing chamber by etching and removing, H 2 O is added to CF 4 gas and O 2 gas. H 2 as a mixed gas containing
A mixed gas, in which the amount of O added is less than the total flow rate of CF 4 gas and O 2 gas, is introduced into the discharge part to generate plasma, and only radicals of plasma active species are introduced into the processing chamber. A method for etching a silicon nitride film.
【請求項6】 シリコン基体上またはシリコン酸化膜上
に形成されたシリコン窒化膜の一部またはその全てを選
択的に処理室内でエッチング除去処理する際、CF4
スおよびO2ガスにH2Oを添加した混合ガスとしてCF
4ガスの流量がO2ガスの流量よりも少ない混合ガスを放
電部に導入してプラズマを発生させ、プラズマ活性種の
うちのラジカルのみを前記処理室内に導入することを特
徴とするシリコン窒化膜のエッチング方法。
6. When a part or all of the silicon nitride film formed on the silicon substrate or the silicon oxide film is selectively removed by etching in the processing chamber, H 2 O is added to CF 4 gas and O 2 gas. CF as a mixed gas containing
A silicon nitride film characterized in that a mixed gas having a flow rate of 4 gas lower than that of O 2 gas is introduced into a discharge part to generate plasma, and only radicals of plasma active species are introduced into the processing chamber. Etching method.
【請求項7】 シリコン基体上またはシリコン酸化膜に
シリコン窒化膜が形成された半導体ウエハーを内部に収
容する処理室と、上記処理室の内部に設置され、その上
面に前記半導体ウエハーを載置させてその温度を調整す
るためのステージと、フッ素を含むガスと、酸素ガス
と、水素原子を含むガスとを構成元素とする混合ガスが
導入され、プラズマを発生する放電部と、上記放電部か
らプラズマ活性種のラジカルのみを取り出して前記処理
室の内部に導入するラジカル導入部とを具備することを
特徴とするシリコン窒化膜のエッチング装置。
7. A processing chamber for accommodating therein a semiconductor wafer having a silicon nitride film formed on a silicon substrate or a silicon oxide film, and inside the processing chamber, the semiconductor wafer is mounted on the upper surface thereof. And a stage for adjusting the temperature thereof, a gas containing fluorine, an oxygen gas, and a mixed gas having a constituent element of a gas containing a hydrogen atom are introduced, and a discharge section for generating plasma, and the discharge section An etching apparatus for a silicon nitride film, comprising: a radical introducing unit for extracting only radicals of plasma active species and introducing the radicals into the processing chamber.
【請求項8】 前記ラジカル導入部は、前記放電部と前
記処理室のラジカル導入部との間に輸送管が配設されて
なることを特徴とする請求項7記載のシリコン窒化膜の
エッチング装置。
8. The etching apparatus for a silicon nitride film according to claim 7, wherein the radical introducing unit has a transport pipe arranged between the discharge unit and the radical introducing unit of the processing chamber. .
JP6819095A 1995-03-27 1995-03-27 Method and device for etching silicon nitride film Pending JPH08264510A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6819095A JPH08264510A (en) 1995-03-27 1995-03-27 Method and device for etching silicon nitride film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6819095A JPH08264510A (en) 1995-03-27 1995-03-27 Method and device for etching silicon nitride film

Publications (1)

Publication Number Publication Date
JPH08264510A true JPH08264510A (en) 1996-10-11

Family

ID=13366626

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6819095A Pending JPH08264510A (en) 1995-03-27 1995-03-27 Method and device for etching silicon nitride film

Country Status (1)

Country Link
JP (1) JPH08264510A (en)

Cited By (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012505530A (en) * 2008-10-07 2012-03-01 アプライド マテリアルズ インコーポレイテッド Selective etching of silicon nitride
JP2013522882A (en) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド Apparatus and method for periodic oxidation and etching
JP2014508424A (en) * 2011-03-14 2014-04-03 アプライド マテリアルズ インコーポレイテッド Etching method of SiN film
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP2018006405A (en) * 2016-06-28 2018-01-11 株式会社Screenホールディングス Etching method
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9946160B2 (en) 2012-10-19 2018-04-17 Nikon Corporation Method for forming pattern and method for producing device
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Cited By (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012505530A (en) * 2008-10-07 2012-03-01 アプライド マテリアルズ インコーポレイテッド Selective etching of silicon nitride
JP2013522882A (en) * 2010-03-10 2013-06-13 アプライド マテリアルズ インコーポレイテッド Apparatus and method for periodic oxidation and etching
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
JP2014508424A (en) * 2011-03-14 2014-04-03 アプライド マテリアルズ インコーポレイテッド Etching method of SiN film
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10222700B2 (en) 2012-10-19 2019-03-05 Nikon Corporation Method for forming pattern and method for producing device
US9946160B2 (en) 2012-10-19 2018-04-17 Nikon Corporation Method for forming pattern and method for producing device
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP2018006405A (en) * 2016-06-28 2018-01-11 株式会社Screenホールディングス Etching method
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Similar Documents

Publication Publication Date Title
JPH08264510A (en) Method and device for etching silicon nitride film
US5756402A (en) Method of etching silicon nitride film
US6013575A (en) Method of selectively depositing a metal film
JP5466756B2 (en) Plasma etching method, semiconductor device manufacturing method, and plasma etching apparatus
JP2915807B2 (en) Etching of molybdenum silicide using sulfur hexafluoride, hydrogen bromide and oxygen
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US5316616A (en) Dry etching with hydrogen bromide or bromine
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
KR20010049274A (en) Reactive plasma etch cleaning of high aspect ratio openings
JP2004512668A (en) Magnetically enhanced plasma etching method using fluorocarbon etching gas
JPH0336300B2 (en)
US6855643B2 (en) Method for fabricating a gate structure
JPS6211494B2 (en)
US5514621A (en) Method of etching polysilicon using a thin oxide mask formed on the polysilicon while doping
KR100747671B1 (en) Dry etching method and method of manufacturing semiconductor apparatus
EP0328350A2 (en) Dry etching with hydrogen bromide or bromine
JPH10326771A (en) Apparatus and method for hydrogen-plasma downstream treatment
JP2004363558A (en) Manufacturing method of semiconductor device, and cleaning method of plasma etching device
US7183220B1 (en) Plasma etching methods
JP4058669B2 (en) Method for forming conductive silicide layer on silicon substrate and method for forming conductive silicide contact
EP0791669B1 (en) Method for etching inside of cvd reaction chamber
JPH10189537A (en) Dry etching method
JP3887123B2 (en) Dry etching method
JPH053178A (en) Manufacture of semiconductor device
JPH03109728A (en) Manufacture of semiconductor device