JPH08107101A - Plasma processing device and plasma processing method - Google Patents

Plasma processing device and plasma processing method

Info

Publication number
JPH08107101A
JPH08107101A JP23891894A JP23891894A JPH08107101A JP H08107101 A JPH08107101 A JP H08107101A JP 23891894 A JP23891894 A JP 23891894A JP 23891894 A JP23891894 A JP 23891894A JP H08107101 A JPH08107101 A JP H08107101A
Authority
JP
Japan
Prior art keywords
plasma
electrode plate
chamber
region
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP23891894A
Other languages
Japanese (ja)
Inventor
Hiroshi Arimoto
宏 有本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP23891894A priority Critical patent/JPH08107101A/en
Publication of JPH08107101A publication Critical patent/JPH08107101A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Cleaning Or Drying Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE: To prevent generation of a charge-up phenomenon by guiding accelerated ions on a wafer without application of RF bias to a suspector by a method wherein a plasma growing chamber and a treatment chamber are partitoned by an earthing electrode plate having a plurality of holes, and an RF electrode, with which plasma potential is given, is provided on the upstream side opposing to the earthing electrode plate. CONSTITUTION: The upstream plasma potential is increased when RF power is applied to an RF electrode plate 13, and the ions in plasma are accelerated toward the opposing earthing electrode plate 14. When the size of the through hole 15 of the earthing electrode plate is sufficiently small, ions are thoroughly accelerated because the strength of the electric field in the vicinity of the through hole 15 is saturated, and they pass through the through hole 15 and reach a wafer suspector 21. At this time, as the density of ion flux led out from the through hole 15 is determined by plasma density and the rate of opening of the through hole 15, the density of ion current can be adjusted by adjusting the degree of opening.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、プラズマ処理装置及び
プラズマ処理方法に関し、より詳しくは、プラズマガス
によるドライエッチングや成膜に用いられるプラズマ処
理装置及びプラズマ処理方法に関する。近年、半導体装
置の高密度化に伴うパターンの微細化により、寸法精度
が良く、アスペクト比の高い溝等のエッチングが可能な
エッチング装置やカバレージ特性に優れ、緻密な膜質の
薄膜を成膜可能な成膜装置が要望されている。このた
め、低いガス圧で高密度のプラズマを生成する手段を有
する処理装置が要望されている。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus and a plasma processing method, and more particularly, to a plasma processing apparatus and a plasma processing method used for dry etching or film formation with a plasma gas. In recent years, due to the miniaturization of patterns accompanying the increase in the density of semiconductor devices, it is possible to form a thin film with a precise film quality and an etching device that has good dimensional accuracy and is capable of etching grooves with a high aspect ratio and excellent coverage characteristics. A film forming apparatus is desired. Therefore, there is a demand for a processing apparatus having means for generating high density plasma with low gas pressure.

【0002】[0002]

【従来の技術】従来、低いガス圧でも高密度のプラズマ
を生成することができるプラズマ処理装置として、EC
Rプラズマイオン源、ヘリコンプラズマイオン源、TC
Pプラズマイオン源等を利用し、イオンを加速するRF
電圧を基板に印加するためのRF電極を有するエッチン
グ装置や成膜装置がある。これらのうちECRエッチン
グ装置を図7(a)に示し、TCPエッチング装置を図
8(a)に示す。
2. Description of the Related Art Conventionally, EC has been used as a plasma processing apparatus capable of generating high density plasma even at low gas pressure.
R plasma ion source, helicon plasma ion source, TC
RF that accelerates ions using P plasma ion source
There is an etching device and a film forming device having an RF electrode for applying a voltage to a substrate. Of these, the ECR etching apparatus is shown in FIG. 7A, and the TCP etching apparatus is shown in FIG. 8A.

【0003】これらのエッチング装置では、反応ガスに
マイクロ波電力や電磁場を印加してプラズマを発生さ
せ、RF電源3,3aから供給されたRF電力により形
成されたRF電界によりイオンをウエハ(基板)4,4
a上に導いてエッチングを行っている。このため、RF
電極は基板載置台2,2aを兼ねている。なお、RF電
極に対する接地電極として接地されたチャンバ壁1,1
aが用いられることが多い。
In these etching apparatuses, plasma is generated by applying microwave power or an electromagnetic field to the reaction gas, and ions are produced in a wafer (substrate) by an RF electric field formed by the RF power supplied from the RF power sources 3 and 3a. 4,4
Etching is carried out by leading it to a. Therefore, RF
The electrodes also serve as the substrate mounting tables 2 and 2a. In addition, the chamber walls 1, 1 grounded as a ground electrode for the RF electrode
Often a is used.

【0004】即ち、エッチング装置の場合、ウエハ4,
4a上に導かれたイオンの化学的反応の促進により、或
いは物理的衝撃力によりエッチングを行っている。ま
た、上記のプラズマ処理装置を成膜装置として用いた場
合には、ウエハ上に導かれた活性化しているラジカルの
反応により反応生成物をウエハ上に堆積している。
That is, in the case of an etching apparatus, the wafer 4,
Etching is carried out by promoting the chemical reaction of the ions guided on 4a or by physical impact force. Further, when the above plasma processing apparatus is used as a film forming apparatus, reaction products are deposited on the wafer by the reaction of activated radicals introduced onto the wafer.

【0005】[0005]

【発明が解決しようとする課題】しかし、基板載置台
2,2aにRF電力を印加すると、RF電力がチャンバ
壁1,1a等周辺の接地電極に流れ込むため、図7
(b),図8(b)に示すように、ウエハ4,4a上方
のプラズマポテンシャルに空間的な分布が生じやすくな
る。
However, when RF power is applied to the substrate mounting tables 2 and 2a, the RF power flows into the ground electrode around the chamber walls 1 and 1a, so that FIG.
As shown in FIGS. 8B and 8B, a spatial distribution is likely to occur in the plasma potential above the wafers 4 and 4a.

【0006】このようなプラズマポテンシャルの分布は
ウエハ4,4a上に局所的なチャージアップを発生さ
せ、ウエハ4,4aに形成されたMOSトランジスタの
ゲート絶縁膜の劣化、或いは破壊を引き起こす原因とな
っている。本発明は、係る従来例の問題点に鑑みて創作
されたものであり、基板載置台にRFバイアスを印加し
なくても、加速されたイオンをウエハ上に導けるように
してチャージアップを抑制することができるプラズマ処
理装置及びプラズマ処理方法を提供することを目的とす
るものである。
Such a plasma potential distribution causes local charge-up on the wafers 4 and 4a, and causes deterioration or destruction of the gate insulating film of the MOS transistor formed on the wafers 4 and 4a. ing. The present invention was created in view of the problems of the conventional example, and suppresses charge-up by allowing accelerated ions to be guided onto a wafer without applying an RF bias to the substrate mounting table. An object of the present invention is to provide a plasma processing apparatus and a plasma processing method capable of performing the same.

【0007】[0007]

【課題を解決するための手段】上記課題は、第1に、プ
ラズマ生成室と、前記プラズマ生成室の下流に設けられ
た処理室と、前記プラズマ生成室内に処理ガスを導入す
るガス導入口と、前記プラズマ生成室の外壁に巻回され
た、前記プラズマ生成室内の処理ガスをプラズマ化する
コイル状の第1のRF電極と、前記処理室の外壁に巻回
された、前記処理室内の処理ガスをプラズマ化するコイ
ル状の第2のRF電極と、前記処理室内に設けられた基
板載置台と、前記プラズマ室と前記処理室間を仕切り、
複数の孔が設けられた接地電極板と、前記接地電極板に
対向して上流に設けられ、前記プラズマ室内のプラズマ
に電位を付与するRF電極板とを有することを特徴とす
るプラズマ処理装置によって達成され、第2に、前記載
置台の周辺に接地された導電体が設けられていることを
特徴とする第1の発明に記載のプラズマ処理装置によっ
て達成され、第3に、前記載置台はコンデンサを介して
接地されていることを特徴とする第1又は第2の発明に
記載のプラズマ処理装置によって達成され、第4に、前
記孔の大きさは直径0.5mm以下であることを特徴と
する第1乃至第3の発明のいずれかに記載のプラズマ処
理装置によって達成され、第5に、前記ガス導入口は前
記RF電極板に設けられていることを特徴とする第1乃
至第4の発明のいずれかに記載のプラズマ処理装置によ
って達成され、第6に、前記接地電極板の孔の密度は前
記プラズマ生成室から前記処理室に流入するイオン電流
密度に対応した分布を有することを特徴とする第1乃至
第5の発明のいずれかに記載のプラズマ処理装置によっ
て達成され、第7に、前記接地電極板の孔は、前記処理
室内の圧力が数mmTorr以下となるようにコンダクタン
スが調整されていることを特徴とする第1乃至第6の発
明のいずれかに記載のプラズマ処理装置によって達成さ
れ、第8に、前記接地電極板及び前記載置台のうち少な
くともいずれかは上下移動手段を有することを特徴とす
る第1乃至第7の発明のいずれかに記載のプラズマ処理
装置によって達成され、第9に、複数の貫通孔を有する
接地電極板により第1の領域と第2の領域とに仕切り、
前記第1の領域に被処理基板を置き、前記第1の領域と
前記第2の領域に導入された処理ガスを活性化して前記
第1の領域及び前記第2の領域にプラズマを生成し、前
記第2の領域で生成した前記プラズマにプラズマポテン
シャルを付与し、前記接地電極板の貫通孔を介して前記
第2の領域の前記プラズマ中のイオンを前記第1の領域
に導くことを特徴とするプラズマ処理方法によって達成
され、第10に、前記第1の領域に導かれたイオンをそ
のまま前記被処理基板に照射することを特徴とする第9
の発明に記載のプラズマ処理方法によって達成され、第
11に、前記第1の領域に導かれたイオンを電荷交換衝
突により中性化し、該中性粒子を前記被処理基板に照射
することを特徴とする第9の発明に記載のプラズマ処理
方法によって達成される。
The first object of the present invention is to provide a plasma generating chamber, a processing chamber provided downstream of the plasma generating chamber, and a gas inlet for introducing a processing gas into the plasma generating chamber. A coil-shaped first RF electrode wound around the outer wall of the plasma generation chamber to turn the processing gas in the plasma generation chamber into plasma, and a process inside the processing chamber wound around the outer wall of the processing chamber A coil-shaped second RF electrode for converting gas into plasma, a substrate mounting table provided in the processing chamber, a partition between the plasma chamber and the processing chamber,
A plasma processing apparatus comprising: a ground electrode plate having a plurality of holes; and an RF electrode plate that is provided upstream of the ground electrode plate so as to face the ground electrode plate and applies a potential to plasma in the plasma chamber. Achieved, secondly, achieved by the plasma processing apparatus according to the first invention, characterized in that a grounded conductor is provided around the mounting table, and thirdly, the mounting table is This is achieved by the plasma processing apparatus according to the first or second aspect of the invention, which is grounded via a capacitor, and fourth, the size of the hole is 0.5 mm or less in diameter. The present invention is achieved by the plasma processing apparatus according to any one of the first to third inventions, and fifthly, the gas introduction port is provided in the RF electrode plate. Invention of A sixth feature of the present invention is that the density of the holes in the ground electrode plate has a distribution corresponding to an ion current density flowing into the processing chamber from the plasma generation chamber. A seventh aspect of the present invention is achieved by the plasma processing apparatus according to any one of the first to fifth inventions, and seventhly, the conductance of the hole of the ground electrode plate is adjusted so that the pressure in the processing chamber is equal to or less than several mmTorr. According to another aspect of the present invention, there is provided a plasma processing apparatus according to any one of the first to sixth inventions, and eighthly, at least one of the ground electrode plate and the mounting table has a vertical moving means. According to another aspect of the present invention, there is provided a plasma processing apparatus according to any one of the first to seventh inventions, and ninth, a ground electrode plate having a plurality of through holes provides a first region and a second region. Partition in the frequency,
A substrate to be processed is placed in the first region, the processing gas introduced into the first region and the second region is activated to generate plasma in the first region and the second region, A plasma potential is applied to the plasma generated in the second region, and ions in the plasma in the second region are guided to the first region through a through hole of the ground electrode plate. A tenth aspect of the present invention, which is achieved by the plasma processing method according to the tenth aspect, wherein the tenth aspect of the present invention directly irradiates the substrate to be treated with the ions guided to the first region.
11thly, the ion guided to the said 1st area | region is neutralized by charge exchange collision, and the said to-be-processed substrate is irradiated with the said 11th. And the plasma processing method according to the ninth invention.

【0008】[0008]

【作用】本発明のプラズマ処理装置においては、プラズ
マ生成室と処理室はそれぞれに反応ガスをプラズマ化す
るRF電力を印加する第1及び第2のRF電極を有し、
複数の孔を有する接地電極板で仕切られている。また、
上記プラズマ処理装置は接地電極板に対向し、プラズマ
ポテンシャルを付与するRF電極板を上流側に有する。
In the plasma processing apparatus of the present invention, the plasma generation chamber and the processing chamber each have first and second RF electrodes for applying RF power for converting the reaction gas into plasma,
It is partitioned by a ground electrode plate having a plurality of holes. Also,
The plasma processing apparatus has an RF electrode plate facing the ground electrode plate and providing a plasma potential on the upstream side.

【0009】これらの構成により、本発明のプラズマ処
理装置は生成されたプラズマを2つの領域に隔てるとと
もに、上流のプラズマ生成室で生成されたプラズマから
複数の孔を介して下流の処理室で生成したプラズマ中に
イオンを引き出せる構造となっている。即ち、本発明の
プラズマ処理方法のように、プラズマを生成し、RF電
極板にRF電力を印加すると、上流のプラズマポテンシ
ャルが高くなり、プラズマ中のイオンは対向する接地電
極板に向かって加速される。
With these configurations, the plasma processing apparatus of the present invention separates the generated plasma into two regions, and also generates the plasma generated in the upstream plasma generating chamber in the downstream processing chamber through the plurality of holes. The structure is such that ions can be extracted into the plasma. That is, when plasma is generated and RF power is applied to the RF electrode plate as in the plasma processing method of the present invention, the upstream plasma potential increases, and the ions in the plasma are accelerated toward the opposing ground electrode plate. It

【0010】このとき、接地電極板の孔の大きさが1m
m程度以上の場合は、孔の周囲の接地電極板がプラズマ
シースで囲まれるため、イオン電流が飽和するのに十分
なシース電界にならない。この場合でも、イオンはある
程度加速されているので、孔を通過し、そのままエッチ
ングや成膜に用いることができるし、RF電極板に印加
するRF電力を更に増して電界を増大し、イオンを更に
加速して孔を通過させ、エッチングや成膜に用いること
もできる。
At this time, the size of the hole of the ground electrode plate is 1 m.
When the thickness is about m or more, the ground electrode plate around the hole is surrounded by the plasma sheath, so that the sheath electric field is not sufficient to saturate the ionic current. Even in this case, since the ions are accelerated to some extent, they can pass through the holes and be used for etching or film formation as they are. Further, the RF power applied to the RF electrode plate can be further increased to increase the electric field and further increase the ions. It can also be accelerated to pass through the hole and used for etching or film formation.

【0011】一方、接地電極板の孔の大きさが十分に小
さい場合、例えば0.5mm以下の場合、孔の近傍のシ
ース電界強度が飽和するため、イオンは十分に加速さ
れ、孔を通過して載置台に到達する。なお、孔を通過す
るイオンフラックス密度は、プラズマ密度及びプラズマ
ポテンシャルによらず、イオンに対向する接地電極板の
面積に対する孔の占める面積として定義される孔の開口
率によって決定されるため、孔の開口率を調整すること
によりイオン電流密度を調整することができる。
On the other hand, when the size of the hole of the ground electrode plate is sufficiently small, for example, 0.5 mm or less, the sheath electric field strength in the vicinity of the hole is saturated, so that the ions are sufficiently accelerated and pass through the hole. Reach the mounting table. The ion flux density passing through the hole is determined by the aperture ratio of the hole defined as the area occupied by the hole with respect to the area of the ground electrode plate facing the ions, regardless of the plasma density and the plasma potential. The ion current density can be adjusted by adjusting the aperture ratio.

【0012】以上のように、載置台にRF電極を接続し
なくても、載置台に加速されたイオンを導くことができ
る。また、プラズマ生成室内のプラズマ生成方法により
余儀なくイオン電流密度が不均一となる場合には、イオ
ン電流密度の分布に応じて接地電極板の孔の密度に分布
を持たせる、即ち隣接する孔の間隔を分布に応じて調整
することにより、基板に到達するイオン電流密度を均一
にすることができる。即ち、イオン電流密度の高い所は
孔の密度を粗にし、低いところは密にする。
As described above, accelerated ions can be guided to the mounting table without connecting the RF electrode to the mounting table. When the ion current density is unavoidably non-uniform due to the plasma generation method in the plasma generation chamber, the density of the holes in the ground electrode plate is distributed according to the distribution of the ion current density, that is, the distance between the adjacent holes. Is adjusted according to the distribution, the ion current density reaching the substrate can be made uniform. That is, the density of the pores is made rough at a place where the ion current density is high, and is made dense at a place where the ion current density is low.

【0013】更に、処理室に引き出されたイオンにより
処理室のプラズマポテンシャルは上昇しようとするが、
処理室内に生成されているプラズマ中の電子によりイオ
ンが中和されてプラズマポテンシャルの上昇が抑制され
る。一般に、プラズマイオンシース部が形成され、プラ
ズマポテンシャルが僅かにプラスに上昇する。この上昇
の仕方は殆どが周辺の接地面積やプラズマ中の電子温度
で決定されるため、上部からイオンが新たに入射しても
周辺アースに逃げる電子が減ることによって、載置台を
効率良く、かつ均一に中和することができる。従って、
基板のチャージアップを抑制することができる。
Furthermore, although the plasma potential of the processing chamber tends to rise due to the ions extracted into the processing chamber,
Ions are neutralized by the electrons in the plasma generated in the processing chamber, and the rise in plasma potential is suppressed. In general, a plasma ion sheath portion is formed, and the plasma potential rises slightly to the positive side. Most of the way of this rise is determined by the peripheral ground area and the electron temperature in the plasma, so even if new ions enter from the upper part, the number of electrons escaping to the peripheral ground is reduced, and the mounting table is efficiently and It can be uniformly neutralized. Therefore,
The charge up of the substrate can be suppressed.

【0014】また、プラズマポテンシャルの上昇が抑制
されるため、プラズマ生成室と処理室のプラズマポテン
シャルの差を維持することが出来るので、常にイオンを
基板の向きに加速することができる。更に、処理室内の
圧力が数mmTorr以下となるように接地電極板の孔のコ
ンダクタンスが調整されているので、引き出されたイオ
ンと処理室内のプラズマ粒子との衝突を避けることがで
きる。このイオンは指向性を有するので、異方性エッチ
ングに有効である。
Further, since the rise of the plasma potential is suppressed, the difference in plasma potential between the plasma generation chamber and the processing chamber can be maintained, so that the ions can be always accelerated toward the substrate. Further, since the conductance of the hole of the ground electrode plate is adjusted so that the pressure in the processing chamber becomes several mmTorr or less, it is possible to avoid collision between the extracted ions and plasma particles in the processing chamber. Since these ions have directivity, they are effective for anisotropic etching.

【0015】また、接地電極板及び載置台のうち少なく
ともいずれかは上下移動手段を有するので、接地電極板
と載置台の距離を近づけることにより、引き出されたイ
オンとプラズマ粒子との衝突を避けるようにしてそのイ
オンを異方性のエッチング種とすることもできる。或い
は、接地電極板と載置台の距離を遠ざけることにより、
引き出されたイオンを処理室に導入されている中性粒子
と電荷交換衝突させて引き出されたイオンを中性化し、
高速中性粒子とすることができる。この高速中性粒子を
エッチング或いは成膜に用いることにより、基板のチャ
ージアップを更に抑制することができる。
Further, since at least one of the ground electrode plate and the mounting table has a vertical moving means, the distance between the ground electrode plate and the mounting table is made close to avoid collision between the extracted ions and plasma particles. Then, the ions can be used as an anisotropic etching species. Alternatively, by increasing the distance between the ground electrode plate and the mounting table,
The extracted ions are subjected to charge exchange collision with neutral particles introduced into the processing chamber to neutralize the extracted ions,
It can be fast neutral particles. By using these high speed neutral particles for etching or film formation, the charge-up of the substrate can be further suppressed.

【0016】[0016]

【実施例】以下に、図面を参照しながら本発明の実施例
について説明する。図1(a)は、本発明の実施例に係
るプラズマエッチング装置について示す側面図である。
図1(a)において、11はプラズマ生成室で、側壁1
2は内径300mmφの円筒状の絶縁壁で形成され、そ
の外壁にはコイル状の銅線が巻回された第1のRF電極
24を有する。
Embodiments of the present invention will be described below with reference to the drawings. FIG. 1A is a side view showing a plasma etching apparatus according to an embodiment of the present invention.
In FIG. 1A, 11 is a plasma generation chamber, which is a side wall 1.
2 is formed of a cylindrical insulating wall having an inner diameter of 300 mmφ, and has an outer wall having a first RF electrode 24 around which a coil-shaped copper wire is wound.

【0017】また、上部壁は板状のSiC,グラシーカ
ーボン,Si或いはAlからなるRF電極板13となっ
ている。RF電極板13には整合回路27を介して第2
のRF電源28が接続されている。第2のRF電源28
により、RF電極板13に例えば周波数13.56 MHzの
RF電力を印加することよりプラズマ生成室11で生成
されたプラズマの電位を高める。このRF電極板13に
は反応ガスを導入するガス導入口19が形成されてい
る。
The upper wall is an RF electrode plate 13 made of plate-like SiC, glassy carbon, Si or Al. The RF electrode plate 13 is provided with a second circuit through a matching circuit 27.
RF power source 28 is connected. Second RF power source 28
Thus, the electric potential of the plasma generated in the plasma generation chamber 11 is increased by applying the RF power having a frequency of 13.56 MHz to the RF electrode plate 13. A gas introduction port 19 for introducing a reaction gas is formed in the RF electrode plate 13.

【0018】16はエッチング室(処理室)で、側壁1
7は直径300mmφの円筒状の絶縁壁で形成され、そ
の外壁にはコイル状の銅線が巻回された第2のRF電極
25を有する。この第2のRF電極25は第1のRF電
極24と接続されていてもよいし、電気的に分離されて
いてもよい。図1の場合、第2のRF電極25と第1の
RF電極24は接続されており、両端間に第1のRF電
源26が接続されている。エッチング室16の下部は導
電壁18となっており、またエッチング室16の下部に
は、プラズマ生成室11内及びエッチング室16内を排
気し、或いはエッチング室16内の不要なガスや反応生
成物を排出する排気口20を有する。
Reference numeral 16 denotes an etching chamber (processing chamber), which is a side wall 1.
7 is formed of a cylindrical insulating wall having a diameter of 300 mmφ, and has a second RF electrode 25 around which a coil-shaped copper wire is wound on the outer wall. The second RF electrode 25 may be connected to the first RF electrode 24 or may be electrically separated. In the case of FIG. 1, the second RF electrode 25 and the first RF electrode 24 are connected, and the first RF power source 26 is connected between both ends. The lower portion of the etching chamber 16 is a conductive wall 18, and the lower portion of the etching chamber 16 evacuates the inside of the plasma generation chamber 11 and the etching chamber 16 or unnecessary gas and reaction products inside the etching chamber 16. It has an exhaust port 20 for discharging.

【0019】21はエッチング室16内に設置されたウ
エハ22を保持する基板載置台である。また、基板載置
台21はコンデンサ23を介して接地されており、ウエ
ハ22は直流的に絶縁されている。従って、エッチング
中或いは成膜中のチャージアップに対して、ウエハ22
上に形成されているゲート絶縁膜等にはチャージアップ
による電圧がかからない。更に、基板載置台21には不
図示の上下移動機構が設けられ、接地電極板14との距
離を調整することが出来るようになっている。
Reference numeral 21 is a substrate mounting table for holding the wafer 22 placed in the etching chamber 16. The substrate mounting table 21 is grounded via a capacitor 23, and the wafer 22 is galvanically isolated. Therefore, the wafer 22 is not affected by charge-up during etching or film formation.
No voltage due to charge-up is applied to the gate insulating film and the like formed above. Further, the substrate mounting table 21 is provided with a vertical movement mechanism (not shown) so that the distance from the ground electrode plate 14 can be adjusted.

【0020】14はプラズマ生成室11とエッチング室
16を仕切る厚さ1mmの接地電極板で、RF電極板1
3と対向している。また、図2(b)に示すように、接
地電極板13には直径約0.5mmの複数の貫通孔15
が間隔0.8mmで形成されており、開口率は約30%
となっている。即ち、プラズマを2つの領域に隔てると
ともに、プラズマ生成室11内のプラズマ中のイオンが
貫通孔15を介して通過できるような構造となってい
る。
Reference numeral 14 denotes a ground electrode plate having a thickness of 1 mm which partitions the plasma generation chamber 11 and the etching chamber 16 from each other.
Opposite 3 Further, as shown in FIG. 2B, the ground electrode plate 13 has a plurality of through holes 15 having a diameter of about 0.5 mm.
Are formed with an interval of 0.8 mm, and the aperture ratio is about 30%
Has become. That is, the plasma is divided into two regions, and ions in the plasma inside the plasma generation chamber 11 can pass through the through holes 15.

【0021】また、貫通孔15を通流するガスに対する
貫通孔15のコンダクタンスは調整されており、例え
ば、排気口20から排気量1000l/sのTMP(ターボ
モリキュラポンプ)を用いてエッチング室16及び接地
電極板14の貫通孔15を介してプラズマ生成室11を
排気した場合、プラズマ生成室11内の圧力10mTo
rr,エッチング室16内の圧力1mTorr以下の差
圧を確保することができる。
Further, the conductance of the through hole 15 with respect to the gas flowing through the through hole 15 is adjusted, and for example, the etching chamber 16 is used by using a TMP (turbo-moricular pump) having an exhaust amount of 1000 l / s from the exhaust port 20. And when the plasma generation chamber 11 is evacuated through the through hole 15 of the ground electrode plate 14, the pressure in the plasma generation chamber 11 is 10 mTo.
It is possible to secure a pressure difference of 1 mTorr or less in the etching chamber 16.

【0022】以上のように、本発明の実施例のプラズマ
エッチング装置においては、プラズマ生成室11とエッ
チング室16はそれぞれに反応ガスをプラズマ化するR
F電力を印加する第1のRF電極24を有し、複数の貫
通孔15を有する接地電極板14で仕切られ、更に、接
地電極板14に対向し、プラズマポテンシャルを付与す
るRF電極板13を上流側に有する。
As described above, in the plasma etching apparatus according to the embodiment of the present invention, the plasma generating chamber 11 and the etching chamber 16 respectively turn the reactive gas into plasma.
An RF electrode plate 13 that has a first RF electrode 24 that applies F power and is partitioned by a ground electrode plate 14 that has a plurality of through holes 15 and that faces the ground electrode plate 14 and that imparts a plasma potential. Have on the upstream side.

【0023】従って、RF電極板13にRF電力を印加
すると、上流のプラズマポテンシャルが高くなり、プラ
ズマ中のイオンは対向する接地電極板14に向かって加
速される。このとき、接地電極板14の貫通孔15の大
きさが1mm程度以上の場合は、図3(a)に示すよう
に孔の周囲の接地電極板14がプラズマシースで囲まれ
るため、図3(c)に示すようにイオン電流が飽和する
のに十分なシース電界にならない。この場合でも、イオ
ンはある程度加速されているので、貫通孔15を通過し
たイオンをそのままエッチングに用いることができる
し、RF電極板13に印加するRF電力を更に増してシ
ース電界を増大し、イオンを更に加速して貫通孔15を
通過させ、エッチングに用いることもできる。
Therefore, when RF power is applied to the RF electrode plate 13, the upstream plasma potential becomes high, and the ions in the plasma are accelerated toward the ground electrode plate 14 facing them. At this time, when the size of the through hole 15 of the ground electrode plate 14 is about 1 mm or more, the ground electrode plate 14 around the hole is surrounded by the plasma sheath as shown in FIG. As shown in c), the sheath electric field is not sufficient to saturate the ionic current. Even in this case, since the ions have been accelerated to some extent, the ions that have passed through the through holes 15 can be used as they are for etching, and the RF electric power applied to the RF electrode plate 13 can be further increased to increase the sheath electric field. Can be further accelerated to pass through the through hole 15 and used for etching.

【0024】一方、接地電極板14の貫通孔15の大き
さが十分に小さい場合、例えば0.5mm以下の場合、
図3(b),(c)に示すように貫通孔15の近傍のシ
ース電界強度が飽和するため、イオンは十分に加速さ
れ、貫通孔15を通過して基板載置台21に到達する。
このとき、貫通孔15から引き出されるイオンフラック
ス密度は、プラズマポテンシャルによらず、プラズマ密
度及び貫通孔15の開口率で決定されるので、開口率を
調整することによりイオン電流密度を調整することがで
きる。
On the other hand, when the size of the through hole 15 of the ground electrode plate 14 is sufficiently small, for example, 0.5 mm or less,
As shown in FIGS. 3B and 3C, since the sheath electric field strength near the through hole 15 is saturated, the ions are sufficiently accelerated and pass through the through hole 15 to reach the substrate mounting table 21.
At this time, the ion flux density extracted from the through hole 15 is determined by the plasma density and the aperture ratio of the through hole 15 regardless of the plasma potential. Therefore, the ion current density can be adjusted by adjusting the aperture ratio. it can.

【0025】以上のように、基板載置台21にRF電極
を接続しなくても、基板載置台21にイオンを導くこと
ができる。次に、上記のエッチング装置を用いたエッチ
ング方法について説明する。まず、排気口20からプラ
ズマ生成室11内及びエッチング室16内を排気する。
続いて、反応ガス、例えばCF4 をガス導入口19から
プラズマ生成室11内に導入する。反応ガスは接地電極
板14の貫通孔15を介して下流のエッチング室16に
も導入される。このとき、貫通孔15のコンダクタンス
により、プラズマ生成室11内の圧力10mTorrに
対してエッチング室16内の圧力1mTorr以下の差
圧を確保することができる。
As described above, the ions can be guided to the substrate mounting table 21 without connecting the RF electrode to the substrate mounting table 21. Next, an etching method using the above etching device will be described. First, the inside of the plasma generation chamber 11 and the inside of the etching chamber 16 are exhausted from the exhaust port 20.
Then, a reaction gas, for example, CF 4, is introduced into the plasma generation chamber 11 through the gas introduction port 19. The reaction gas is also introduced into the etching chamber 16 on the downstream side through the through hole 15 of the ground electrode plate 14. At this time, due to the conductance of the through hole 15, it is possible to secure a pressure difference of 1 mTorr or less in the etching chamber 16 with respect to a pressure of 10 mTorr in the plasma generation chamber 11.

【0026】次に、第1のRF電源26により、周波数
13.56 MHz,電力1kW以上を第1のRF電極24に
印加すると、プラズマ生成室11内にプラズマが生成
し、そのプラズマ密度は1011cm-3以上になる。この
とき、同時に、第2のRF電極25にも周波数13.56 M
Hz,電力1kW以上が印加されて、エッチング室16
内にも低密度のプラズマが生成される。
Next, the first RF power source 26 is used to
When 13.56 MHz and power of 1 kW or more are applied to the first RF electrode 24, plasma is generated in the plasma generation chamber 11 and the plasma density becomes 10 11 cm −3 or more. At this time, at the same time, the second RF electrode 25 also has a frequency of 13.56 M.
Hz, power of 1 kW or more is applied to the etching chamber 16
A low density plasma is also generated inside.

【0027】更に、RF電極板13に400Wの電力を
印加するとプラズマ生成室11内のプラズマポテンシャ
ルは平均で400V程度になる。また、エッチング室1
6内のプラズマポテンシャルは30〜50Vとなる。こ
の様子を図1(a)に示す。図1(a)はRF電極板1
3がアノードになった場合のプラズマ生成室11及びエ
ッチング室16の円筒軸方向のポテンシャル分布を示
す。
Further, when 400 W of electric power is applied to the RF electrode plate 13, the plasma potential in the plasma generation chamber 11 becomes about 400 V on average. Also, etching room 1
The plasma potential in 6 is 30 to 50V. This state is shown in FIG. FIG. 1A shows an RF electrode plate 1.
3 shows potential distributions in the cylindrical axis direction of the plasma generation chamber 11 and the etching chamber 16 when 3 is an anode.

【0028】なお、実用上、適当なイオンの加速電界を
得るため、RF電極板13には100〜600W程度印
加することが好ましい。また、RF電極板13には印加
しなくても、エッチング室16内のプラズマポテンシャ
ルは30〜50Vとなるので、場合により、RF電極板
13には電力を印加しなくてもよい。上記のようにRF
電極板13に電力を印加することにより、図3(b)に
示すように、十分に狭い貫通孔15近傍におけるシース
電界は飽和し、図1(b)に示すように貫通孔15の前
面に高電界がかかる。これにより、プラズマ生成室11
内のプラズマ中のイオンが加速され、プラズマ生成室1
1から貫通孔15を介してエッチング室16に引き出さ
れる。このとき、図4に示すようにエッチング室16内
に引き出されるイオン電流密度も飽和し、3mA/cm
2 が得られる。
In practice, in order to obtain an appropriate accelerating electric field for ions, it is preferable to apply about 100 to 600 W to the RF electrode plate 13. Further, even if the RF electrode plate 13 is not applied, the plasma potential in the etching chamber 16 becomes 30 to 50 V, so that it is not necessary to apply the power to the RF electrode plate 13 in some cases. RF as above
By applying electric power to the electrode plate 13, the sheath electric field in the vicinity of the sufficiently narrow through hole 15 is saturated as shown in FIG. 3 (b), and the sheath electric field is saturated on the front surface of the through hole 15 as shown in FIG. 1 (b). High electric field is applied. Thereby, the plasma generation chamber 11
Ions in the plasma inside are accelerated, and plasma generation chamber 1
1 through the through hole 15 into the etching chamber 16. At this time, as shown in FIG. 4, the ion current density drawn into the etching chamber 16 is also saturated, and the ion current density is 3 mA / cm.
You get 2 .

【0029】また、プラズマ生成室11内及びエッチン
グ室16内におけるイオン電流密度の分布を図2
(a),図2(c)に示す。なお、図6(a)に示すよ
うにプラズマ生成室11内のイオン電流密度分布が不均
一になる場合がある。このような分布は、特に、図1
(a)に示すようなコイル状の第1のRF電極24を用
いた場合に生じる。即ち、磁場はプラズマ生成室11の
円筒の軸方向に発生し、かつ電場はこの磁場の周りの円
周方向に発生するため、イオン及び電子はプラズマ生成
室11の中心部よりもエネルギの高い周辺部で発生する
ためである。このような場合には、図6(b)に示すよ
うに接地電極板14aの貫通孔15aの密度に分布を持たせ
る。即ち、イオン電流密度の高い部分に対応する領域の
貫通孔15aの密度を低く、逆にイオン電流密度の低い部
分に対応する領域の貫通孔15aの密度を高くする。これ
により、図6(c)に示すようにエッチング室16内に
引き出されるイオン電流密度分布を均一にすることがで
きる。
The distribution of the ion current density in the plasma generation chamber 11 and the etching chamber 16 is shown in FIG.
(A), It shows in FIG.2 (c). Note that the ion current density distribution in the plasma generation chamber 11 may become non-uniform as shown in FIG. Such a distribution is particularly shown in FIG.
This occurs when the coil-shaped first RF electrode 24 as shown in (a) is used. That is, since the magnetic field is generated in the axial direction of the cylinder of the plasma generation chamber 11, and the electric field is generated in the circumferential direction around this magnetic field, the ions and electrons are in the periphery where the energy is higher than in the central portion of the plasma generation chamber 11. This is because it occurs in the department. In such a case, the density of the through holes 15a of the ground electrode plate 14a is made to have a distribution as shown in FIG. 6 (b). That is, the density of the through holes 15a in the region corresponding to the high ion current density is lowered, and conversely, the density of the through holes 15a in the region corresponding to the low ion current density is increased. As a result, as shown in FIG. 6C, the ion current density distribution extracted into the etching chamber 16 can be made uniform.

【0030】このようにして引き出されたイオンは加速
電界により基板の方に向かう。このとき、基板載置台2
1に取りつけられた上下移動機構により基板載置台21
と接地電極板14の距離を例えば50mm程度に近づけ
ておくことにより、イオンは他の粒子と衝突せずにその
まま基板22に到達する。このようなイオンは指向性を
有するので、異方性のエッチングに有効である。
The ions thus extracted move toward the substrate due to the acceleration electric field. At this time, the substrate mounting table 2
The substrate mounting table 21 is moved by the vertical movement mechanism attached to
By keeping the distance between the ground electrode plate 14 and the ground electrode plate 14 close to, for example, about 50 mm, the ions reach the substrate 22 as they are without colliding with other particles. Since such ions have directivity, they are effective for anisotropic etching.

【0031】また、基板載置台21と接地電極板14の
距離を例えば100mm程度に遠ざけておくことによ
り、イオンを他の中性原子或いは中性分子(中性粒子)
と電荷交換衝突させることも可能である。この場合に
は、イオンは保有する運動量を維持したまま中性粒子か
ら電子を授受されて中性化するため、基板22表面のチ
ャージアップを抑制することができ、チャージアップに
起因するダメージを低減することが可能である。
Further, by keeping the distance between the substrate mounting table 21 and the ground electrode plate 14 to be, for example, about 100 mm, the ions can be replaced with other neutral atoms or neutral molecules (neutral particles).
It is also possible to cause a charge exchange collision with. In this case, the ions are exchanged with the electrons from the neutral particles while maintaining their own momentum to be neutralized, so that the charge-up on the surface of the substrate 22 can be suppressed, and the damage caused by the charge-up can be reduced. It is possible to

【0032】この電荷交換衝突断面積は、Arガスの場
合弾性散乱断面積に比べて5倍以上大きいため4mTo
rrのガス圧で接地電極板と基板載置台21の距離が1
00mmの場合、高速中性粒子の割合を75%にするこ
とが可能である。また、異種粒子同士或いは原子と分子
の電荷交換衝突の断面積は共鳴状態のときのみ大きな値
をもつため、異種粒子同士の衝突や原子と分子の衝突は
起こりにくい。このため、高速中性粒子を多量に得るた
めには、Ar,Ne,Xe,Kr等の単原子ガスを反応
ガスに混ぜて原子同士の衝突を起こさせることが有効で
ある。
This charge exchange collision cross section is 4 mTo, because it is five times larger than the elastic scattering cross section in the case of Ar gas.
The gas pressure of rr causes the distance between the ground electrode plate and the substrate mounting table 21 to be 1
In the case of 00 mm, the proportion of high speed neutral particles can be 75%. Further, since the cross-sectional area of charge exchange collision between different kinds of particles or between atoms and molecules has a large value only in the resonance state, collision between different kinds of particles and collision between atoms and molecules are unlikely to occur. Therefore, in order to obtain a large amount of high-speed neutral particles, it is effective to mix monatomic gas such as Ar, Ne, Xe, and Kr with the reaction gas to cause collision of atoms.

【0033】上記の基板載置台21と接地電極板14と
の間隔を調整する電荷交換衝突を利用して、異方性エッ
チング時にはイオンによるエッチングを行い、オーバエ
ッチング時に高速中性粒子によるエッチングを行う等の
使い分けが可能である。なお、指向性のあるイオンを得
る方法として、上記の基板載置台21と接地電極板14
の距離を調整すること以外に、エッチング室16のガス
圧を更に低くしてもよい。例えば、反応ガス流量や排気
速度を調整して0.5mTorr以下になるようにす
る。
By utilizing the charge exchange collision that adjusts the distance between the substrate mounting table 21 and the ground electrode plate 14, etching is performed by ions during anisotropic etching, and etching by high speed neutral particles is performed during overetching. It is possible to use them properly. As a method for obtaining directional ions, the substrate mounting table 21 and the ground electrode plate 14 described above are used.
The gas pressure in the etching chamber 16 may be further lowered in addition to adjusting the distance. For example, the reaction gas flow rate and the exhaust speed are adjusted so as to be 0.5 mTorr or less.

【0034】更に、エッチング室16に引き出されたイ
オンによりエッチング室16のプラズマポテンシャルは
上昇しようとするが、エッチング室16内で生成されて
いるプラズマ中の電子によりイオンが中和されてプラズ
マポテンシャルの上昇が抑制される。一般に、プラズマ
イオンシース部が形成され、プラズマポテンシャルが僅
かにプラスに上昇する。この上昇の仕方は殆どが周辺の
接地面積やプラズマ中の電子温度で決定されるため、上
部からイオンが新たに入射しても周辺アースに逃げる電
子が減ることによって、プラズマポテンシャルの上昇が
抑制される。このため、基板載置台21を効率良く、か
つ均一に中和することができる。従って、基板22のチ
ャージアップを抑制することができる。
Furthermore, although the plasma potential of the etching chamber 16 tends to rise due to the ions extracted into the etching chamber 16, the ions in the plasma generated in the etching chamber 16 are neutralized and the plasma potential of the plasma potential is increased. The rise is suppressed. In general, a plasma ion sheath portion is formed, and the plasma potential rises slightly to the positive side. Most of the way of this rise is determined by the ground contact area in the periphery and the electron temperature in the plasma, so even if new ions enter from the top, the number of electrons escaping to the surrounding earth is reduced, and the rise in plasma potential is suppressed. It Therefore, the substrate mounting table 21 can be neutralized efficiently and uniformly. Therefore, the charge up of the substrate 22 can be suppressed.

【0035】また、プラズマポテンシャルの上昇が抑制
されるため、プラズマ生成室11とエッチング室16の
プラズマポテンシャルの差を維持することが出来るの
で、常にイオンを基板22の向きに加速することができ
る。上記により、基板22に到達した加速されたイオン
或いは高速中性粒子により、基板22上のSiO2 膜を
エッチングすることができる。
Further, since the rise of the plasma potential is suppressed, the difference in plasma potential between the plasma generation chamber 11 and the etching chamber 16 can be maintained, so that the ions can be always accelerated toward the substrate 22. As described above, the SiO 2 film on the substrate 22 can be etched by the accelerated ions or the fast neutral particles that have reached the substrate 22.

【0036】上記実施例の場合、エッチングレートとし
て2000〜6000Å/minが得られた。なお、エッチング
レートの調整は、イオン電流密度を調整することにより
行うことができる。 (他の実施例のプラズマ処理装置)図5は、他の実施例
のプラズマ処理装置について示す側面図である。
In the case of the above embodiment, an etching rate of 2000 to 6000Å / min was obtained. The etching rate can be adjusted by adjusting the ion current density. (Plasma processing apparatus of another embodiment) FIG. 5 is a side view showing a plasma processing apparatus of another embodiment.

【0037】図5において、図1(a)と異なるところ
は、一つには、RF電極板13aに複数のガス導入口19a
が設けられていることである。1つのガス導入口19aは
例えば直径約1mmで、隣接するガス導入口19aの間隔
は約3mmとされる。これにより、反応ガスをより均一
に導入し、イオン電流密度の均一性の向上を図ることが
出来る。
In FIG. 5, the difference from FIG. 1A is that a plurality of gas introduction ports 19a are provided in the RF electrode plate 13a.
Is provided. One gas inlet 19a has a diameter of, for example, about 1 mm, and the distance between adjacent gas inlets 19a is about 3 mm. Thereby, the reaction gas can be introduced more uniformly, and the uniformity of the ion current density can be improved.

【0038】また、プラズマ生成室11とエッチング室
(処理室)16にそれぞれ電気的に分離されたプラズマ
生成のためのRF電極24,25が設けられ、独立にR
F電源26a,26bを接続していることである。これによ
り、プラズマ生成室11及びエッチング室16のガス圧
に適合したRF電力を印加することができるし、プラズ
マポテンシャルを独立に調整することができる。
Further, the plasma generating chamber 11 and the etching chamber (processing chamber) 16 are provided with RF electrodes 24 and 25 for plasma generation, which are electrically separated from each other, and are independent of each other.
That is, the F power sources 26a and 26b are connected. This makes it possible to apply RF power that matches the gas pressures of the plasma generation chamber 11 and the etching chamber 16, and adjust the plasma potential independently.

【0039】なお、図5において図1と同じ符号で示す
ものは図1と同じものを示す。上記の実施例では、本発
明をエッチング装置に適用し、エッチングガスとしてC
4 を用いているが、他のエッチングガスを用いてもよ
い。また、成膜装置に適用することも可能である。
In FIG. 5, the same symbols as those in FIG. 1 indicate the same components as those in FIG. In the above embodiment, the present invention is applied to the etching apparatus, and C is used as the etching gas.
Although F 4 is used, other etching gas may be used. Further, it can be applied to a film forming apparatus.

【0040】[0040]

【発明の効果】以上のように、本発明のプラズマ処理装
置においては、プラズマ生成室と処理室はそれぞれに反
応ガスをプラズマ化するRF電力を印加する第1及び第
2のRF電極を有し、複数の孔を有する接地電極板で仕
切られており、かつ、上記プラズマ処理装置は接地電極
板に対向し、プラズマポテンシャルを付与するRF電極
板を上流側に有する。
As described above, in the plasma processing apparatus of the present invention, the plasma generating chamber and the processing chamber each have the first and second RF electrodes for applying the RF power for converting the reactive gas into plasma. It is partitioned by a ground electrode plate having a plurality of holes, and the plasma processing apparatus has an RF electrode plate facing the ground electrode plate and providing a plasma potential on the upstream side.

【0041】従って、本発明のプラズマ処理方法のよう
に、プラズマを生成し、RF電極板にRF電力を印加す
ると、上流のプラズマポテンシャルが高くなり、主とし
て複数の孔の近傍の電界によりプラズマ中のイオンは対
向する接地電極板に向かって加速され、複数の孔を介し
て処理室に引き出される。これにより、載置台にRF電
極を接続しなくても、加速されたイオン、或いはイオン
の衝突により生成された高速中性粒子を載置台に導いて
エッチングや成膜を行うことができる。
Therefore, when the plasma is generated and the RF power is applied to the RF electrode plate as in the plasma processing method of the present invention, the plasma potential in the upstream is increased and the electric field in the plasma is mainly caused by the electric field in the vicinity of the plurality of holes. The ions are accelerated toward the opposing ground electrode plate and are extracted into the processing chamber through the plurality of holes. Thereby, even if the RF electrode is not connected to the mounting table, accelerated ions or high-speed neutral particles generated by collision of ions can be guided to the mounting table to perform etching or film formation.

【0042】また、イオン電流密度が不均一となる場合
には、イオン電流密度の分布に応じて接地電極板の孔の
密度に分布を持たせることにより、基板に到達するイオ
ン電流密度の分布を均一にすることができる。更に、処
理室に引き出されたイオンにより処理室のプラズマポテ
ンシャルは上昇しようとするが、処理室内で生成されて
いるプラズマ中の電子によりイオンが中和されてプラズ
マポテンシャルの上昇が抑制されるため、載置台を効率
良く、かつ均一に中和することができる。これにより、
基板のチャージアップを抑制することができる。
When the ion current density is non-uniform, the distribution of the ion current density reaching the substrate can be improved by giving the density of the holes of the ground electrode plate in accordance with the distribution of the ion current density. Can be uniform. Further, although the plasma potential of the processing chamber tends to increase due to the ions extracted into the processing chamber, the ions in the plasma generated in the processing chamber are neutralized to suppress the increase of the plasma potential. The mounting table can be neutralized efficiently and uniformly. This allows
The charge up of the substrate can be suppressed.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の実施例に係るプラズマエッチング装置
について示す側面図及び装置内の電位分布である。
FIG. 1 is a side view showing a plasma etching apparatus according to an embodiment of the present invention and a potential distribution in the apparatus.

【図2】本発明の実施例に係る均一な分布を有する接地
電極板の貫通孔の平面図及びプラズマエッチング装置内
の電流密度分布について示す特性図である。
FIG. 2 is a plan view of a through hole of a ground electrode plate having a uniform distribution and a characteristic diagram showing a current density distribution in a plasma etching apparatus according to an embodiment of the present invention.

【図3】本発明の実施例に係る接地電極板の貫通孔近傍
のプラズマポテンシャル分布を示す模式図及び貫通孔径
に対する電界強度の関係を示す特性図である。
3A and 3B are a schematic diagram showing a plasma potential distribution in the vicinity of a through hole of a ground electrode plate according to an embodiment of the present invention and a characteristic diagram showing a relationship between an electric field strength and a diameter of the through hole.

【図4】本発明の実施例に係るプラズマエッチング装置
のプラズマポテンシャルに対するイオン電流密度の関係
を示す特性図である。
FIG. 4 is a characteristic diagram showing the relationship between the ion current density and the plasma potential of the plasma etching apparatus according to the embodiment of the present invention.

【図5】本発明の実施例に係るプラズマエッチング装置
について示す側面図である。
FIG. 5 is a side view showing a plasma etching apparatus according to an embodiment of the present invention.

【図6】本発明の他の実施例に係る不均一な密度分布を
有する接地電極板の貫通孔の平面図及びプラズマエッチ
ング装置内の電流密度分布について示す特性図である。
FIG. 6 is a plan view of a through hole of a ground electrode plate having a non-uniform density distribution according to another embodiment of the present invention and a characteristic diagram showing a current density distribution in a plasma etching apparatus.

【図7】従来例に係るプラズマエッチング装置について
示す側面図である。
FIG. 7 is a side view showing a plasma etching apparatus according to a conventional example.

【図8】他の従来例に係るプラズマエッチング装置につ
いて示す側面図である。
FIG. 8 is a side view showing a plasma etching apparatus according to another conventional example.

【符号の説明】[Explanation of symbols]

11 プラズマ生成室、 12,17 側壁、 13,13a RF電極板、 14,14a 接地電極板、 15,15a 貫通孔(孔)、 16 エッチング室(処理室)、 18 導電壁、 19,19a ガス導入口、 20 排気口、 21 基板載置台(載置台)、 22 ウエハ(基板)、 23 コンデンサ、 24 第1のRF電極、 25 第2のRF電極、 26 第1のRF電源、 26a,26b RF電源、 27,27a,27b 結合回路、 28 第2のRF電源。 11 plasma generation chamber, 12, 17 side wall, 13,13a RF electrode plate, 14,14a ground electrode plate, 15,15a through hole (hole), 16 etching chamber (processing chamber), 18 conductive wall, 19,19a gas introduction Port, 20 exhaust port, 21 substrate mounting table (mounting table), 22 wafer (substrate), 23 capacitor, 24 first RF electrode, 25 second RF electrode, 26 first RF power supply, 26a, 26b RF power supply , 27, 27a, 27b Coupling circuit, 28 Second RF power supply.

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 プラズマ生成室と、 前記プラズマ生成室の下流に設けられた処理室と、 前記プラズマ生成室内に処理ガスを導入するガス導入口
と、 前記プラズマ生成室の外壁に巻回された、前記プラズマ
生成室内の処理ガスをプラズマ化するコイル状の第1の
RF電極と、 前記処理室の外壁に巻回された、前記処理室内の処理ガ
スをプラズマ化するコイル状の第2のRF電極と、 前記処理室内に設けられた基板載置台と、 前記プラズマ生成室と前記処理室間を仕切り、複数の孔
が設けられた接地電極板と、 前記接地電極板に対向して上流に設けられ、前記プラズ
マ生成室内のプラズマに電位を付与するRF電極板とを
有することを特徴とするプラズマ処理装置。
1. A plasma generation chamber, a processing chamber provided downstream of the plasma generation chamber, a gas inlet for introducing a processing gas into the plasma generation chamber, and an outer wall of the plasma generation chamber. A coil-shaped first RF electrode for converting the processing gas in the plasma generation chamber into plasma, and a coil-shaped second RF electrode wound around the outer wall of the processing chamber for converting the processing gas in the processing chamber into plasma. An electrode, a substrate mounting table provided in the processing chamber, a ground electrode plate that partitions the plasma generation chamber and the processing chamber and is provided with a plurality of holes, and is provided upstream facing the ground electrode plate. And an RF electrode plate for applying an electric potential to the plasma in the plasma generation chamber.
【請求項2】 前記載置台の周辺に接地された導電体が
設けられていることを特徴とする請求項1記載のプラズ
マ処理装置。
2. The plasma processing apparatus according to claim 1, further comprising a grounded conductor provided around the mounting table.
【請求項3】 前記載置台はコンデンサを介して接地さ
れていることを特徴とする請求項1又は請求項2記載の
プラズマ処理装置。
3. The plasma processing apparatus according to claim 1, wherein the mounting table is grounded via a capacitor.
【請求項4】 前記孔の大きさは直径0.5mm以下で
あることを特徴とする請求項1乃至請求項3のいずれか
に記載のプラズマ処理装置。
4. The plasma processing apparatus according to claim 1, wherein the size of the hole is 0.5 mm or less in diameter.
【請求項5】 前記ガス導入口は前記RF電極板に設け
られていることを特徴とする請求項1乃至請求項4のい
ずれかに記載のプラズマ処理装置。
5. The plasma processing apparatus according to claim 1, wherein the gas introduction port is provided in the RF electrode plate.
【請求項6】 前記接地電極板の孔の密度は前記プラズ
マ生成室から前記処理室に流入するイオン電流密度に対
応した分布を有することを特徴とする請求項1乃至請求
項5のいずれかに記載のプラズマ処理装置。
6. The density of the holes of the ground electrode plate has a distribution corresponding to an ion current density flowing from the plasma generation chamber into the processing chamber. The plasma processing apparatus described.
【請求項7】 前記接地電極板の孔は、前記処理室内の
圧力が数mmTorr以下となるようにコンダクタンスが調
整されていることを特徴とする請求項1乃至請求項6の
いずれかに記載のプラズマ処理装置。
7. The hole according to claim 1, wherein the conductance of the hole of the ground electrode plate is adjusted so that the pressure in the processing chamber becomes several mmTorr or less. Plasma processing equipment.
【請求項8】 前記接地電極板及び前記載置台のうち少
なくともいずれかは上下移動手段を有することを特徴と
する請求項1乃至請求項7のいずれかに記載のプラズマ
処理装置。
8. The plasma processing apparatus according to claim 1, wherein at least one of the ground electrode plate and the mounting table has a vertical movement unit.
【請求項9】 複数の貫通孔を有する接地電極板により
第1の領域と第2の領域とに仕切り、 前記第1の領域に被処理基板を置き、 前記第1の領域と前記第2の領域に導入された処理ガス
を活性化して前記第1の領域及び前記第2の領域にプラ
ズマを生成し、 前記第2の領域で生成した前記プラズマにプラズマポテ
ンシャルを付与し、 前記接地電極板の貫通孔を介して前記第2の領域の前記
プラズマ中のイオンを前記第1の領域に導くことを特徴
とするプラズマ処理方法。
9. A ground electrode plate having a plurality of through-holes is divided into a first region and a second region, a substrate to be processed is placed in the first region, and the first region and the second region are placed. The processing gas introduced into the region is activated to generate plasma in the first region and the second region, and a plasma potential is applied to the plasma generated in the second region, A plasma processing method, wherein ions in the plasma in the second region are guided to the first region via a through hole.
【請求項10】 前記第1の領域に導かれたイオンをそ
のまま前記被処理基板に照射することを特徴とする請求
項9記載のプラズマ処理方法。
10. The plasma processing method according to claim 9, wherein the ions introduced to the first region are directly irradiated onto the substrate to be processed.
【請求項11】 前記第1の領域に導かれたイオンを電
荷交換衝突により中性化し、該中性粒子を前記被処理基
板に照射することを特徴とする請求項9記載のプラズマ
処理方法。
11. The plasma processing method according to claim 9, wherein the ions introduced into the first region are neutralized by charge exchange collision, and the neutral particles are irradiated to the substrate to be processed.
JP23891894A 1994-10-03 1994-10-03 Plasma processing device and plasma processing method Withdrawn JPH08107101A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP23891894A JPH08107101A (en) 1994-10-03 1994-10-03 Plasma processing device and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23891894A JPH08107101A (en) 1994-10-03 1994-10-03 Plasma processing device and plasma processing method

Publications (1)

Publication Number Publication Date
JPH08107101A true JPH08107101A (en) 1996-04-23

Family

ID=17037216

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23891894A Withdrawn JPH08107101A (en) 1994-10-03 1994-10-03 Plasma processing device and plasma processing method

Country Status (1)

Country Link
JP (1) JPH08107101A (en)

Cited By (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030046189A (en) * 2001-12-05 2003-06-12 변홍식 plasma generator
JP2003533878A (en) * 2000-05-19 2003-11-11 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Plasma etching equipment
US6670766B2 (en) 2000-06-06 2003-12-30 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method
JP2008047915A (en) * 2006-08-14 2008-02-28 Oxford Instruments Plasma Technology Ltd Surface processing apparatus
KR20100120602A (en) * 2009-05-06 2010-11-16 위순임 Compound plasma reactor
WO2012029561A1 (en) * 2010-08-31 2012-03-08 株式会社新川 Plasma generating apparatus
JP2012142445A (en) * 2010-12-28 2012-07-26 Sharp Corp Plasma cvd apparatus
KR101224143B1 (en) * 2009-09-15 2013-01-21 에스피피 테크놀로지스 컴퍼니 리미티드 Plasma etching apparatus
JP2014204127A (en) * 2013-04-05 2014-10-27 ラム リサーチ コーポレーションLam Research Corporation Internal plasma grid for semiconductor fabrication
JP2015529972A (en) * 2012-08-02 2015-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Semiconductor processing using DC assisted RF power for improved control
WO2016190036A1 (en) * 2015-05-22 2016-12-01 株式会社 日立ハイテクノロジーズ Plasma processing device and plasma processing method using same
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
CN112309820A (en) * 2019-07-30 2021-02-02 株式会社国际电气 Substrate processing apparatus, method of manufacturing semiconductor device, and storage medium
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11355319B2 (en) 2017-12-19 2022-06-07 Hitachi High-Tech Corporation Plasma processing apparatus
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Cited By (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003533878A (en) * 2000-05-19 2003-11-11 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング Plasma etching equipment
US6670766B2 (en) 2000-06-06 2003-12-30 Matsushita Electric Works, Ltd. Plasma treatment apparatus and plasma treatment method
KR20030046189A (en) * 2001-12-05 2003-06-12 변홍식 plasma generator
JP2008047915A (en) * 2006-08-14 2008-02-28 Oxford Instruments Plasma Technology Ltd Surface processing apparatus
KR20100120602A (en) * 2009-05-06 2010-11-16 위순임 Compound plasma reactor
KR101224143B1 (en) * 2009-09-15 2013-01-21 에스피피 테크놀로지스 컴퍼니 리미티드 Plasma etching apparatus
WO2012029561A1 (en) * 2010-08-31 2012-03-08 株式会社新川 Plasma generating apparatus
JP2012054333A (en) * 2010-08-31 2012-03-15 Shinkawa Ltd Surface cleaning device
JP2012142445A (en) * 2010-12-28 2012-07-26 Sharp Corp Plasma cvd apparatus
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2015529972A (en) * 2012-08-02 2015-10-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Semiconductor processing using DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP2014204127A (en) * 2013-04-05 2014-10-27 ラム リサーチ コーポレーションLam Research Corporation Internal plasma grid for semiconductor fabrication
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10134605B2 (en) 2013-07-11 2018-11-20 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20170101952A (en) * 2015-05-22 2017-09-06 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing apparatus and plasma processing method using the same
KR20200024955A (en) * 2015-05-22 2020-03-09 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing device and plasma processing method using same
WO2016190036A1 (en) * 2015-05-22 2016-12-01 株式会社 日立ハイテクノロジーズ Plasma processing device and plasma processing method using same
KR20190102301A (en) * 2015-05-22 2019-09-03 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing device and plasma processing method using same
JP2018093226A (en) * 2015-05-22 2018-06-14 株式会社日立ハイテクノロジーズ Plasma processing device and plasma processing method using the same
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11355319B2 (en) 2017-12-19 2022-06-07 Hitachi High-Tech Corporation Plasma processing apparatus
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112309820A (en) * 2019-07-30 2021-02-02 株式会社国际电气 Substrate processing apparatus, method of manufacturing semiconductor device, and storage medium
US11776792B2 (en) 2020-04-03 2023-10-03 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method

Similar Documents

Publication Publication Date Title
JPH08107101A (en) Plasma processing device and plasma processing method
US7491649B2 (en) Plasma processing apparatus
KR100223394B1 (en) Plasma treating device
US6433297B1 (en) Plasma processing method and plasma processing apparatus
JP3912993B2 (en) Neutral particle beam processing equipment
JP4896164B2 (en) Plasma processing equipment
TW202027563A (en) Plasma processing device and plasma processing method using same
JP3561080B2 (en) Plasma processing apparatus and plasma processing method
KR100333220B1 (en) Magnetically reinforced medium-capacity plasma generators and related methods
JP2001181848A (en) Plasma treatment equipment
JPS6136589B2 (en)
JPH1074600A (en) Plasma processing equipment
JPH11288798A (en) Plasma production device
JP2001160551A (en) Method for etching polysilicon having a smooth surface
KR20030067299A (en) Device for prducing inductively coupled plasma and method
JPH06232079A (en) Plasma processing device
JP3368806B2 (en) Plasma processing method and apparatus
JPH08195379A (en) Plasma processing method and device
JP3363040B2 (en) Fast atom beam source
JP4576011B2 (en) Plasma processing equipment
JP3164188B2 (en) Plasma processing equipment
JP4384295B2 (en) Plasma processing equipment
JP2003243376A (en) Plasma treatment apparatus
JP4160823B2 (en) Radical assisted dry etching equipment
JPH0729894A (en) Porous gas-introducing mechanism

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20020115