JPH0766265A - Manufacturing equipment - Google Patents

Manufacturing equipment

Info

Publication number
JPH0766265A
JPH0766265A JP5213743A JP21374393A JPH0766265A JP H0766265 A JPH0766265 A JP H0766265A JP 5213743 A JP5213743 A JP 5213743A JP 21374393 A JP21374393 A JP 21374393A JP H0766265 A JPH0766265 A JP H0766265A
Authority
JP
Japan
Prior art keywords
processing
wafer
department
processing section
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP5213743A
Other languages
Japanese (ja)
Inventor
Natsuki Yokoyama
夏樹 横山
Yoshifumi Kawamoto
佳史 川本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP5213743A priority Critical patent/JPH0766265A/en
Publication of JPH0766265A publication Critical patent/JPH0766265A/en
Pending legal-status Critical Current

Links

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Multi-Process Working Machines And Systems (AREA)
  • General Factory Administration (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PURPOSE:To enable works to be lessened in number of processes and fraction defective by a method wherein works are selectively transferred as required and loaded onto each processing section from a work sheet transfer mechanism or unloaded from each processing section and transferred to a work sheet transfer mechanism. CONSTITUTION:Processing sections 101 wherein a lithography process is carried out are each equipped with a mechanism which loads wafers located at the prescribed positions in linear transfer paths 103 and 103b onto the processing sections 101 or unloads wafers from the processing sections 101. A ring-shaped transfer 102 is connected to various processing sections 104 to 107, 109 to 112, and 114 to 119. Each processing section is equipped with a mechanism which loads a wafer located at a position prescribed for each section in the ring-shaped transfer path 102 onto the processing section or unloads a wafer from the processing section. By this setup, a work can be lessened in number of processes and fraction defective.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は被処理物にそれぞれ異な
る多くの処理を施して製品群を製造するために用いる製
造装置、特に、半導体工業における半導体ウエハの処理
装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a manufacturing apparatus used for manufacturing a product group by subjecting an object to be processed to many different processes, and more particularly to a semiconductor wafer processing apparatus in the semiconductor industry.

【0002】[0002]

【従来の技術】半導体装置,IC(集積回路),LSI
(大規模集積回路)等の組立に用いる回路素子は一般に
少なくとも一つの半導体小片(ペレット)で形成されて
いる。このペレットは半導体ウエハに縦横に整列配置形
成された回路素子領域をその境界で切断することによっ
て得られるのが一般的である。
2. Description of the Related Art Semiconductor devices, ICs (integrated circuits), LSIs
A circuit element used for assembling (large-scale integrated circuit) or the like is generally formed of at least one semiconductor piece (pellet). This pellet is generally obtained by cutting a circuit element region, which is vertically and horizontally aligned and arranged on a semiconductor wafer, at its boundary.

【0003】半導体ウエハに回路素子領域を作るには極
めて多くの処理を必要とする。半導体ウエハに各種処理
を施すのに用いる処理部門は多種にわたり、しかも同一
の半導体ウエハに対して二度以上施される処理もある。
また、半導体ウエハに施される各種処理の回数,順序は
製造される製品の種類によって少なくとも一部が異なる
のが一般的である。
A great deal of processing is required to form a circuit element region on a semiconductor wafer. There are various processing departments used to perform various types of processing on semiconductor wafers, and in some cases, the same semiconductor wafer is processed more than once.
In addition, the number and sequence of various types of processing performed on a semiconductor wafer are generally at least partially different depending on the type of product to be manufactured.

【0004】このような処理を多数の半導体ウエハに施
すのに効率のよい形態として従来採用されていたのは、
半導体ウエハに共通的な処理を施す処理部門群をまとめ
て配置するジョブ・ショップ型(Job-Shop-Type)の製造
装置である。この配置を採用して各処理部門群で一度に
多数多品種の半導体ウエハを処理する。しかし、常に高
い清浄度に保つ必要がある半導体ウエハを処理する処理
部門を配した清浄空間中を多数の作業員が動き回るた
め、被服や床に付着した塵埃や汚染物質が清浄空間中を
飛散して、半導体ウエハに付着,吸着して、良品率が低
下する問題があった。
[0004] The conventional method which has been used as an efficient method for performing such processing on a large number of semiconductor wafers is as follows.
It is a job-shop-type manufacturing apparatus that collectively arranges processing departments that perform common processing on semiconductor wafers. By adopting this arrangement, a large number of various types of semiconductor wafers are processed at once by each processing department group. However, since many workers move around in a clean space with a processing department that processes semiconductor wafers that must be kept at a high level of cleanliness, dust and contaminants adhering to clothes and the floor scatter in the clean space. Therefore, there is a problem in that the non-defective rate is lowered due to adhesion and adsorption to the semiconductor wafer.

【0005】半導体ウエハ処理の自動化はこのような問
題を解決するために有効である。自動化を進めて、特開
昭64−6540号公報に記載されているように、半導体ウエ
ハ処理を無人化装置内で行うことにより半導体ウエハへ
の塵埃や汚染物質の付着,吸着を防止し、半導体ウエハ
に施す一連の処理を有機的に制御して多数多品種の半導
体ウエハの製品管理を行うことで、製品の工完短縮,良
品率向上,作業人員低減を図ることも既に一部で行われ
ている。しかしここまで自動化を進めても工完短縮の効
果や良品率向上の効果は十分な水準に達していなかっ
た。その最も大きな原因は、従来の技術では一般に複数
の処理部門間の搬送はロットと呼ばれる複数の半導体ウ
エハを単位としてバッチ搬送されるためである。
Automation of semiconductor wafer processing is effective in solving such problems. As automation progresses, as described in JP-A-64-6540, semiconductor wafer processing is performed in an unmanned apparatus to prevent dust and contaminants from adhering to and adhering to the semiconductor wafer. By organically controlling a series of processes to be performed on wafers and managing the products of many types of semiconductor wafers, it has already been done in part to shorten the product completion, improve the yield rate, and reduce the number of workers. ing. However, the effects of shortening the work completion and improving the yield rate have not reached a sufficient level even if the automation is advanced to this point. The main reason for this is that, in the conventional technology, generally, the transportation between a plurality of processing departments is carried out in batches with a plurality of semiconductor wafers called a lot as a unit.

【0006】半導体ウエハは生産性を向上させるため次
第に大口径化されつつある。このような半導体ウエハに
対してより高精度な処理を施す必要から各処理部門は従
来のバッチ処理から枚葉処理に移行しつつあり、処理部
門内の搬送も枚葉搬送として複数の処理室を一体化した
クラスタツールが一般化しつつある。
The diameter of semiconductor wafers is gradually increasing in order to improve productivity. Since it is necessary to perform more precise processing on such semiconductor wafers, each processing department is shifting from the conventional batch processing to single wafer processing, and the transportation within the processing department is performed as a single wafer transportation in a plurality of processing chambers. Integrated cluster tools are becoming popular.

【0007】しかし、たとえ処理部門がクラスタツール
等の枚葉処理部門であってもバッチ単位で処理される限
り一つの処理に1ロットの半導体ウエハ枚数分の処理時
間を要し、次に続く処理までの待ち時間が長くなる。こ
のため、いかにコンピュータ化による製品管理,工程管
理を高度化しても原理的に工完の短縮には限界があっ
た。さらに、ある特定の継続する二つの処理の間の待ち
時間はロット毎に大きく異なり、したがって半導体ウエ
ハ毎にも大きく異なっていて、しかもその時間が長いこ
とも問題であった。これが高精度な制御を必要とする半
導体ウエハの処理に影響を与えたり、半導体ウエハへの
塵埃や汚染物質の付着,吸着をもたらして良品率低下の
原因になるからである。
However, even if the processing department is a single-wafer processing department such as a cluster tool, as long as the processing is carried out in batch units, one processing requires a processing time corresponding to the number of semiconductor wafers in one lot, and the subsequent processing. Waiting time is longer. For this reason, there is a limit in principle in shortening the completion of work, no matter how sophisticated computerized product management and process management. Furthermore, the waiting time between two specific continuous treatments differs greatly from lot to lot, and therefore from semiconductor wafer to semiconductor wafer, which is also a problem. This is because it affects the processing of semiconductor wafers that require highly accurate control, and causes dust and contaminants to adhere to and be adsorbed on the semiconductor wafers, resulting in a decrease in the yield rate.

【0008】バッチ搬送に伴うこの問題を解決するため
に処理部門間の搬送を枚葉搬送とする試みも一部ではな
されている。枚葉搬送を基本とする従来の製造装置につ
いては、例えば、特開平4−130618号,特開平4−199709
号公報に詳述されている。これらの装置の第一の問題点
は種々の製造工程に対応できない点である。枚葉搬送路
で結合されたがために製造方法に自由度がなくなると、
製造方法が異なる多品種を同一装置で製造することが非
常に困難となる。第二の問題点は、枚葉搬送路が複雑な
ことである。搬送路が複雑化すると、製造装置が高価と
なるのに加えて、故障の頻度が大きくなり、製造装置の
生産性が著しく低下する。
[0008] In order to solve this problem associated with batch transportation, some attempts have been made to use single-wafer transportation between processing departments. Regarding the conventional manufacturing apparatus based on single-wafer conveyance, for example, JP-A-4-130618 and JP-A-4-199709 are available.
It is described in detail in the publication. The first problem with these devices is that they cannot cope with various manufacturing processes. When there is no freedom in the manufacturing method because it was connected by the single-wafer conveying path,
It becomes very difficult to manufacture multiple products with different manufacturing methods using the same device. The second problem is that the single-wafer conveying path is complicated. When the transport path becomes complicated, the manufacturing apparatus becomes expensive, and the frequency of failures increases, resulting in a significant decrease in the productivity of the manufacturing apparatus.

【0009】[0009]

【発明が解決しようとする課題】本発明の目的は、上記
従来の問題を解決し、工完を短縮し良品率を向上するこ
とが可能な製造装置を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to solve the above-mentioned problems of the prior art and to provide a manufacturing apparatus capable of shortening the work completion and improving the yield rate.

【0010】[0010]

【課題を解決するための手段】上記目的を達成するため
に、本発明の製造装置では、半導体ウエハに継続的に処
理を施す複数の処理部門間が半導体ウエハを一つずつ搬
送可能な枚葉搬送機構で結ばれて少なくとも一つの処理
部門群を構成していて、個々の処理部門が枚葉搬送機構
から必要に応じて選択的に非処理物を受け取って処理部
門にロードしたり、アンロードして枚葉搬送機構に受け
渡す機構を有する。さらに望ましくは、処理部門群外か
ら被処理物を処理部門群にロードしたり、処理部門群か
ら処理部門群外にアンロードする処理を行う処理部門が
処理部門群に少なくとも一つ具備されていることであ
る。
In order to achieve the above object, in the manufacturing apparatus of the present invention, a single wafer can be transferred one by one between a plurality of processing departments that continuously process semiconductor wafers. At least one processing department group is connected by a transfer mechanism, and each processing department selectively receives non-processed objects from the single-wafer transfer mechanism as needed and loads or unloads them into the processing department. Then, it has a mechanism for delivering to the single-wafer conveying mechanism. More preferably, at least one processing department is provided in the processing department group for loading an object to be processed into the processing department group from outside the processing department group or unloading the processed object from the processing department group to outside the processing department group. That is.

【0011】[0011]

【作用】個々の処理部門が枚葉搬送機構から必要に応じ
て選択的に被処理物を受け取って処理部門にロードした
り、処理部門からアンロードして枚葉搬送機構に受け渡
す機構を有することは、枚葉搬送路の単純化に有効であ
る。処理部門群外から被処理物を処理部門群にロードし
たり、処理部門群から処理部門群外にアンロードする処
理を行う処理部門が処理部門群に少なく一つ具備されて
いることも搬送機構の単純化につながる。すなわち、処
理部門群に被処理物をロードしたり、処理部門群からア
ンロードする機能はそのための処理部門が有し、処理部
門に被処理物をロードしたり、処理部門からアンロード
する機能は処理部門が有するようにすれば、枚葉搬送機
構は搬送のみの機能とできるからである。また、枚葉搬
送路上の物理的にロード可能な位置にある特定の被処理
物を受け取るか否かは処理部門のロード,アンロード機
能を働かせるか否かで選択可能であるから、一つの製造
装置で様々な製造方法によって多品種を製造可能とな
る。
[Function] Each processing section has a mechanism for selectively receiving an object to be processed from the single-wafer transport mechanism and loading it into the processing section, or unloading it from the processing section and delivering it to the single-wafer transport mechanism. This is effective in simplifying the single-wafer conveying path. The transport mechanism is also equipped with at least one processing department for loading an object to be processed into the processing department from outside the processing department or unloading from the processing department to outside the processing department. Leads to simplification of. That is, the processing department for that purpose has the function of loading and unloading the object to be processed from the processing department group, and the function of loading and unloading the object to be processed from the processing department is possessed by the processing department. This is because if the processing department has it, the single-wafer carrying mechanism can have a function of carrying only. Further, whether or not to receive a specific processed object at a physically loadable position on the single-wafer conveying path can be selected depending on whether or not the load / unload function of the processing department is activated, so that one manufacturing It is possible to manufacture a wide variety of products by various manufacturing methods with the device.

【0012】半導体ウエハに継続的に処理を施す複数の
処理部門間が半導体ウエハを一つずつ搬送可能な枚葉搬
送機構で結ばれて少なくとも一つの処理部門群を構成し
ていることは、従来バッチ単位で処理されていて一つの
処理に1ロットの半導体ウエハの枚数分の処理時間を要
したのとは異なり、一枚の半導体ウエハの処理が終了し
たら次の処理部門に順次枚葉搬送して次に続く処理を施
すことを可能とする。これによりある特定の継続する二
つの処理の間の待ち時間が半導体ウエハ間で概ね統一さ
れ、しかもその時間は短くなるので、工完を短縮し良品
率を向上させることが可能となる。
It has been conventionally known that a plurality of processing departments for continuously processing semiconductor wafers are connected by a single-wafer transfer mechanism capable of transferring semiconductor wafers one by one to form at least one processing department group. Unlike processing in batches, which required processing time for one lot of semiconductor wafers in one processing, when processing of one semiconductor wafer is completed, the wafers are sequentially transferred to the next processing department. It is possible to perform subsequent processing. As a result, the waiting time between two specific and consecutive processes is generally unified between the semiconductor wafers, and the time is shortened. Therefore, it is possible to shorten the process completion and improve the yield rate.

【0013】最も望ましいのは半導体ウエハに継続的に
処理を施す全ての処理部門が枚葉搬送機構で結ばれてい
て一つの処理部門群となっている製造装置であるが、複
数の処理部門群からなる製造装置でも工完短縮と良品率
向上の効果はある。
Most desirable is a manufacturing apparatus in which all processing departments that continuously process semiconductor wafers are connected by a single-wafer transfer mechanism to form one processing department group. Even the manufacturing equipment consisting of 2) has the effect of shortening the work completion and improving the yield rate.

【0014】また、本発明の製造装置では、処理部門間
の搬送が自動化され、半導体ウエハは窒素中もしくは真
空中等の局所清浄空間を搬送されるので、半導体ウエハ
への塵埃の付着や汚染物質の吸着を防止するために、従
来のような大きくて超高清浄度の清浄空間を必要としな
い作用もある。
Further, in the manufacturing apparatus of the present invention, the transportation between the processing departments is automated and the semiconductor wafer is transported in a local clean space such as nitrogen or vacuum, so that the adhesion of dust to the semiconductor wafer and the contamination In order to prevent the adsorption, there is also an action which does not require a large and ultra-high cleanliness space as in the past.

【0015】[0015]

【実施例】(実施例1)図1を用いて説明する。本実施
例は本発明をシリコンの論理LSIを製造するための製
造装置に適用した実施例である。製造する論理LSIは
二層金属配線を有する相補型MOS LSIである。
EXAMPLE 1 Example 1 will be described with reference to FIG. This embodiment is an embodiment in which the present invention is applied to a manufacturing apparatus for manufacturing a silicon logic LSI. The logic LSI to be manufactured is a complementary MOS LSI having a two-layer metal wiring.

【0016】図1は本実施例の製造装置を示す斜視図で
ある。レジスト塗布処理,レジストベーク処理,水銀の
i線ランプ光源による露光処理,レジスト現像処理等を
含む一連のリソグラフィー工程に関する処理を行う複数
の処理部門101の周囲に、ウエハを枚葉搬送する機構
を具備するリング状搬送路102が備えられている。リ
ソグラフィー工程に関する処理を行う複数の処理部門1
01は微細パターン用とラフパターン用の二系統の一連
の処理を別々の半導体ウエハに並行して施せるようにな
っていて、継続する二つの処理を施す処理部門の間はウ
エハを大気圧以上の圧力の清浄な窒素中を枚葉搬送する
機構で結ばれている。リング状搬送路102の内部の一
部には大気圧以上の圧力の清浄な窒素が満たされてい
て、他の一部は真空である。リソグラフィー工程に関す
る処理を行う複数の処理部門101とリング状搬送路10
2との間は直線状搬送路103a,103bによって結
合されている。直線状搬送路103a,103bはとも
にウエハを窒素中枚葉搬送する機構を備えている。リソ
グラフィー工程に関する処理を行う複数の処理部門10
1は、直線搬送路103a,103bの定められた位置
にあるウエハを処理部門101にロードしたり、逆に処
理部門101から直線搬送路103a,103bの定められ
た位置にウエハをアンロードする機構を有する。
FIG. 1 is a perspective view showing a manufacturing apparatus of this embodiment. A mechanism for single-wafer transfer of wafers around a plurality of processing departments 101 for performing a series of processes related to a lithographic process including a resist coating process, a resist bake process, an exposure process of a mercury i-line lamp light source, a resist developing process, and the like. A ring-shaped transport path 102 is provided. Multiple processing departments 1 that perform processing related to lithography processes
01 is capable of performing a series of two processes, one for fine patterns and one for rough patterns, on separate semiconductor wafers in parallel, and the wafer is kept at atmospheric pressure or higher between the processing departments that perform two successive processes. It is tied with a mechanism that conveys single-wafer in clean nitrogen. Part of the inside of the ring-shaped transport path 102 is filled with clean nitrogen at a pressure of atmospheric pressure or higher, and the other part is in a vacuum. A plurality of processing departments 101 and a ring-shaped transport path 10 that perform processing related to the lithography process.
The two are connected by linear conveying paths 103a and 103b. Both of the linear transfer paths 103a and 103b have a mechanism for single-wafer transfer of wafers in nitrogen. Multiple processing departments 10 that perform processing related to the lithography process
1 is a mechanism for loading a wafer at a predetermined position on the linear transfer paths 103a and 103b into the processing department 101, and unloading a wafer from the processing department 101 to a predetermined position on the linear transfer paths 103a and 103b. Have.

【0017】リング状搬送路102には種々の処理部門
104〜107,109〜112,114〜119が結
合されている。各処理部門はリング状搬送路102のそ
れぞれの処理部門毎に定められた位置にあるウエハを各
処理部門にロードしたり、逆に各処理部門からリング状
搬送路102の定められた位置にウエハをアンロードす
る機構を有する。
Various processing departments 104 to 107, 109 to 112, 114 to 119 are connected to the ring-shaped conveying path 102. Each processing section loads a wafer at a position determined for each processing section of the ring-shaped transfer path 102 into each processing section, or conversely, from each processing section to a wafer at a specified position on the ring-shaped transfer path 102. Has a mechanism for unloading.

【0018】リング状搬送路102と直線状搬送路10
3a,103bと各処理部門の有するロード,アンロー
ド機構によりウエハは任意の2処理部門間を移動可能で
ある。従って、全ての処理部門における種々の条件での
処理のうちから選択された処理を必要に応じて任意の順
番に組み合わせて製造することができるので、種々の製
造方法による多品種の製造が可能である。
The ring-shaped conveying path 102 and the linear conveying path 10
Wafers can be moved between any two processing departments by the loading and unloading mechanisms of 3a and 103b and each processing department. Therefore, since it is possible to combine the processes selected from the processes under various conditions in all processing departments in an arbitrary order as needed, it is possible to manufacture a wide variety of products by various manufacturing methods. is there.

【0019】ドライエッチング処理部門104は、アル
ミニウムまたはアルミニウムを主成分とする合金,タン
グステンまたはチタンタングステン等のタングステンを
主成分とする合金,窒化チタン、もしくはチタンシリサ
イド,タングステンシリサイド等の金属シリサイド,銅
または銅を主成分とする合金等の、回路素子の電極配線
層に用いられる種々の金属または金属化合物のドライエ
ッチング処理をウエハに施すことが可能な処理部門であ
る。
The dry etching processing section 104 includes aluminum or an alloy containing aluminum as a main component, tungsten or an alloy containing tungsten as a main component such as titanium-tungsten, titanium nitride, or metal silicide such as titanium silicide or tungsten silicide, copper or the like. This is a processing department that can perform dry etching processing on various metals or metal compounds used for electrode wiring layers of circuit elements, such as alloys containing copper as a main component, on wafers.

【0020】ドライエッチング処理部門105は、シリ
コンまたは必要に応じてボロン,リン,砒素等の不純物
を導入されたシリコン,二酸化シリコンまたは必要に応
じてボロン,リン,砒素,ゲルマニウム等の不純物を導
入された二酸化シリコン,窒化シリコン等の回路素子の
電極配線層,MOSトランジスタやキャパシタの絶縁膜
層,素子分離領域または層間絶縁膜層等に用いられる種
々のシリコンまたはシリコン化合物のドライエッチング
処理をウエハに施すことが可能な処理部門である。
In the dry etching processing section 105, silicon or silicon or silicon dioxide into which impurities such as boron, phosphorus, or arsenic are introduced as required or impurities such as boron, phosphorus, arsenic, or germanium in accordance with need is introduced. Wafers are dry-etched with various silicon or silicon compounds used for electrode wiring layers of circuit elements such as silicon dioxide and silicon nitride, insulating film layers of MOS transistors and capacitors, element isolation regions or interlayer insulating film layers It is a processing department that can.

【0021】成膜処理部門106は、シリコンまたは必
要に応じてボロン,リン,砒素等の不純物を導入された
シリコン,二酸化シリコンまたは必要に応じてボロン,
リン,砒素,ゲルマニウム等の不純物を導入された二酸
化シリコン,窒化シリコン等の回路素子の電極配線層,
MOSトランジスタやキャパシタの絶縁膜層,素子分離
領域または層間絶縁膜層等に用いられる種々のシリコン
またはシリコン化合物の成膜処理をウエハに施すことが
可能な処理部門である。処理部門106でウエハに施さ
れる成膜処理はCVD法によるものでシリコンを含む原
料ガスはシラン,ジシラン,ジクロロシラン等の無機化
合物が用いられる。
The film formation processing section 106 includes silicon, silicon into which impurities such as boron, phosphorus, and arsenic have been introduced as necessary, silicon dioxide, or boron as necessary.
Electrode wiring layers of circuit elements such as silicon dioxide, silicon nitride, etc., in which impurities such as phosphorus, arsenic, germanium, etc. have been introduced,
This is a processing department capable of performing a film forming process of various kinds of silicon or silicon compounds used for an insulating film layer of a MOS transistor or a capacitor, an element isolation region or an interlayer insulating film layer. The film forming process performed on the wafer in the processing section 106 is performed by the CVD method, and the raw material gas containing silicon is an inorganic compound such as silane, disilane, or dichlorosilane.

【0022】成膜処理部門107は、二酸化シリコンま
たは必要に応じてボロン,リン,砒素,ゲルマニウム等
の不純物を導入された二酸化シリコン,窒化シリコン等
の、回路素子の層間絶縁膜層またはパッシベーションの
ための保護膜層等に用いられるシリコン化合物の成膜処
理をウエハに施すことが可能な処理部門である。処理部
門107でウエハに施される成膜処理はプラズマCVD
法によるものでシリコンを含む原料ガスはシラン,ジシ
ラン,ジクロロシラン等の無機化合物とTEOS等の有機化
合物が用いられる。四つの処理部門104ないし107
の処理室の排気は排気系108によって行われる。
The film formation processing section 107 is for an interlayer insulating film layer or passivation of a circuit element, such as silicon dioxide or silicon dioxide into which impurities such as boron, phosphorus, arsenic, and germanium are introduced as necessary, silicon nitride, or the like. Is a processing department capable of performing a film forming process of a silicon compound used for the protective film layer and the like on the wafer. Plasma CVD is used for the film forming process performed on the wafer in the processing section 107.
As the raw material gas containing silicon by the method, inorganic compounds such as silane, disilane and dichlorosilane and organic compounds such as TEOS are used. Four processing departments 104 to 107
The processing chamber is exhausted by the exhaust system 108.

【0023】成膜処理部門111は、アルミニウムまた
はアルミニウムを主成分とする合金,タングステンまた
はチタンタングステン等のタングステンを主成分とする
合金,窒化チタン,チタンシリサイド,タングステンシ
リサイド等の金属シリサイド,銅または銅を主成分とす
る合金等の回路素子の電極配線層に用いられる種々の金
属または金属化合物の成膜処理をウエハに施すことが可
能な処理部門である。処理部門111でウエハに施され
る成膜処理はスパッタ法によるものである。
The film forming processing section 111 includes aluminum or an alloy containing aluminum as a main component, tungsten or an alloy containing tungsten as a main component such as tungsten, titanium nitride, titanium silicide, metal silicide such as tungsten silicide, copper or copper. Is a processing department capable of performing film forming processing of various metals or metal compounds used for electrode wiring layers of circuit elements such as alloys containing as a main component. The film forming process performed on the wafer in the processing department 111 is a sputtering method.

【0024】成膜処理部門112は、アルミニウム,タ
ングステン,銅等の回路素子の電極配線層に用いられる
種々の金属の成膜処理をウエハに施すことが可能な処理
部門である。処理部門112でウエハに施される成膜処
理はCVD法によるものである。
The film forming processing section 112 is a processing section capable of performing film forming processing of various metals used for electrode wiring layers of circuit elements such as aluminum, tungsten and copper on a wafer. The film forming process performed on the wafer in the processing section 112 is based on the CVD method.

【0025】洗浄処理,ウェットエッチング処理をウエ
ハに施す処理部門109,酸化のための熱処理をウエハ
に施す処理部門110,成膜処理部門111,112は
制御系113によって制御されている。制御系113は
各処理部門109,110,111,112の各処理
室,ガス供給系,排気系,給電系等の状態を検知する機
構を有し、各処理の制御または各処理部門の制御に検知
結果をフィードバックする機構を具備している。
A control section 113 controls a processing section 109 for performing cleaning processing and wet etching processing on the wafer, a processing section 110 for performing heat treatment for oxidation on the wafer, and film forming processing sections 111 and 112. The control system 113 has a mechanism for detecting the states of the respective processing chambers of the respective processing departments 109, 110, 111, 112, the gas supply system, the exhaust system, the power supply system, etc., and controls the respective processes or controls the respective processing departments. It is equipped with a mechanism for feeding back the detection result.

【0026】イオン打込みによる不純物導入処理をウエ
ハに施す処理部門114,洗浄処理,ウェットエッチン
グ処理をウエハに施す処理部門115,レジスト除去処
理をウエハに施す処理部門116がそれぞれリング状搬
送路102に結合されている。処理部門116における
枚葉アッシャによるレジスト除去処理と処理部門115に
おける洗浄により一連のレジスト除去工程に関する全て
の処理が可能である。
A processing section 114 for performing impurity introduction processing on the wafer by ion implantation, a processing section 115 for performing cleaning processing and wet etching processing on the wafer, and a processing section 116 for performing resist removal processing on the wafer are respectively coupled to the ring-shaped transfer path 102. Has been done. By the resist removal processing by the single-wafer asher in the processing department 116 and the cleaning in the processing department 115, all the processes relating to the series of resist removal steps can be performed.

【0027】熱処理をウエハに施す処理部門117は窒
素,水素,酸素,アルゴン等の雰囲気中でのRTA(Rap
id Thermal Annealing)処理をウエハに施すことが可能
な処理部門である。ウエハのロード・アンロード処理部
門118は製造装置外から本製造装置へのウエハのロー
ド,本製造装置から製造装置外へのアンロードを行う処
理部門である。本実施例の製造装置のロード・アンロー
ド処理部門118は複数のウエハを一度に設置すると一
枚ずつ製造装置にロードする機能を有し、逆に製造装置
から一枚ずつアンロードして複数のウエハを一度に外部
に取り出させる機能も有する。
The processing section 117 for applying heat treatment to the wafer is RTA (Rap) in an atmosphere of nitrogen, hydrogen, oxygen, argon or the like.
id Thermal Annealing) This is a processing department that can perform wafer processing. The wafer loading / unloading processing section 118 is a processing section that loads wafers from outside the manufacturing apparatus to the main manufacturing apparatus and unloads from the main manufacturing apparatus to outside the manufacturing apparatus. The loading / unloading processing section 118 of the manufacturing apparatus according to the present embodiment has a function of loading a plurality of wafers into the manufacturing apparatus one by one when the wafer is installed at one time, and conversely, unloads one by one from the manufacturing apparatus to obtain a plurality of wafers. It also has the function of taking out the wafer to the outside at once.

【0028】成膜処理部門119は層間絶縁膜平坦化の
ための塗布膜を形成する処理をウエハに施す処理部門で
ある。処理部門119は塗布のみならず必要に応じて塗
布膜にベークを施す処理を行うことが可能な機構を具備
している。図1に示した本実施例の製造装置の処理部門
は全て枚葉処理部門である。継続する全ての枚葉処理部
門の間は枚葉搬送機構で結ばれていて、製造装置全体が
一つの処理部門群となっている。
The film forming processing section 119 is a processing section that performs a process of forming a coating film for flattening an interlayer insulating film on a wafer. The processing department 119 has a mechanism capable of performing not only the coating but also the process of baking the coating film as necessary. The processing departments of the manufacturing apparatus of this embodiment shown in FIG. 1 are all single-wafer processing departments. All the continuous single-wafer processing departments are connected by a single-wafer conveying mechanism, and the whole manufacturing apparatus is one processing department group.

【0029】次に本発明の製造装置による一連のウエハ
処理について説明する。始めにウエハはロード・アンロ
ード処理部門118から製造装置に入る。ロード・アン
ロード処理部門118に一度に25枚のウエハをまとめ
て設置すると一枚ずつ適当な間隔をおいて製造装置にロ
ードされる。この間隔は本実施例では平均24分であ
る。本実施例の製造装置のロード・アンロード処理部門
118では複数のウエハは大気圧以上の圧力の清浄な窒
素を満たされた空間に一旦収納され、一枚ずつ取り出さ
れたウエハは同じく清浄な窒素を満たされたリング状搬
送路102を介して処理部門109に搬送されウエット
洗浄を施される。さらに隣接する処理部門110で酸化
処理を施される。
Next, a series of wafer processing by the manufacturing apparatus of the present invention will be described. First, the wafer enters the manufacturing equipment from the load / unload processing department 118. When 25 wafers are collectively installed in the load / unload processing section 118 at a time, the wafers are loaded one by one into the manufacturing apparatus at appropriate intervals. This interval is 24 minutes on average in this embodiment. In the load / unload processing section 118 of the manufacturing apparatus of the present embodiment, a plurality of wafers are once stored in a space filled with clean nitrogen having a pressure of atmospheric pressure or higher, and the wafers taken out one by one are also clean nitrogen. Is transferred to the processing section 109 via the ring-shaped transfer path 102 filled with the water and is subjected to wet cleaning. Further, an oxidation process is performed in the adjacent processing department 110.

【0030】次に再びリング状搬送路102を経由して
搬送されたウエハは成膜処理部門106でCVD法によ
って窒化シリコン膜が形成される。続いてリング状搬送
路102,直線状搬送路103bを経てリソグラフィー
工程に関する処理を行う複数の処理部門101に入った
ウエハはレジスト塗布処理,レジストベーク処理,水銀
のi線ランプ光源による露光処理、レジスト現像処理等
を含む一連のリソグラフィー工程に関する処理を施され
る。この後、ウエハは直線状搬送路103a,リング状
搬送路102を経由してドライエッチング処理部門10
6に搬送され、そこでシリコン窒化膜の一部がレジスト
をマスクとするドライエッチングによって選択的に除去
される。次にウエハはリング状搬送路102を通って処
理部門114に搬送され、イオン打込み処理を施され、
その後、処理部門116でレジストが除去される。レジ
スト除去以降のウエハ処理も上記の一連の処理と同様に
順次施される。
Next, a silicon nitride film is formed on the wafer transferred again via the ring-shaped transfer path 102 by the CVD method in the film forming processing section 106. Subsequently, the wafers that have entered a plurality of processing departments 101 that perform processing relating to the lithography process through the ring-shaped transfer path 102 and the linear transfer path 103b are subjected to resist coating processing, resist baking processing, exposure processing using a mercury i-line lamp light source, and resist processing. Processing related to a series of lithography steps including development processing and the like is performed. After this, the wafer passes through the linear transfer path 103a and the ring-shaped transfer path 102, and the dry etching processing department 10
Then, a part of the silicon nitride film is selectively removed by dry etching using the resist as a mask. Next, the wafer is transferred to the processing department 114 through the ring-shaped transfer path 102 and subjected to ion implantation processing.
After that, the resist is removed in the processing department 116. The wafer processing after the resist removal is also sequentially performed in the same manner as the series of processing described above.

【0031】図1の製造装置の各処理部門群での全ての
処理を終えたウエハは再びロード・アンロード処理部門
118に搬送され大気圧以上の圧力の清浄な窒素を満た
された空間に一旦収納される。本実施例では収納された
ウエハが25枚溜った時点で製造装置からまとめて取り
だしている。
The wafers that have undergone all the processing in each processing department group of the manufacturing apparatus of FIG. 1 are again transferred to the loading / unloading processing department 118 and once placed in a space filled with clean nitrogen having a pressure higher than atmospheric pressure. It is stored. In this embodiment, when 25 stored wafers are collected, they are collectively taken out from the manufacturing apparatus.

【0032】本実施例の製造装置ではロード・アンロー
ド処理部門118は製造装置にウエハをロードする機能
と製造装置からウエハをアンロードする機能を併せて有
しているが、個別の機能を有する処理部門を製造装置に
具備させても同等の機能を果たせる。またウエハを製造
装置にロードまたはアンロードするための処理部門は各
処理部門群に少なくとも一つは必要であるが、二つ以上
備えてもよい。
In the manufacturing apparatus of this embodiment, the load / unload processing section 118 has both a function of loading a wafer into the manufacturing apparatus and a function of unloading a wafer from the manufacturing apparatus, but has individual functions. Even if the manufacturing department is equipped with a processing department, the same function can be achieved. Further, at least one processing department is required for each processing department group to load or unload a wafer into the manufacturing apparatus, but two or more processing departments may be provided.

【0033】本実施例の製造装置による二層金属配線を
有する相補型MOS LSIの製造では、25枚のウエ
ハの処理時間は10.1時間となった。従来のバッチ搬
送のラインの場合の135時間と比べて1/10以下に
工完が短縮された。
In the manufacture of the complementary MOS LSI having the double-layered metal wiring by the manufacturing apparatus of this embodiment, the processing time of 25 wafers was 10.1 hours. Completion was shortened to 1/10 or less compared to 135 hours in the case of the conventional batch transfer line.

【0034】多数のウエハを処理する場合には、本実施
例の製造装置では処理部門の共用化が図られているため
多少の処理時間低下がもたらされる。最も多く共用化さ
れるリソグラフィー処理部門では微細パターン用とラフ
パターン用それぞれ六層と八層のパターニングのための
リソグラフィー処理が一枚のウエハに対して施される。
すなわち8処理での一処理部門の共用が本実施例の装置
による本実施例の二層金属配線を有する相補型MOS
LSIの製造における最大の共有である。他の処理部門
の共用化の程度はこれよりも低く抑えているため、処理
時間の低下は大きなものではない。3分/枚で八層のパ
ターニングに対応するリソグラフィー処理を行うと24
分/枚で一日24時間での処理能力は60枚となる。こ
れが本実施例の製造設備の処理能力を規定する。より多
くの処理が必要な場合は本実施例の製造設備を複数台備
えればよい。
In the case of processing a large number of wafers, the manufacturing apparatus of this embodiment shares the processing department with each other, so that the processing time is somewhat reduced. In the lithographic processing department, which is most commonly used, a single wafer is subjected to lithographic processing for patterning six layers and eight layers for fine patterns and rough patterns, respectively.
That is, one processing department is commonly used in eight processes, and the complementary MOS having the two-layer metal wiring of this embodiment by the device of this embodiment is shared.
This is the largest share in LSI manufacturing. Since the degree of sharing of other processing departments is kept lower than this, the decrease in processing time is not large. When the lithography process corresponding to the patterning of 8 layers is performed at 3 minutes / sheet, 24
The processing capacity in 24 hours a day is 60 sheets per minute / sheet. This defines the processing capacity of the manufacturing equipment of this embodiment. If more processing is required, a plurality of manufacturing facilities of this embodiment may be provided.

【0035】この60枚/日(=1800枚/月)の処
理を行った場合、1ロット25枚の全処理の処理時間の
平均は17時間である。これは高度にコンピュータ化さ
れた最適生産管理システムによって全装置が最も効率的
に稼働するように管理されているためである。従来のバ
ッチ搬送を基本とした製造装置ではいかにコンピュータ
化しても60枚/日の処理を行うと処理時間の平均が約
400時間まで低下していた。枚葉搬送を行うことでよ
り完全に近い最適化が可能となったためである。
When the processing of 60 sheets / day (= 1800 sheets / month) is performed, the average processing time of all the processing of 25 sheets per lot is 17 hours. This is because all the equipment is managed to operate most efficiently by the highly computerized optimal production management system. In a conventional manufacturing apparatus based on batch transfer, no matter how the computer was used, the average processing time was reduced to about 400 hours when processing 60 sheets / day. This is because near-perfect optimization has become possible by carrying out single-wafer conveyance.

【0036】工完の短縮と、枚葉搬送路の具備により、
本実施例の製造装置は従来のような高清浄度の清浄空間
に収める必要がなくなり、従来の清浄空間よりも格段に
低クラスの清浄空間で製造を行っても同等以上の良品率
が得られる効果もあった。クラス10000のクリーン
ルームに設置した本実施例の製造装置を用いるにより、
最小設計寸法0.3μm の二層金属配線を有する相補型
MOS論理LSIの良品率は、従来の装置を用いてクラ
ス100のクリーンルームで製造していた場合の78%
から92%に向上した。これらの効果はいずれも本発明
によって適用が可能となった単機能化され単純化された
搬送機構が低い故障頻度を有することによってはじめて
もたらされた効果である。
By shortening the work completion and providing a single-wafer conveying path,
The manufacturing apparatus of the present embodiment does not need to be housed in a clean space with a high cleanliness level as in the conventional case, and a good product rate equal to or higher than that of the conventional clean room can be obtained even if the manufacturing apparatus is manufactured in a clean space of a much lower class. There was also an effect. By using the manufacturing apparatus of this embodiment installed in a clean room of class 10000,
The yield rate of complementary MOS logic LSIs having a double-layer metal wiring with a minimum design dimension of 0.3 μm is 78% of that in the case where they were manufactured in a class 100 clean room using conventional equipment.
From 92% to 92%. All of these effects are effects brought about by the fact that the monofunctionalized and simplified transport mechanism which can be applied by the present invention has a low failure frequency.

【0037】(実施例2)図2を用いて説明する。実施
例2は本発明をシリコンのメモリLSIを製造するため
の製造装置に適用した実施例である。本実施例の製造装
置は二層金属配線を有する相補型MOS LSIの配線
工程に係る一連の処理をウエハに施す製造装置である。
(Embodiment 2) This will be described with reference to FIG. Second Embodiment A second embodiment is an embodiment in which the present invention is applied to a manufacturing apparatus for manufacturing a silicon memory LSI. The manufacturing apparatus according to the present embodiment is a manufacturing apparatus that performs a series of processes related to a wiring process of a complementary MOS LSI having a double-layer metal wiring on a wafer.

【0038】図2は本実施例の製造装置を示すブロック
図である。処理部門201,202はレジスト塗布処
理,レジストベーク処理,水銀のi線ランプ光源による
露光処理,レジスト現像処理等を含む一連のリソグラフ
ィー工程に関する処理を行う複数の処理部門である。配
線層のドライエッチングに関する処理を行う処理部門2
03は、アルミニウムを主成分とする合金,タングステ
ン,窒化チタン等の金属または金属化合物のドライエッ
チング処理をウエハに施すことが可能なクラスタツール
で、エッチング処理室の他に防食処理をウエハに施す防
食処理室を有している。さらにこの処理部門203には
レジスト除去処理をウエハに施すことが可能なアッシャ
ー処理室も具備されている。
FIG. 2 is a block diagram showing the manufacturing apparatus of this embodiment. Processing departments 201 and 202 are a plurality of processing departments that perform a series of processes related to a lithography process including a resist coating process, a resist bake process, an exposure process of a mercury i-line lamp light source, and a resist developing process. Processing department 2 that performs processing related to dry etching of wiring layers
Reference numeral 03 denotes a cluster tool capable of performing a dry etching process on a metal or a metal compound such as an alloy containing aluminum as a main component, tungsten, or titanium nitride. The cluster tool is an anticorrosion process for performing anticorrosion treatment on the wafer in addition to the etching chamber. It has a processing room. Further, the processing section 203 also includes an asher processing chamber capable of performing resist removal processing on the wafer.

【0039】レジスト除去に関しては、実施例1の製造
装置のように独立した処理部門としても、本実施例の製
造装置のようにその少なくとも一部がドライエッチング
処理部門のような他の処理部門の一部に含まれていても
よい。これは洗浄処理,熱処理についても同様である。
これらの処理をウエハに施す処理部門の少なくとも一部
である、処理を一処理室で施すことが可能な部分は、本
実施例の製造装置に備えられたクラスタツールのような
複数処理室を有する処理部門へ付加することが容易だか
らである。
Regarding the resist removal, even as an independent processing section like the manufacturing apparatus of the first embodiment, at least a part of the processing section of another processing section like the dry etching processing section as in the manufacturing apparatus of the present embodiment. It may be included in part. This also applies to cleaning treatment and heat treatment.
At least a part of a processing department that performs these processes on a wafer, which is capable of performing the processes in one processing chamber, has a plurality of processing chambers such as a cluster tool provided in the manufacturing apparatus of this embodiment. This is because it is easy to add to the processing department.

【0040】層間絶縁膜層のドライエッチングに関する
処理を行う処理部門204は、二酸化シリコンまたは必
要に応じてボロン,リン,砒素,ゲルマニウム等の不純
物を導入された二酸化シリコン,窒化シリコンのドライ
エッチング処理をウエハに施すことが可能なクラスタツ
ールで、この処理部門にも、二つのエッチング処理室の
他にレジスト除去処理をウエハに施すことが可能なアッ
シャー処理室が具備されている。
The processing section 204, which carries out a process relating to dry etching of the interlayer insulating film layer, carries out a dry etching process of silicon dioxide or silicon dioxide into which impurities such as boron, phosphorus, arsenic and germanium have been introduced, if necessary. This is a cluster tool that can be applied to a wafer. In addition to the two etching processing chambers, this processing department also has an asher processing chamber that can perform resist removal processing on the wafer.

【0041】成膜処理部門205は、二酸化シリコンま
たは必要に応じてボロン,リン,砒素,ゲルマニウム等
の不純物を導入された二酸化シリコン,窒化シリコンの
成膜処理をウエハに施すことが可能なクラスタツールで
ある。CVD法による成膜を行う処理室の他、SOG(S
pin On Glass)の塗布,ベークを行う複数の処理室も備
えている。CVD成膜では、二酸化シリコンは主として
TEOSを原料とするプラズマCVD法で形成し、窒化
シリコンはモノシランとアンモニアを原料とするプラズ
マCVD法で形成する。さらにこの処理部門205には
必要に応じて熱処理をウエハに施すことが可能な熱処理
室も具備されている。
The film forming processing section 205 is a cluster tool capable of performing a film forming process of silicon dioxide or silicon dioxide into which impurities such as boron, phosphorus, arsenic, and germanium are introduced as required, and silicon nitride. Is. In addition to the processing chamber for film formation by the CVD method, SOG (S
It also has multiple processing chambers for applying and baking pin on glass. In CVD film formation, silicon dioxide is formed mainly by a plasma CVD method using TEOS as a raw material, and silicon nitride is formed by a plasma CVD method using monosilane and ammonia as raw materials. Further, the processing section 205 is also provided with a heat treatment chamber capable of performing heat treatment on the wafer as required.

【0042】成膜処理部門206はアルミニウムを主成
分とする合金,タングステン,窒化チタン等の金属また
は金属化合物の成膜処理をウエハに施すことが可能なク
ラスタツールである。成膜処理部門206でウエハに施
される成膜処理はスパッタ法によるものとCVD法によ
るものがある。スパッタ法によるタングステン,窒化チ
タン等の成膜を行う処理室では成膜に先立って必要に応
じてウエハにアルゴン等のプラズマを用いたソフトエッ
チングによる洗浄処理を施すこともできる。スパッタ法
によるアルミニウムを主成分とする合金の成膜,CVD
法によるタングステンの成膜,熱処理はそれぞれ別の処
理室で行う。二つの洗浄処理室を有する洗浄処理の処理
部門が207である。
The film forming processing section 206 is a cluster tool capable of performing film forming processing of a metal or metal compound such as an alloy containing aluminum as a main component, tungsten, or titanium nitride. The film forming processing performed on the wafer in the film forming processing section 206 includes a sputtering method and a CVD method. In the processing chamber for forming a film of tungsten, titanium nitride or the like by the sputtering method, the wafer may be subjected to a cleaning process by soft etching using plasma such as argon prior to the film formation if necessary. Deposition of alloys containing aluminum as the main component by sputtering, CVD
Deposition of tungsten by the method and heat treatment are performed in separate processing chambers. 207 is a processing section of the cleaning process having two cleaning process chambers.

【0043】本実施例の製造装置では処理部門間の搬送
は全て枚葉搬送である。枚葉搬送路208はループ状の
搬送路であり、この枚葉搬送路208と各処理部門の間
は共通化されたロードロック室を含むインターフェース
211,212で結ばれている。インターフェース21
1は枚葉搬送路から処理部門にウエハをロードする機構
を備え、インターフェース212は逆に処理部門から枚
葉搬送路にウエハをアンロードする機構を備え、一連の
リソグラフィー工程に関する処理を行う複数の処理部門
201,202と枚葉搬送路208との間はウエハを二
つの処理部門201,202に割り振る特別なインター
フェース209が設けられている。
In the manufacturing apparatus of this embodiment, all the transportation between the processing departments is single-wafer transportation. The single-wafer transport path 208 is a loop-shaped transport path, and the single-wafer transport path 208 and each processing department are connected by interfaces 211 and 212 including a common load lock chamber. Interface 21
1 includes a mechanism for loading a wafer from the single-wafer transfer path to the processing section, and the interface 212 includes a mechanism for unloading a wafer from the single-side transfer path to the single-wafer transfer path, and performs a plurality of processes related to a lithography process. A special interface 209 is provided between the processing departments 201 and 202 and the single-wafer transfer path 208 to allocate the wafers to the two processing departments 201 and 202.

【0044】ロード・アンロード処理部門210は図2
に示した一つの処理部門群からなる製造装置の備えるス
トッカ機能を併せて有するロード・アンロード処理部門
であり、配線工程前までの処理を終えたウエハが常に一
定量以上蓄えられていて、いつでも配線工程に着工可能
な状態で清浄な窒素を満たした空間内に収納されてい
る。
The load / unload processing section 210 is shown in FIG.
It is a load / unload processing department that also has the stocker function of the manufacturing equipment consisting of one processing department group shown in Fig. 2, and the wafers that have been processed before the wiring process are always stored in a certain amount or more. It is housed in a space filled with clean nitrogen so that the wiring process can be started.

【0045】本実施例ではロード・アンロード処理部門
210に常に50枚以上のウエハが蓄えられているよう
に配線工程前までのウエハ処理を管理している。ウエハ
はロード・アンロード処理部門210により一枚ずつ製
造装置にロードされ、図2の装置による配線工程におけ
る処理を全て終えたウエハは再びこのロード・アンロー
ド処理部門210に搬送され清浄な窒素を満たした空間
内に収納される。ロード・アンロード処理部門210は
処理を終えたウエハを25枚ずつ外部に取り出す機能を
有していて、配線工程後の半導体製造工程の処理のため
にウエハが必要と成る度にこのロード・アンロード処理
部門210からウエハが25枚を単位として自動的に取
り出されていく。配線工程が完了したウエハをも収納す
る機能を有することにより、ロード・アンロード処理部
門210は配線工程後の半導体製造工程の最初の処理に
とってのストッカの機能も果たすわけである。
In this embodiment, the wafer processing before the wiring process is managed so that 50 or more wafers are always stored in the load / unload processing department 210. The wafers are loaded one by one into the manufacturing equipment by the load / unload processing department 210, and the wafers which have undergone all the processes in the wiring process by the apparatus of FIG. 2 are transported to the load / unload processing department 210 again and cleaned with clean nitrogen. It is stored in a filled space. The load / unload processing section 210 has a function of taking out 25 processed wafers to the outside, and the load / unload processing section 210 is used every time a wafer is required for the semiconductor manufacturing process after the wiring process. 25 wafers are automatically taken out from the load processing section 210 in units of 25. The load / unload processing department 210 also functions as a stocker for the first process of the semiconductor manufacturing process after the wiring process by having the function of storing the wafers after the wiring process.

【0046】本実施例の製造装置によれば、従来の製造
装置に比較して格段に工完が短縮され、ウエハによる工
完の違いも小さい。二層金属配線を有する相補型MOS
LSIの配線工程に係る一連の処理をウエハ150枚/
日で行った場合、全てのウエハの配線工程の工完は4時
間から4時間30分の間であった。また。これに対して
従来の製造装置で同じ処理を同じウエハ150枚/日で
行った場合は33時間から43時間であった。継続する
二つの工程間の待ち時間は搬送に要する時間を含めて最
大2時間程度である。
According to the manufacturing apparatus of this embodiment, the completion time is markedly shortened as compared with the conventional manufacturing apparatus, and the difference in completion time between wafers is small. Complementary MOS having two-layer metal wiring
A series of processes related to the wiring process of LSI 150 wafers /
When done in days, the completion of all wafer wiring steps was between 4 hours and 4 hours 30 minutes. Also. On the other hand, when the same processing was performed by the conventional manufacturing apparatus with the same 150 wafers / day, it took 33 to 43 hours. The waiting time between two continuous processes is about 2 hours at maximum including the time required for transportation.

【0047】継続する二つの工程間の待ち時間が5分以
内と短く、しかも概ね全てのウエハで統一されたことに
よる効果,全ての継続する処理の間が清浄な窒素を満た
した枚葉搬送路で結ばれたことによる効果,配線工程前
までの処理を終えたウエハ,配線工程の処理を終えたウ
エハが共にロード・アンロード処理部門210内の清浄
な窒素を満たした空間内に収納されることによる効果等
により、従来の製造装置によるよりも良品率が向上し
た。最少設計寸法0.25μm で二層金属配線を有する
相補型MOS メモリLSIを製造した場合、本実施例
の製造装置によると、同クラス清浄度を有するクリーン
ルームに設置した従来の製造装置で67%であった配線
工程の良品率が87%まで向上した。
The waiting time between two continuous processes is as short as 5 minutes or less, and the effect is that it is standardized for all wafers. The single-wafer transfer path filled with clean nitrogen between all continuous processes. The effect of being connected by the process, the wafer that has been processed before the wiring process, and the wafer that has been processed in the wiring process are both housed in the space filled with clean nitrogen in the load / unload processing department 210. Due to the effects and the like, the non-defective product rate is improved as compared with the conventional manufacturing apparatus. When a complementary MOS memory LSI having a two-layer metal wiring with a minimum design dimension of 0.25 μm is manufactured, according to the manufacturing apparatus of the present embodiment, 67% is achieved with a conventional manufacturing apparatus installed in a clean room having the same class cleanliness. The non-defective rate in the wiring process was improved to 87%.

【0048】[0048]

【発明の効果】本発明によれば、被処理物に施す一連の
処理の工完を短縮することが可能で、しかも被処理物の
良品率を向上させることが可能な製造装置を提供するこ
とができる。
According to the present invention, it is possible to provide a manufacturing apparatus capable of shortening the completion of a series of processes to be applied to an object to be processed and improving the non-defective rate of the object to be processed. You can

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例の斜視図。FIG. 1 is a perspective view of an embodiment of the present invention.

【図2】本発明の一実施例のブロック図。FIG. 2 is a block diagram of an embodiment of the present invention.

【符号の説明】 101…リソグラフィー工程に関する処理を行う複数の
処理部門、102…リング状搬送路、103a,103
b…直線状搬送路、104,105…ドライエッチング
処理部門、106,107…成膜処理部門、108…排
気系、109…洗浄、ウェットエッチング処理部門、1
10…酸化熱処理部門、111,112…成膜処理部
門、113…制御系、114…イオン打込み処理部門、
115…ウェットエッチング処理部門、116…レジス
ト除去処理部門、117…熱処理部門、118…ロード
・アンロード処理部門、119…塗布膜形成処理部門。
[Description of Reference Signs] 101 ... Plural processing departments that perform processing relating to the lithography process, 102 ... Ring-shaped transport paths, 103a, 103
b ... Linear transport path, 104, 105 ... Dry etching processing department, 106, 107 ... Film forming processing department, 108 ... Exhaust system, 109 ... Cleaning, wet etching processing department, 1
10 ... Oxidation heat treatment section, 111, 112 ... Film formation processing section, 113 ... Control system, 114 ... Ion implantation processing section,
115 ... Wet etching processing department, 116 ... Resist removal processing department, 117 ... Heat treatment department, 118 ... Load / unload processing department, 119 ... Coating film formation processing department.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】複数の異なった処理を被処理物に施すこと
ができる複数の処理部門を有する製造装置において、前
記被処理物に継続して処理を施す複数の処理部門間が被
処理物を一つずつ搬送可能な枚葉搬送機構で結ばれて少
なくとも一つの処理部門群を構成していて、個々の処理
部門が枚葉搬送機構から必要に応じて選択的に被処理物
を受け取って処理部門にロードしたり、アンロードして
枚葉搬送機構に受け渡す機構を有することを特徴とする
製造装置。
1. A manufacturing apparatus having a plurality of processing departments capable of performing a plurality of different treatments on an object to be processed, wherein the plurality of processing departments which continuously perform the treatment on the object to be treated At least one processing department group is connected by a single-wafer transfer mechanism that can transfer one by one, and each processing department selectively receives and processes an object to be processed from the single-wafer transfer mechanism as needed. A manufacturing apparatus having a mechanism for loading or unloading to a department and delivering it to a single-wafer conveying mechanism.
【請求項2】請求項1において、前記被処理物が半導体
ウエハであって、複数の処理部門が、洗浄処理,CVD
膜形成またはスパッタ膜形成または塗布膜形成等の成膜
処理,拡散または酸化または窒化またはレジストベーク
等の熱処理,光線または電子線またはX線による露光処
理,レジスト塗布処理,レジスト現像処理,レジスト除
去処理,ドライエッチングまたはウェットエッチング等
のエッチング処理,イオン打込み等による不純物導入処
理,測長検査または異物検査等の検査処理等のLSI製
造において半導体ウエハに施されるいずれかの処理を被
処理物にそれぞれ施す処理部門である製造装置。
2. The processing object according to claim 1, wherein the object to be processed is a semiconductor wafer, and the plurality of processing departments include cleaning processing and CVD.
Film forming process such as film forming, sputter film forming or coating film forming, heat treatment such as diffusion, oxidation or nitriding or resist baking, exposure treatment with light beam or electron beam or X-ray, resist coating treatment, resist developing treatment, resist removing treatment , Etching such as dry etching or wet etching, impurity introduction processing by ion implantation, inspection processing such as length measurement inspection or foreign matter inspection, etc., which is performed on a semiconductor wafer in LSI manufacturing, respectively. Manufacturing equipment, which is the processing department to perform.
【請求項3】請求項2において、前記処理部門群外から
前記被処理物を処理部門群にロードしたり、前記処理部
門群から処理部門群外にアンロードする処理を行う処理
部門が処理部門群に少なくとも一つ具備されている製造
装置。
3. The processing department according to claim 2, wherein the processing department that performs processing of loading the object to be processed into the processing department group from outside the processing department group or unloading from the processing department group to outside the processing department group. At least one manufacturing device included in the group.
【請求項4】請求項3において、前記処理部門群外から
前記被処理物を処理部門群にロードしたり、前記処理部
門群から前記処理部門群外にアンロードする処理を行う
処理部門が、複数の半導体ウエハを収納する機能を合わ
せて有する製造装置。
4. The processing section according to claim 3, which performs processing of loading the object to be processed into the processing section group from outside the processing section group or unloading from the processing section group to outside the processing section group. A manufacturing apparatus having a function of accommodating a plurality of semiconductor wafers.
JP5213743A 1993-08-30 1993-08-30 Manufacturing equipment Pending JPH0766265A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5213743A JPH0766265A (en) 1993-08-30 1993-08-30 Manufacturing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5213743A JPH0766265A (en) 1993-08-30 1993-08-30 Manufacturing equipment

Publications (1)

Publication Number Publication Date
JPH0766265A true JPH0766265A (en) 1995-03-10

Family

ID=16644284

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5213743A Pending JPH0766265A (en) 1993-08-30 1993-08-30 Manufacturing equipment

Country Status (1)

Country Link
JP (1) JPH0766265A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000332080A (en) * 1999-05-21 2000-11-30 Matsushita Electronics Industry Corp Manufacturing method and apparatus for processed product
US6328768B1 (en) 1996-10-28 2001-12-11 Nec Corporation Semiconductor device manufacturing line
JP2007041599A (en) * 2005-07-29 2007-02-15 Applied Materials Inc Cluster tool and method for process integration in manufacturing photomask
US7972755B2 (en) 2006-11-14 2011-07-05 Tokyo Electron Limited Substrate processing method and substrate processing system
KR101252481B1 (en) * 2011-08-18 2013-04-09 주식회사 케이씨텍 In-line apparatus for developing having a cleaning device and method of fabricating liquid crystal display device using thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6328768B1 (en) 1996-10-28 2001-12-11 Nec Corporation Semiconductor device manufacturing line
JP2000332080A (en) * 1999-05-21 2000-11-30 Matsushita Electronics Industry Corp Manufacturing method and apparatus for processed product
JP2007041599A (en) * 2005-07-29 2007-02-15 Applied Materials Inc Cluster tool and method for process integration in manufacturing photomask
US7972755B2 (en) 2006-11-14 2011-07-05 Tokyo Electron Limited Substrate processing method and substrate processing system
KR101252481B1 (en) * 2011-08-18 2013-04-09 주식회사 케이씨텍 In-line apparatus for developing having a cleaning device and method of fabricating liquid crystal display device using thereof

Similar Documents

Publication Publication Date Title
US7310563B2 (en) Fabrication system and fabrication method
US20080171435A1 (en) Vacuum Processing Apparatus, Method for Manufacturing Semiconductor Device, and System For Manufacturing Semiconductor Device
JPS646540B2 (en)
JP2003188229A (en) System and method for manufacturing wafer
JPH03161929A (en) Continuous treating etching method and device
JP3196719B2 (en) Semiconductor manufacturing line having contamination prevention isolation line, wafer transfer mechanism, and semiconductor manufacturing method
JP3340181B2 (en) Semiconductor manufacturing method and system
JPH0799224A (en) Multiple-chamber type semiconductor manufacturing apparatus
JP2853677B2 (en) Semiconductor device manufacturing line
JPH0766265A (en) Manufacturing equipment
JP4477982B2 (en) Cluster tool processing system and dwell time monitoring program
US6190424B1 (en) Process for fabricating two different types of wafers in a semiconductor wafer production line
US6802935B2 (en) Semiconductor chamber process apparatus and method
JP3866143B2 (en) Manufacturing method of semiconductor device
JP2000068356A (en) Method and equipment for manufacturing semiconductor devices
JP5075835B2 (en) Semiconductor manufacturing system
US20040237270A1 (en) Manufacturing apparatus, and manufacturing method
JPH06132186A (en) Semiconductor manufacture device
JP7347658B2 (en) Substrate processing equipment and substrate processing method
JP2000332080A (en) Manufacturing method and apparatus for processed product
JP2934245B2 (en) Production management method
JP2000091398A (en) Substrate processing equipment
JP3314982B2 (en) Fully automatic semiconductor and liquid crystal panel manufacturing equipment
JP2934296B2 (en) Semiconductor substrate continuous processing system
JP2834970B2 (en) Substrate storage device