JPH07281915A - Information processor for integrated circuit module synchrinizing duplex system - Google Patents

Information processor for integrated circuit module synchrinizing duplex system

Info

Publication number
JPH07281915A
JPH07281915A JP6070923A JP7092394A JPH07281915A JP H07281915 A JPH07281915 A JP H07281915A JP 6070923 A JP6070923 A JP 6070923A JP 7092394 A JP7092394 A JP 7092394A JP H07281915 A JPH07281915 A JP H07281915A
Authority
JP
Japan
Prior art keywords
integrated circuit
circuit module
state
comparison
dual system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6070923A
Other languages
Japanese (ja)
Inventor
Nobuhito Miyauchi
信仁 宮内
Yasuto Omiya
康人 近江谷
Takeshi Sanbe
健 三部
Takao Sakuma
孝夫 佐久間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP6070923A priority Critical patent/JPH07281915A/en
Publication of JPH07281915A publication Critical patent/JPH07281915A/en
Pending legal-status Critical Current

Links

Landscapes

  • Hardware Redundancy (AREA)

Abstract

PURPOSE:To provide an IC(integrated circuit) module synchronizing duplex system which contains a failure processing function necessary enough for a normal data processing function. CONSTITUTION:When each duplex IC is checked (compared/monitored state) by a module 1 by a mode command 105, a comparison processing means 3 compares the data and addresses received form each duplex CPU 2 with the control signals 90, 91 and 92 via the path switching means 6, 7 and 8 respectively. Each duplex error detection processing means 4 issues the commands 101, 102 and 103 based on a non-coincidence detecting signal 100 to stop the operation, to record the log information and the reset a normal state. In accordance with the reset command 103 a restarting means 4 issues a restart command 104 which initializes the CPU 2. A state storage means 9 previously sets each duplex IC module 1 in a main mode (normal processing state) or a checker mode and issues the mode command 105. Thus an external interruption control function can be distribated and an application mode can be selected in the same type of completed products. As a result, a highly flexible and reliable system is obtained together with improvement of the developing efficiency.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は通常のデータ処理機能
に必要十分な障害処理機能を内蔵し同期二重系を構成す
る集積回路モジュール同期2重系情報処理装置に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an integrated circuit module synchronous dual system information processing apparatus which incorporates a failure processing function necessary and sufficient for a normal data processing function to form a synchronous dual system.

【0002】[0002]

【従来の技術】たとえば特開昭59−45558号公報
に示す従来例の集積回路モジュール同期2重系情報処理
装置は図16のように、集積回路モジュール1aと1b
は、単一の半導体回路チップから成り、互いに同じ外部
入出力端子同士を接続し同期2重系を構成する。論理回
路22aと22bは、並列複数nビットの同一入力信号
94aと94bに対し、出力信号95aと95bを導電
的に接続しないように構成する双方向信号96線上で、
出力信号95aと95bの論理和(ECL論理のとき)
または論理積(TTL論理のとき)を施す。比較器33
aおよび33bは、論理回路22aと22bおよび22
bと22aからの出力信号95aと95bおよび95b
と95aをそれぞれ比較し不一致なら、不一致検出信号
114aおよび114bを生成する。論理和ゲート44
aおよび44bは、比較器33aと33bおよび33b
と33aからの不一致検出信号114aと114bおよ
び114bと114aにそれぞれ論理和を施し、出力信
号95aと95bが不一致のとき動作停止指令115a
と115bを論理回路22aと22bに出力する。
2. Description of the Related Art A conventional integrated circuit module synchronous dual system information processing apparatus disclosed in, for example, Japanese Patent Application Laid-Open No. 59-45558, has integrated circuit modules 1a and 1b as shown in FIG.
Is composed of a single semiconductor circuit chip, and the same external input / output terminals are connected to each other to form a synchronous double system. The logic circuits 22a and 22b are configured so that the output signals 95a and 95b are not conductively connected to the same input signals 94a and 94b of a plurality of parallel n bits, on a bidirectional signal 96 line.
Logical sum of output signals 95a and 95b (in ECL logic)
Alternatively, a logical product (when using TTL logic) is applied. Comparator 33
a and 33b are logic circuits 22a and 22b and 22.
Output signals 95a, 95b and 95b from b and 22a
And 95a are compared with each other, and if they do not match, the mismatch detection signals 114a and 114b are generated. OR gate 44
a and 44b are comparators 33a and 33b and 33b.
And 33a from the disagreement detection signals 114a and 114b and 114b and 114a respectively, and when the output signals 95a and 95b do not coincide, the operation stop command 115a
And 115b are output to the logic circuits 22a and 22b.

【0003】上記従来例の集積回路モジュール同期2重
系情報処理装置は、2重系論理の比較対象信号群を双方
向端子群を介し集積回路モジュール外で結線論理を施
し、相互補間的に誤検出をし同期2重系を構成する方式
(誤検出機能内蔵同期2重系方式)を採る。
In the conventional integrated circuit module synchronous dual system information processing apparatus of the above-mentioned conventional example, the comparison target signal group of the dual system logic is wired outside the integrated circuit module through the bidirectional terminal group and erroneous due to mutual interpolation. A method of detecting and configuring a synchronous double system (a synchronous double system with a false detection function) is adopted.

【0004】上記のような従来の集積回路モジュール同
期2重系情報処理装置では、集積回路モジュールの内蔵
誤検出機能で例外/異常処理の契機を与えるだけの同期
2重系方式を採るから、システムを安定し連続運転をす
るためには外部から一連の高度な制御を必要とする問題
点があった。
In the conventional integrated circuit module synchronous dual system information processing apparatus as described above, the synchronous dual system system is adopted because it gives a trigger for exception / abnormal processing by the built-in false detection function of the integrated circuit module. There is a problem that a series of sophisticated control is required from the outside in order to stabilize and continuously operate.

【0005】[0005]

【発明が解決しようとする課題】この発明が解決しよう
とする課題は、集積回路モジュール同期2重系情報処理
装置で集積回路モジュール内蔵論理回路規模の費用対効
果比を考慮し効率的に論理回路資源を分散し、通常のデ
ータ処理機能に必要十分な障害処理機能を集積回路モジ
ュールに内蔵し同期2重系を構成する方式(障害処理機
能内蔵同期2重系方式)を提供することにある。
SUMMARY OF THE INVENTION The problem to be solved by the present invention is to efficiently realize a logic circuit in an integrated circuit module synchronous dual system information processing apparatus in consideration of the cost-effectiveness ratio of the integrated circuit module built-in logic circuit scale. It is to provide a method (a synchronous double system method with a built-in failure processing function) in which resources are distributed and a failure processing function necessary and sufficient for a normal data processing function is built in an integrated circuit module to form a synchronous dual system.

【0006】[0006]

【課題を解決するための手段】この発明の集積回路モジ
ュール同期2重系情報処理装置は、単一の半導体回路チ
ップから成る集積回路モジュールの互いに同じ外部入出
力端子同士を接続し同期2重系を構成するもので、上記
課題を解決するためつぎの手段を設け、障害処理機能内
蔵同期2重系方式を採ることを特徴とする。
An integrated circuit module synchronous dual system information processing apparatus of the present invention is a synchronous dual system in which the same external input / output terminals of an integrated circuit module composed of a single semiconductor circuit chip are connected to each other. In order to solve the above problems, the following means are provided, and a synchronous double system system with a built-in fault handling function is adopted.

【0007】CPUは、集積回路モジュールで通常の計
算機基本機能を実行し汎用方式で構成する。または誤検
出処理後の初期化処理(チェックリセット)時まで外部
の動作を混乱しないようにする待機時、ワイアードロジ
ックで動作を停止する代わりにファームウェア(マイク
ロプログラム)やソフトウェア(機械語プログラム)で
非動作(NOP)命令の無限ループ処理を継続するか、
もしくは別途設けるバスインタフェース部で外部アクセ
スに関係する動作だけ待機するように外部バスへのアク
セス要求を抑止する。またはチェックリセット時、内部
資源(レジスタやキャッシュメモリ)の内容を初期デー
タや有効エントリがない状態に設定し再起動するか、も
しくは再起動処理手段内に別途設ける再ロード処理手段
から正常な当該ログ情報だけを内部資源に再ロードし再
起動する。
The CPU executes a general computer basic function by an integrated circuit module and is constructed in a general-purpose system. Alternatively, instead of stopping the operation by wired logic, the firmware (microprogram) or software (machine language program) does not wait until the initialization process (check reset) after erroneous detection process does not confuse external operations. Continue infinite loop processing of operation (NOP) instruction,
Alternatively, a separately provided bus interface unit suppresses an access request to the external bus so that only an operation related to external access waits. Or, at the time of check reset, set the contents of internal resources (registers and cache memory) to a state where there is no initial data or valid entries, and restart, or restart the normal log from the reload processing means separately provided in the restart processing means. Reload only information into internal resource and restart.

【0008】比較処理手段は、集積回路モジュールで状
態記憶手段からのモード指令に従い比較監視状態(チェ
ッカモード)時だけ、2重系各CPUからの各データと
アドレスと制御信号をそれぞれ比較し不一致なら検出す
る。または比較対象の入力信号(データとアドレスと制
御各信号)に対し各対応ビットごとの排他的論理和各出
力信号に施す論理和出力信号と別途設ける比較制御手段
からの各対応ビット一括の比較制御信号との論理積出力
信号を不一致検出信号として生成する。または比較対象
の入力信号に対し各対応ビットごとの排他的論理和各出
力信号と別途設ける比較制御手段からの各対応ビット個
別の比較制御信号との論理積各出力信号に施す論理和出
力信号を不一致検出信号として生成する。
The comparison processing means compares each data, address, and control signal from each CPU of the dual system only when the integrated circuit module is in the comparison monitoring state (checker mode) in accordance with the mode command from the state storage means. To detect. Or, the exclusive OR of each corresponding bit with respect to the input signal (data, address and control signal) to be compared and the OR output signal to be applied to each output signal and the comparison control of each corresponding bit collectively from the comparison control means provided separately An AND output signal with the signal is generated as a mismatch detection signal. Or the logical product of the exclusive OR output signal for each corresponding bit and the comparison control signal individually provided for each corresponding bit from the comparison control means separately provided to the input signal to be compared It is generated as a mismatch detection signal.

【0009】誤検出処理手段は、集積回路モジュールで
2重系各比較処理手段のいずれかからの不一致検出信号
または異常割込み制御手段からの異常割込み信号に従
い、集積回路モジュール内部の通常処理状態(メインモ
ード)動作を停止し、各内部ログ情報を記録し、ログア
ウト処理完了後に正常状態に復帰するように指令をす
る。
In the integrated circuit module, the erroneous detection processing means operates in accordance with the mismatch detection signal from any one of the dual-system comparison processing means or the abnormal interrupt signal from the abnormal interrupt control means, so that the normal processing state (main Mode) Stop the operation, record each internal log information, and give an instruction to return to the normal state after the logout process is completed.

【0010】再起動処理手段は、集積回路モジュールで
誤検出処理手段からの復帰指令に従い、CPUを再起動
するように指令をする。または別途設ける再ロード処理
手段で復帰指令のタイミングで故障が検出されていない
集積回路モジュールの当該ログ情報をCPU内部資源に
再ロードする。
The restart processing means instructs the integrated circuit module to restart the CPU in accordance with the return instruction from the erroneous detection processing means. Alternatively, the log information of the integrated circuit module in which the failure is not detected is reloaded to the CPU internal resource at the timing of the return command by the reload processing means provided separately.

【0011】データ、アドレスおよび制御各経路切替手
段は、集積回路モジュールで状態記憶手段からのモード
指令に従いチェッカモード時だけ、2重系各CPUから
の各データをアドレスと制御信号をそれぞれ比較処理手
段に導くように経路の切替えをする。
The data, address and control route switching means compares the data from each CPU of the dual system with the address and control signal only in the checker mode in accordance with the mode command from the state storage means in the integrated circuit module. Switch the route so as to lead to.

【0012】状態記憶手段は、集積回路モジュールで予
めチェッカモードとメインモードのいずれかを書込んで
おきモードの指令をする。
The state storage means writes in advance either the checker mode or the main mode in the integrated circuit module and issues a command for the mode.

【0013】異常割込み制御手段は、集積回路モジュー
ルの外部で2重系各比較処理手段のいずれかからの不一
致検出信号の代わりに、外部からのハードウェア障害検
出信号とともにログアウト処理のための同期通信制御を
し異常割込み信号を生成する。または別途設けるログア
ウトタイマ手段で不一致検出時にタイマカウンタをリセ
ットし誤検出処理中動作させ、バスアクセス競合を避け
るように2重系各集積回路をモジュールごとに予め設定
するログアウト開始時刻のタイミングで各ログ情報を書
出す。または別途設ける書込みアドレス指定手段でログ
情報格納領域アドレスを順番にアドレスバスに供給す
る。
The abnormal interrupt control means is a synchronous communication for logout processing together with a hardware failure detection signal from the outside, instead of the mismatch detection signal from any of the dual system comparison processing means outside the integrated circuit module. Control and generate an abnormal interrupt signal. Alternatively, a separate logout timer means resets the timer counter when a mismatch is detected and operates during erroneous detection processing, and sets each integrated circuit of the duplex system in advance for each module so as to avoid bus access conflict. Write out the information. Alternatively, separately provided write address designating means sequentially supplies the log information storage area addresses to the address bus.

【0014】状態指定端子は、集積回路モジュールで状
態記憶手段の代わりに、チェッカモードとメインモード
のいずれかを高電位状態と低電位状態のいずれかに定義
しモードの指令をする。
The state designation terminal defines a checker mode or a main mode as either a high potential state or a low potential state in place of the state storage means in the integrated circuit module, and issues a mode command.

【0015】状態指定手段は、集積回路モジュールの外
部でチェッカモードとメインモードを動的に変換するよ
うに状態指定端子にモードの指令をする。
The state designating means issues a mode command to the state designating terminal so as to dynamically convert the checker mode and the main mode outside the integrated circuit module.

【0016】比較制御手段は、集積回路モジュールで別
途設定する切替指令に従い、比較対象の全ビット信号に
対し一括してまたは個別に比較機能設定をする単数また
は複数ビット切替情報を制御レジスタに保持し、各対応
ビット一括のまたは個別の比較制御信号を生成する。
The comparison control means holds, in a control register, single or plural bit switching information for setting comparison functions collectively or individually for all bit signals to be compared in accordance with a switching command separately set in the integrated circuit module. , Generate a comparison control signal for each corresponding bit collectively or individually.

【0017】ログ情報記録手段は、集積回路モジュール
の外部で各集積回路モジュールのログ情報ごとにチェッ
カモードとメインモードのいずれかを識別し、格納領域
を割付ける。またはワード構成外部データバスの上位と
下位のハーフワード領域にワードアドレスを一致させレ
ジスタログ情報を分けて格納する。
The log information recording means identifies either the checker mode or the main mode for each log information of each integrated circuit module outside the integrated circuit module, and allocates the storage area. Alternatively, the word log is matched with the upper and lower halfword areas of the word-structured external data bus and the register log information is stored separately.

【0018】バス調停手段は、集積回路モジュールの外
部で2重系各集積回路モジュール間でログアウト処理を
調停しいずれかだけにバス使用権を与え他を待機させて
おく。
The bus arbitration means arbitrates the logout process between the respective integrated circuit modules of the dual system outside the integrated circuit module and gives the bus use right to only one of them and puts the others on standby.

【0019】ログアウトタイマ手段は、集積回路モジュ
ールで不一致検出時にタイマカウンタをリセットし誤検
出処理中動作させ、バスアクセス競合を避けるように二
重系各集積回路モジュールごとに予め設定するログアウ
ト開始時刻のタイミングで各ログ情報を書出す。
The logout timer means resets the timer counter when an inconsistency is detected in the integrated circuit module and operates during an erroneous detection process to set a logout start time preset for each dual system integrated circuit module so as to avoid bus access conflict. Write out each log information at the timing.

【0020】アドレス監視手段は、集積回路モジュール
で異常割込み制御手段内に別途設ける書込みアドレス指
定手段からのアドレスバス上のログ情報格納領域アドレ
スを参照する。
The address monitoring means refers to the log information storage area address on the address bus from the write address designating means separately provided in the abnormal interrupt control means in the integrated circuit module.

【0021】ログ情報書出し制御手段は、集積回路モジ
ュールでバスアクセス競合を避けるように、アドレス監
視手段で当該書出しアドレス参照時、当該ログ情報をデ
ータバスに書出す。
The log information writing control means writes the log information to the data bus when the address monitoring means refers to the write address so as to avoid bus access conflict in the integrated circuit module.

【0022】接続分離手段は、集積回路モジュールの外
部で内部動作だけ継続するように外部バスとの接続を切
り離す。
The connection separating means disconnects the connection with the external bus so that only the internal operation continues outside the integrated circuit module.

【0023】[0023]

【作用】この発明の集積回路モジュール同期2重系情報
処理装置は上記手段で、まず2重系各集積回路モジュー
ル内部もしくは外部の固定的または外部の動的なモード
指令に従いチェッカモード時、各CPUからのアドレス
とデータと制御各信号をそれぞれ比較しまたは一括して
もしくは個別に制御比較し、不一致なら検出する。つぎ
にメインとチェッカ両モードで不一致検出信号または異
常割込み信号に従い誤検出処理をし、各CPUの動作停
止とログアウト処理とログアウト完了後の復帰各指令を
する。さらに復帰指令に従い各集積回路モジュールのチ
ェックリセットをする。ログアウト処理時、各集積回路
をモジュールのログ情報ごとにメインとチェッカモード
のいずれかを識別しまたは外部データバスの上位と下位
のハーフワード領域に一致するワードアドレスに領域を
割付け格納する。また各集積回路モジュール間でログア
ウト処理を調停しまたは各集積回路モジュールごとにロ
グアウト開始時刻を予め設定しまたはアドレスバス上の
当該書出しアドレス参照時当該ログ情報を書出すように
しバスアクセス競合を避ける。待機時、ストアドロジッ
ク(マイクロプログラムや機械語プログラム)で内部動
作を停止しまたは外部アクセスに関係する動作だけ外部
バスへのアクセス要求を抑止しまたは内部動作だけ継続
するように外部バスとの接続を切離す。チェックリセッ
ト時、内部資源の内容を初期データの有効エントリがな
い状態に設定しまたは正常な当該ログ情報だけを内部資
源に再ロードし再起動する。
In the integrated circuit module synchronous dual system information processing apparatus of the present invention, each CPU is first operated in the checker mode in accordance with a fixed or external dynamic mode command inside or outside each integrated circuit module of the dual system. The address, data, and control signals from are compared with each other, or collectively or individually controlled for comparison, and if they do not match, they are detected. Next, erroneous detection processing is performed in both the main and checker modes in accordance with the mismatch detection signal or the abnormal interrupt signal, and each CPU is instructed to stop operation, logout processing, and each return command after completion of logout. Further, the check reset of each integrated circuit module is performed according to the return instruction. At the time of logout processing, each integrated circuit identifies either the main mode or the checker mode for each log information of the module or allocates and stores the area to the word address corresponding to the upper and lower halfword areas of the external data bus. Also, logout processing is arbitrated between the integrated circuit modules, or a logout start time is preset for each integrated circuit module, or the log information is written when the write address on the address bus is referred to avoid bus access conflict. During standby, the stored logic (micro program or machine language program) stops the internal operation or suppresses the access request to the external bus only for the operation related to the external access, or connects with the external bus so that only the internal operation continues. Separate. When the check is reset, the contents of the internal resource are set to a state where there is no valid entry of initial data, or only the normal log information is reloaded to the internal resource and restarted.

【0024】[0024]

【実施例】この発明を示す一実施例の集積回路モジュー
ル同期2重系情報処理装置は図1のように、集積回路モ
ジュール1aと1bは、単一の半導体回路チップから成
り、互いに同じ外部入出力端子同士を接続し同期2重系
を構成する。CPU(中央処理装置)2aと2bは、通
常の計算機基本機能を実行しALU(算術論理演算部)
や作業レジスタ、制御レジスタなどのレジスタ群、キャ
ッシュメモリ、命令デコーダ、実行制御シーケンサなど
の汎用方式で構成する。比較処理手段3aおよび3b
は、状態記憶手段9aおよび9bからのモード指令10
5aおよび105bに従いチェッカモード(比較監視状
態)時だけ、CPU2aと2bおよび2bと2aからの
データ信号90aと90bおよび90bと90a、アド
レス信号91aと91bおよび91bと91aならびに
出力制御信号92aと92bおよび92bと92aをそ
れぞれ比較し不一致なら、不一致検出信号100aおよ
び100bを生成する。誤検出処理手段4aと4bは、
比較処理手段3aまたは3bと3bまたは3aからの不
一致検出信号100aまたは100bと100bまたは
100aに従い、集積回路モジュール1aと1bのメイ
ンモード(通常処理状態)またはチェッカモードの動作
を停止するように動作停止指令101aと101bをす
る。さらに集積回路モジュール1aと1b内各部のログ
情報(ハードウェア故障検知に関する情報)を記録する
ようにログアウト指令102aと102bをする。ログ
アウト処理を完了すると正常状態を復帰するように復帰
指令103aと103bをする。再起動処理手段5aと
5bは、誤検出処理手段4aと4bからの復帰指令10
3aと103bに従い、CPU2aと2bのチェックリ
セット(誤検出処理後の初期化処理)をするように再起
動指令104aと104bをする。データ、アドレスお
よび制御経路切替手段6aと6b,7aと7bおよび8
aと8bは、状態記憶手段9aと9bからのモード指令
105aと105bに従いチェッカモード時だけ、CP
U2aと2bおよび2bと2aからのデータ信号90a
と90bおよび90bと90a、アドレス信号91aと
91bおよび91bと91a、ならびに出力制御信号9
2aと92bおよび92bと92aをそれぞれ比較処理
手段3aと3bに導くように経路の切替えをする。状態
記憶手段9aと9bは、たとえば組込時に予めメインモ
ードとチェッカモードのいずれかをPROM(プログラ
ム可能読出し専用メモリ)かEPROM(消去可能PR
OM)に書込んでおき、集積回路モジュール1aと1b
のモード変更をするようにモード指令105aと105
bをする。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS As shown in FIG. 1, an integrated circuit module synchronous dual system information processing apparatus according to an embodiment of the present invention is such that integrated circuit modules 1a and 1b are composed of a single semiconductor circuit chip and have the same external input. The output terminals are connected together to form a synchronous dual system. CPUs (Central Processing Units) 2a and 2b execute normal computer basic functions and perform ALU (arithmetic logic operation unit).
And general registers such as work registers and control registers, cache memory, instruction decoder, execution control sequencer. Comparison processing means 3a and 3b
Is the mode command 10 from the state storage means 9a and 9b.
5a and 105b only in the checker mode (comparison monitoring state), data signals 90a and 90b and 90b and 90a from CPUs 2a and 2b and 2b and 2a, address signals 91a and 91b and 91b and 91a, and output control signals 92a and 92b and When 92b and 92a are compared with each other and they do not match, the mismatch detection signals 100a and 100b are generated. The false detection processing means 4a and 4b are
According to the mismatch detection signals 100a or 100b and 100b or 100a from the comparison processing means 3a or 3b and 3b or 3a, the operation is stopped so as to stop the operation of the integrated circuit modules 1a and 1b in the main mode (normal processing state) or the checker mode. It issues commands 101a and 101b. Further, logout commands 102a and 102b are issued so as to record log information (information relating to hardware failure detection) of each part in the integrated circuit modules 1a and 1b. When the logout process is completed, the restore commands 103a and 103b are issued to restore the normal state. The restart processing means 5a and 5b use the return command 10 from the erroneous detection processing means 4a and 4b.
In accordance with 3a and 103b, restart instructions 104a and 104b are issued so as to perform a check reset of the CPUs 2a and 2b (initialization processing after erroneous detection processing). Data, address and control path switching means 6a and 6b, 7a and 7b and 8
a and 8b are CP only in the checker mode according to the mode commands 105a and 105b from the state storage means 9a and 9b.
Data signals 90a from U2a and 2b and 2b and 2a
And 90b and 90b and 90a, address signals 91a and 91b and 91b and 91a, and output control signal 9
The paths are switched so as to lead 2a and 92b and 92b and 92a to the comparison processing means 3a and 3b, respectively. The state storage means 9a and 9b have a PROM (programmable read-only memory) or an EPROM (erasable PR) which is set in advance in either a main mode or a checker mode in advance, for example, when incorporated.
OM) and the integrated circuit modules 1a and 1b
Mode commands 105a and 105 to change the mode
Do b.

【0025】上記実施例の集積回路モジュール同期2重
系情報処理装置は、通常のデータ処理機能に必要十分な
障害処理機能を集積回路モジュール1aと1bに内蔵し
同期2重系を構成する方式(障害処理機能内蔵同期2重
系方式)を採る。
In the integrated circuit module synchronous dual system information processing apparatus of the above embodiment, a method of constructing a synchronous dual system by incorporating a failure processing function necessary and sufficient for a normal data processing function in the integrated circuit modules 1a and 1b ( Synchronous dual system with built-in fault handling function) is adopted.

【0026】誤検出処理手段4aと4bは、比較処理手
段3aまたは3bから不一致検出信号100aまたは1
00bを受信し、集積回路モジュール1aまたは1bの
メインモードに対してもチェッカモードと同じに互いに
通信を執りながら同期して誤検出処理をする。
The erroneous detection processing means 4a and 4b are provided by the comparison processing means 3a or 3b.
00b, the erroneous detection process is performed in synchronization with the main mode of the integrated circuit module 1a or 1b in the same manner as in the checker mode while communicating with each other.

【0027】なお上記実施例で誤検出処理手段4aと4
bは、比較処理手段3aまたは3bから不一致検出信号
100aまたは100bを直接受信するとして説明した
が、図2のように外部に別途設ける異常割込み制御手段
10で、比較処理手段3aまたは3bからの不一致検出
信号100aまたは100bの代わりに外部からのハー
ドウェア障害検出信号106とともに、ログアウト処理
のための同期通信制御をし生成する異常割込み信号10
7aと107bを受信するようにしてもよい。障害処理
機能を共通化できる。
In the above embodiment, the erroneous detection processing means 4a and 4
Although b has been described as directly receiving the mismatch detection signal 100a or 100b from the comparison processing means 3a or 3b, the abnormal interrupt control means 10 separately provided externally as shown in FIG. 2 causes the mismatch processing from the comparison processing means 3a or 3b. An abnormal interrupt signal 10 generated by performing synchronous communication control for logout processing together with an external hardware failure detection signal 106 instead of the detection signal 100a or 100b.
7a and 107b may be received. The fault handling function can be shared.

【0028】また上記実施例が状態記憶手段9aと9b
は、組込時に予め所定モードを設定するとして説明した
が、図3のように別途設ける状態指定端子で、高電位状
態と低電位状態をメインモードとチェッカモードのいず
れに定義しモード指令105aと105bとしてもよ
い。
In addition, the above-mentioned embodiment uses the state storage means 9a and 9b.
In the above description, the predetermined mode is set at the time of incorporation. However, the high potential state and the low potential state are defined as either the main mode or the checker mode by the state designation terminal separately provided as shown in FIG. It may be 105b.

【0029】また上記実施例で状態指定端子は、モード
機能の役割を固定的に逆指定するとして説明したが、図
4のように外部に別途設ける状態指定手段11で、モー
ド機能の役割を動的に、たとえば交互に周期的に変換す
るように状態指定をしてもよい。2重系の比較処理手段
3aと3bの両方を使うことになり、回路部品の利用上
対称性がよく資源を有効利用できる。また比較処理手段
3aと3bのいずれかの故障時など、集積回路モジュー
ル1aまたは1bの障害の方をメインモードに限定使用
し、正常の方をチェッカモードとして使用するようにす
れば、暫定的にシステムを継続運転できる。
In the above embodiment, the state designating terminal fixedly designates the role of the mode function in reverse. However, as shown in FIG. 4, the state designating means 11 provided outside is used to change the role of the mode function. Alternatively, for example, the state may be designated so as to be alternately and periodically converted. Since both of the double comparison processing means 3a and 3b are used, the symmetry is good in the use of the circuit parts and the resources can be effectively used. Further, if the failure of the integrated circuit module 1a or 1b is limited to the main mode and the normal one is used as the checker mode, such as when either one of the comparison processing means 3a and 3b fails, the checker mode is provisionally used. The system can be operated continuously.

【0030】また上記実施例で比較処理手段3aと3b
は、図5のように別途設ける比較制御手段12aと12
bで、CPU2aと2b(または外部)からの切替指令
108aと108bに従い、比較対象の全ビット信号に
対し一括して比較機能設定をする単数ビット切替情報を
制御レジスタに保持し、各対応ビット一括の比較制御信
号109aと109bを生成し、たとえば一度検出した
ら後続の不一致検出を抑止するようにしてもよい。誤検
出処理を順調に継続できる。上記比較処理手段3aと3
bは図6(a)のように、比較対象の入力信号たとえば
AとBに対し各対応ビットごとの排他的論理和各出力信
号に施す論理和出力信号と比較制御手段12aと12b
からの各対応ビット一括の比較制御信号109aと10
9bとの論理積出力信号を不一致検出信号100aと1
00bとして生成する。たとえば比較制御信号109a
と109bの論理が“1”なら比較機能動作をし、
“0”なら常に不一致検出信号100aと100bの論
理を“0”にし比較機能動作を抑止する。図6(b)の
回路構成でも全く同じに比較機能動作を切替える。
In the above embodiment, the comparison processing means 3a and 3b are used.
Are comparison control means 12a and 12 provided separately as shown in FIG.
In b, according to the switching commands 108a and 108b from the CPUs 2a and 2b (or external), single bit switching information for collectively setting the comparison function for all bit signals to be compared is held in the control register, and each corresponding bit batch is stored. It is also possible to generate the comparison control signals 109a and 109b, and suppress the subsequent mismatch detection once they are detected, for example. The false detection process can be continued smoothly. The comparison processing means 3a and 3
6b, as shown in FIG. 6 (a), an OR signal output signal to be applied to each output signal of exclusive OR for each corresponding bit with respect to an input signal to be compared, for example, A and B, and comparison control means 12a and 12b.
Of the corresponding control signals 109a and 10
9b is a logical product output signal and a mismatch detection signal 100a and 1
It is generated as 00b. For example, the comparison control signal 109a
And the logic of 109b is "1", the comparison function operates,
If it is "0", the logic of the mismatch detection signals 100a and 100b is always set to "0" to suppress the comparison function operation. Even in the circuit configuration of FIG. 6B, the comparison function operation is switched in exactly the same manner.

【0031】また上記実施例で比較制御手段12aと1
2bは、比較対象の全ビット信号に対し一括して比較機
能設定をする単数ビット切替情報を保持し各対応ビット
一括の比較制御信号109aと109bを生成するとし
て説明したが、図7(a)のように個別に比較機能設定
をする複数ビット切替情報を保持し各対応ビット個別の
比較制御信号109a−1〜xと109b−1〜xを生
成するようにしてもよい。各対応ビット個別に比較機能
動作を切替えられる。上記による比較処理手段3aと3
bは図7(b)のように、比較対象の入力信号たとえば
AとBに対し各対応ビットごとの排他的論理和各出力信
号と比較制御手段12aと12bからの各対応ビット個
別の比較制御信号109a−1〜xと109b−1〜x
との論理積各出力信号に施す論理和出力信号を不一致検
出信号100aと100bとして生成する。なお上記比
較制御手段12aと12bは、各対応ビット個別に比較
機能設定をする複数ビット切替情報を保持するとして説
明したが、データとアドレスと制御各信号に対し2グル
ープごとに比較機能設定をする、たとえば6ビット切替
情報を保持するようにしてもよいのはいうまでもない。
各グループごとに比較機能動作を切替えられる。
Further, in the above embodiment, the comparison control means 12a and 1
It has been described that 2b holds single bit switching information for collectively setting the comparison function for all bit signals to be compared and generates the comparison control signals 109a and 109b for each corresponding bit collectively, but FIG. As described above, the plural bits switching information for individually setting the comparison function may be held and the comparison control signals 109a-1 to 109x and 109b-1 to x individually for each corresponding bit may be generated. The comparison function operation can be switched individually for each corresponding bit. Comparison processing means 3a and 3 according to the above
As shown in FIG. 7B, b is an exclusive OR output signal for each corresponding bit with respect to an input signal to be compared, for example, A and B, and individual comparison control for each corresponding bit from the comparison control means 12a and 12b. Signals 109a-1 to x and 109b-1 to x
AND output signals which are applied to the respective output signals are generated as the mismatch detection signals 100a and 100b. The comparison control means 12a and 12b have been described as holding the multi-bit switching information for setting the comparison function individually for each corresponding bit, but the comparison function is set for every two groups for data, address and control signals. Needless to say, for example, 6-bit switching information may be held.
The comparison function operation can be switched for each group.

【0032】また上記実施例で集積回路モジュール1a
と1bは図8のように、外部に別途設けるログ情報記憶
手段13で、各集積回路モジュール1aと1bごとにメ
インモードとチェッカモードのいずれかを識別し、ログ
情報格納領域を割付けるようにしてもよい。また各集積
回路モジュール同士で個別にやりとりしないで、たとえ
ば外部の異常割込み制御手段10で、メインモードの次
にチェッカモードのログ情報を書出すなどの逐次書出し
制御をするようにしてもよい。各集積回路モジュール1
aと1bはモードの自己認識をしているから、採取時点
で当該ログ情報に識別子を付加し、異なるアドレスの連
続または離散領域を格納場所として事前に指定できる。
上記ログ情報記録手段13は、誤検出処理に伴うシステ
ムの急激な停止可能性に対処するため、誤検出処理中と
後に採取するログ情報を消失しない構成を採る記憶方式
であれば、一般的な主記憶装置や磁気ディスク装置など
でよい。
In the above embodiment, the integrated circuit module 1a
8 and 1b are separately provided log information storage means 13 as shown in FIG. 8 for identifying either the main mode or the checker mode for each integrated circuit module 1a and 1b, and allocating the log information storage area. May be. Instead of individually exchanging the integrated circuit modules with each other, for example, the external abnormal interrupt control means 10 may perform sequential writing control such as writing log information in the checker mode after the main mode. Each integrated circuit module 1
Since a and 1b self-recognize the mode, an identifier can be added to the log information at the time of collection, and continuous or discrete areas of different addresses can be designated in advance as storage locations.
The log information recording means 13 is a general storage method having a configuration in which log information collected during and after erroneous detection processing is not lost in order to deal with the possibility of sudden stoppage of the system due to erroneous detection processing. It may be a main storage device or a magnetic disk device.

【0033】また上記実施例でログ情報記録手段13は
図9のように、たとえば32ビット構成外部データバス
のビット0から15までとビット16から31までとに
メインモードとチェッカモードの各CPU2aと2b内
レジスタログ情報を同期してレジスタログ領域のワード
アドレスを一致させ、メインモード用とチェッカモード
用を上位と下位のハーフワード領域内に分けて格納する
ようにしてもよい。多重駆動を回避できる。なおログア
ウト指令102aと102bの同期を執る駆動信号とし
て、直接に不一致検出信号100aまたは100bを得
る方式と異常割込み制御手段10を介し異常割込み信号
107aと107bを得る方式がある。
Further, in the above embodiment, the log information recording means 13 is, for example, as shown in FIG. 9, each of the CPUs 2a in the main mode and the checker mode in the bits 0 to 15 and the bits 16 to 31 of the 32-bit external data bus. The register log information in 2b may be synchronized to match the word addresses in the register log area, and the main mode and checker mode may be separately stored in the upper and lower halfword areas. Multiple drive can be avoided. As a drive signal for synchronizing the logout commands 102a and 102b, there are a method of directly obtaining the mismatch detection signal 100a or 100b and a method of obtaining the abnormal interrupt signals 107a and 107b via the abnormal interrupt control means 10.

【0034】また上記実施例で集積回路モジュール1a
と1bは図10のように、書込み信号112aと112
bでログ情報記録手段13に各ログ情報を個別にアクセ
スしようとするとき、外部に別途設けるバス調停手段1
4で、各集積回路モジュール1aと1b間の調停をしい
ずれかだけにバス使用権を与え他を待機させておくよう
にしてもよい。バス調停手段14は、まず集積回路モジ
ュール1aと1bのいずれかからバス使用要求信号11
0aまたは110bを受信し、バス使用承認信号111
aまたは111bを返信する。返信のある方だけが当該
バスを占有して接続し当該ログ情報を書出す。終了後バ
ス使用要求信号110aまたは110bを取下げ、当該
バスとの接続を切断する。つぎにバス調停手段14側も
バス使用承認信号111aまたは111bを取下げ、後
続のバス使用要求信号110bまたは110aを送信中
の集積回路モジュール1bまたは1aと同じに受返信処
理を繰返す。さらにバス使用要求信号110aと110
bを同時に受信すると、予め決める優先順位で各集積回
路モジュール1aと1bのいずれかだけにバス使用承認
信号111aまたは110bを返信する。バス使用要求
信号110aと110b、バス使用承認信号111aと
111b、および書込み信号112aと112bの各タ
イミング関係を図11に示す。
In the above embodiment, the integrated circuit module 1a is also used.
And 1b are write signals 112a and 112 as shown in FIG.
When trying to access each log information individually to the log information recording means 13 in b, the bus arbitration means 1 separately provided outside
In step 4, the integrated circuit modules 1a and 1b may be arbitrated so that only one of them is given the bus use right and the other is put on standby. The bus arbitration means 14 first receives the bus use request signal 11 from either the integrated circuit module 1a or 1b.
0a or 110b is received, and the bus use approval signal 111 is received.
Reply a or 111b. Only the respondent occupies the bus and connects to write the log information. After the end, the bus use request signal 110a or 110b is withdrawn and the connection with the bus is cut off. Next, the bus arbitration means 14 side also withdraws the bus use approval signal 111a or 111b, and repeats the same reply processing as the integrated circuit module 1b or 1a which is transmitting the subsequent bus use request signal 110b or 110a. Furthermore, bus use request signals 110a and 110
When b is received at the same time, the bus use approval signal 111a or 110b is returned to only one of the integrated circuit modules 1a and 1b in a predetermined priority order. FIG. 11 shows the timing relationship between the bus use request signals 110a and 110b, the bus use approval signals 111a and 111b, and the write signals 112a and 112b.

【0035】また上記実施例で集積回路モジュール1a
と1bは外部に別途設けるバス調停手段14に従いログ
アウト処理をするとして説明したが、図12のように別
途設けるログアウトタイマ手段15aと15bで、不一
致検出時にタイマカウンタをリセットし誤検出処理中動
作させ、各集積回路モジュール1aと1bごとに予め設
定するログアウト開始時刻に論理回路で各ログ情報書出
しタイミング信号113aと113bを生成し、バスア
クセス競合を避けるようにしてもよい。各集積回路モジ
ュール1aと1bのログ情報書出し用時間帯を予め決め
ておけば、互いに邪魔しないでバスを使い分けられる。
なお上記ログアウトタイマ手段15aと15bは、各集
積回路モジュール1aと1b内に設ける代わりに、たと
えば外部の異常割込み制御手段10内に設け、各ログ情
報書出しタイミング信号113aと113bを各集積回
路モジュール1aと1bのいずれにも出力するようにし
てもよいし、予めメインモード用とチェッカモード用の
いずれかに固定し各集積回路モジュール1aと1bのい
ずれかに出力するようにしてもよい。各集積回路モジュ
ール1aと1bに各自の出力タイミングを個別に指示さ
れるから、ログアウト処理でバスアクセスが衝突するこ
とはない。
In the above embodiment, the integrated circuit module 1a
1 and 1b have been described as performing logout processing according to the bus arbitration means 14 separately provided outside, but with the logout timer means 15a and 15b separately provided as shown in FIG. 12, the timer counter is reset at the time of mismatch detection to operate during erroneous detection processing. The logic circuit may generate the log information write-out timing signals 113a and 113b at a preset logout start time for each integrated circuit module 1a and 1b to avoid the bus access conflict. If the log information writing time zone of each integrated circuit module 1a and 1b is determined in advance, the buses can be used properly without disturbing each other.
The logout timer means 15a and 15b are provided in, for example, the external abnormal interrupt control means 10 instead of being provided in the integrated circuit modules 1a and 1b, and the log information writing timing signals 113a and 113b are provided in the integrated circuit modules 1a. And 1b, or may be fixed to either the main mode or the checker mode in advance and output to either of the integrated circuit modules 1a and 1b. Since the output timings of the integrated circuit modules 1a and 1b are individually instructed, bus access does not conflict in the logout process.

【0036】また上記実施例で集積回路モジュール1a
と1bは別途設けるログアウトタイマ手段15aと15
bに従いログアウト処理をするとして説明したが、図1
3のように別途設けるアドレス監視手段16aと16b
およびログ情報書出し制御手段17aと17bで、たと
えば外部の異常割込み制御手段10内に別途設ける書込
みアドレス指定手段から順番にアドレスバスに供給する
ログ情報格納領域アドレスを参照し、当該書出しアドレ
スのとき当該ログ情報をデータバスに書出し、バスアク
セス競合を避けるようにしてもよい。各集積回路モジュ
ール1aと1bは各自の出力タイミングを個別に判断す
るから、ログアウト処理でバスアクセスが衝突すること
はない。なお上記アドレス監視手段16aと16bは、
外部の異常割込み制御手段10内に別途設けるログアウ
トタイマ手段から各ログ情報書出しタイミング信号11
3aまたは113bが入力されるとき参照しないで上記
書込みアドレス指定手段から直接アドレスバスにログ情
報格納領域アドレスを供給すれば、上記ログ情報書出し
制御手段17aと17bが当該ログ情報をデータバスに
出力するようにしてもよい。
In the above embodiment, the integrated circuit module 1a
And 1b are separately provided logout timer means 15a and 15
Although it has been described that the logout process is performed according to step b, FIG.
Address monitoring means 16a and 16b separately provided as shown in FIG.
Further, the log information writing control means 17a and 17b refer to the log information storage area address supplied to the address bus in order from the write address designating means separately provided in the external abnormal interrupt control means 10, and when the writing address is concerned, The log information may be written to the data bus to avoid bus access conflict. Since each integrated circuit module 1a and 1b individually determines its own output timing, the bus access does not collide in the logout process. The address monitoring means 16a and 16b are
Each log information writing timing signal 11 is output from the logout timer means provided separately in the external abnormal interrupt control means 10.
If the log information storage area address is directly supplied from the write address designating means to the address bus without reference when 3a or 113b is input, the log information writing control means 17a and 17b output the log information to the data bus. You may do it.

【0037】また上記実施例で集積回路モジュール1a
と1bは、チェックリセット時まで外部の動作を混乱し
ないようにする待機時、図14のようにたとえばマイク
ロプログラム制御方式のCPU2aと2bで、マイクロ
プログラム制御記憶部からのパスを固定的に非動作(N
OP)命令コードを記憶するROMからマイクロプログ
ラム命令レジスタに供給するようにし、再起動指令10
4aと104bのタイミングまでNOP命令の無限ルー
プ処理を継続し、各集積回路モジュール1aと1b内の
動作を一切停止するようにしてもよい。なお上記実施例
で待機時各集積回路モジュール1aと1b内の動作を一
切停止するとして説明したが、図14のようにCPU2
aと2b内に別途設けるバスインタフェース部で、制御
処理部からのバス使用要求信号110aと110bに対
しバス使用承認信号111aと111bを返さないで外
部バスへのアクセス要求を抑止するようにし、外部アク
セスに関係する動作だけ待機するようにしてもよい。外
部アクセスに無関係な内部レジスタやキャッシュメモリ
だけのアクセス処理を継続実行できる。また上記実施例
で集積回路をモジュール1aと1bは待機時、図15の
ように外部に別途設ける接続分離手段18aと18b
で、外部バスとの接続を切離しておき、内部動作だけ継
続するようにしてもよい。
In the above embodiment, the integrated circuit module 1a
1 and 1b are, for example, the CPUs 2a and 2b of the micro program control system as shown in FIG. 14 in a standby state in which external operations are not confused until a check reset, and a path from the micro program control storage unit is fixedly inactive. (N
OP) The restart command 10 is supplied from the ROM storing the instruction code to the microprogram instruction register.
The infinite loop processing of the NOP instruction may be continued until the timing of 4a and 104b, and the operation in each integrated circuit module 1a and 1b may be stopped at all. In the above embodiment, the operation in each of the integrated circuit modules 1a and 1b is stopped at the time of standby. However, as shown in FIG.
In the bus interface section separately provided in a and 2b, the access request to the external bus is suppressed without returning the bus use approval signals 111a and 111b to the bus use request signals 110a and 110b from the control processing section. You may make it wait only for the operation relevant to access. It is possible to continuously execute access processing only for internal registers and cache memory that are unrelated to external access. Further, in the above embodiment, when the integrated circuits are in standby for the modules 1a and 1b, connection separating means 18a and 18b are separately provided outside as shown in FIG.
Then, the connection with the external bus may be disconnected and only the internal operation may be continued.

【0038】また上記実施例で集積回路モジュール1a
と1bはチェックリセット時、図14のように制御処理
部からの制御信号で作業用レジスタやキャッシュメモリ
の有効ビットには零値を設定し、特殊な制御レジスタに
は適当な初期値や有効エントリがない状態を設定するよ
うにしてもよい。各集積回路モジュール1aと1bがリ
セット状態、すなわちシステム立上げ時のクリア状態に
あれば初期化ソフトウェアなどの動作を開始できる。な
お上記実施例でチェックリセット時、たとえば再起動処
理手段5aと5b内に別途設ける再ロード処理手段で、
復帰指令103aと103bのタイミングで故障が検出
されていない集積回路モジュール1aまたは1bの当該
ログ情報を作業用レジスタやキャッシュメモリなどの内
部資源に再ロードし、不一致検出の原因を解消する以降
の全体動作をそのまま継続実行するようにしてもよい。
正しいログ情報を確認し各内部資源に再ロードすれば、
停止時点に戻り処理を継続実行でき、初期化ソフトウェ
アなどを動作させる必要はなくなる。また、上記実施例
で再ロード時、異常割込み制御手段10内に別途設ける
書込みアドレス指定手段から、ログ情報格納領域アドレ
スをアドレスバスに供給すると同時に当該ログ情報を再
ロードするようにしてもよい。各集積回路モジュール1
aと1bの内部資源に書込む値は全く同じであり別々に
再ロードする必要はない。
In the above embodiment, the integrated circuit module 1a
At the time of check reset, 1 and 2b set a zero value to the effective bit of the work register and the cache memory by the control signal from the control processing unit as shown in FIG. 14, and an appropriate initial value and an effective entry to the special control register. You may make it set the state which does not exist. If each of the integrated circuit modules 1a and 1b is in the reset state, that is, in the clear state when the system is started up, the operation of the initialization software can be started. In the above embodiment, at the time of check reset, for example, the reload processing means separately provided in the restart processing means 5a and 5b,
Reloading the log information of the integrated circuit module 1a or 1b in which a failure is not detected at the timing of the return commands 103a and 103b into an internal resource such as a work register or cache memory to eliminate the cause of the mismatch detection The operation may be continuously executed as it is.
If you check the correct log information and reload each internal resource,
The processing can be continued by returning to the stop point, and it is not necessary to operate the initialization software or the like. Further, in the above embodiment, at the time of reloading, the log information storage area address may be supplied to the address bus from the write address designating means separately provided in the abnormal interrupt control means 10 and the log information may be reloaded at the same time. Each integrated circuit module 1
The values written to the internal resources of a and 1b are exactly the same and need not be reloaded separately.

【0039】[0039]

【発明の効果】上記のようなこの発明の集積回路モジュ
ール同期2重系情報処理装置では、通常のデータ処理機
能に必要十分な障害処理機能を集積回路モジュールに内
蔵し同期2重系を構成する方式を採るから、従来の誤検
出機能内蔵同期2重系方式に比べ、つぎの効果がある。 (1)比較処理と誤検出処理の各機能を内蔵しており、
外部割込み制御の機能分散を図れ、設計・検証上の作業
効率と製造コスト面の効率を向上できる。 (2)同一品種で通常処理状態にも比較監視状態にも使
用でき、信頼性要求水準に応じ選択でき、開発コストを
低減できる。 (3)完成ハードウェアに対し役割を変更でき、柔軟で
高信頼のシステム構築をできる。 (4)ログアウト処理機能を内蔵しており、エラー解析
時の作業効率を向上できる。 (5)2重系が同時にまたは交互に識別状態で同期して
高速にログアウト処理できる。
In the integrated circuit module synchronous dual system information processing apparatus of the present invention as described above, a failure processing function necessary and sufficient for a normal data processing function is built in the integrated circuit module to form a synchronous dual system. Since the system is adopted, there are the following effects as compared with the conventional synchronous double system system with a false detection function. (1) Built-in comparison processing and erroneous detection processing functions,
The functions of external interrupt control can be distributed, and work efficiency in design / verification and manufacturing cost can be improved. (2) The same product type can be used in both the normal processing state and the comparative monitoring state, and can be selected according to the required reliability level, and the development cost can be reduced. (3) The role can be changed for the completed hardware, and a flexible and highly reliable system can be constructed. (4) The built-in logout processing function can improve work efficiency during error analysis. (5) The dual system can perform high-speed logout processing at the same time or alternately in synchronization with the identification state.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明を示す一実施例の集積回路モジュール
同期2重系情報処理装置の機能ブロック図。
FIG. 1 is a functional block diagram of an integrated circuit module synchronous dual system information processing apparatus according to an embodiment of the present invention.

【図2】この発明を示す他の一実施例の機能ブロック
図。
FIG. 2 is a functional block diagram of another embodiment showing the present invention.

【図3】この発明を示す他の一実施例の機能ブロック
図。
FIG. 3 is a functional block diagram of another embodiment showing the present invention.

【図4】この発明を示す他の一実施例の機能を説明する
図。
FIG. 4 is a diagram for explaining the function of another embodiment showing the present invention.

【図5】この発明を示す他の一実施例の機能ブロック
図。
FIG. 5 is a functional block diagram of another embodiment showing the present invention.

【図6】図5に示す比較処理手段の一実施例の機能ブロ
ック図。
6 is a functional block diagram of an embodiment of the comparison processing means shown in FIG.

【図7】図5に示す比較制御手段の制御レジスタに保持
する複数ビット切替情報を説明する図と図5に示す比較
処理手段の他の一実施例の機能ブロック図。
7 is a diagram for explaining a plurality of bits of switching information held in a control register of the comparison control means shown in FIG. 5 and a functional block diagram of another embodiment of the comparison processing means shown in FIG.

【図8】この発明を示す他の一実施例の機能ブロック
図。
FIG. 8 is a functional block diagram of another embodiment showing the present invention.

【図9】図8に示すログ情報記録手段の一実施例の機能
を説明する図。
9 is a diagram for explaining the function of an embodiment of the log information recording means shown in FIG.

【図10】この発明を示す他の一実施例の機能ブロック
図。
FIG. 10 is a functional block diagram of another embodiment showing the present invention.

【図11】図10に示すバス調停手段の関連各信号関係
を説明するタイミング図。
FIG. 11 is a timing chart for explaining the relationship between related signals of the bus arbitration means shown in FIG.

【図12】この発明を示す他の一実施例の機能ブロック
図。
FIG. 12 is a functional block diagram of another embodiment showing the present invention.

【図13】この発明を示す他の一実施例の機能ブロック
図。
FIG. 13 is a functional block diagram of another embodiment showing the present invention.

【図14】この発明を示す一実施例の各CPUで待機時
とチェックリセット時の動作を説明する図。
FIG. 14 is a diagram for explaining the operation during standby and during check reset in each CPU according to the embodiment of the present invention.

【図15】この発明を示す他の一実施例の機能ブロック
図。
FIG. 15 is a functional block diagram of another embodiment showing the present invention.

【図16】従来例の集積回路モジュール同期2重系情報
処理装置の機能ブロック図。
FIG. 16 is a functional block diagram of an integrated circuit module synchronous dual system information processing apparatus of a conventional example.

【符号の説明】[Explanation of symbols]

1 集積回路モジュール 2 CPU 3 比較処理手段 4 誤検出処理手段 5 再起動処理手段 6 データ経路切替手段 7 アドレス経路切替手段 8 制御経路切替手段 9 状態記憶手段 10 異常割込み制御手段 11 状態指定手段 12 比較制御手段 13 ログ情報記録手段 14 バス調停手段 15 ログアウトタイマ手段 16 アドレスバス監視手段 17 ログ情報書出し制御手段 18 接続分離手段 90 データ信号 91 アドレス信号 92 出力制御信号 93 入力制御信号 100 不一致検出信号 101 動作停止指令 102 ログアウト指令 103 復帰指令 104 再起動指令 105 モード指令 106 ハードウェア異常検出信号 107 異常割込み信号 108 切替指令 109 比較制御信号 110 バス使用要求信号 111 バス使用承認信号 112 書込み信号 113 ログ情報書出しタイミング信号 なお図中、同一符号は同一または相当部分を示す。 1 integrated circuit module 2 CPU 3 comparison processing means 4 erroneous detection processing means 5 restart processing means 6 data path switching means 7 address path switching means 8 control path switching means 9 state storage means 10 abnormal interrupt control means 11 state designation means 12 comparison Control means 13 Log information recording means 14 Bus arbitration means 15 Logout timer means 16 Address bus monitoring means 17 Log information writing control means 18 Connection separation means 90 Data signal 91 Address signal 92 Output control signal 93 Input control signal 100 Mismatch detection signal 101 Operation Stop command 102 Logout command 103 Return command 104 Restart command 105 Mode command 106 Hardware error detection signal 107 Abnormal interrupt signal 108 Switching command 109 Comparison control signal 110 Bus use request signal 111 Bus use approval signal During 12 write signal 113 log information write timing signal noted figures, same reference numerals designate the same or corresponding parts.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 佐久間 孝夫 鎌倉市大船五丁目1番1号 三菱電機株式 会社情報システム研究所内 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Takao Sakuma 5-1-1, Ofuna, Kamakura-shi Information Systems Research Center, Mitsubishi Electric Corporation

Claims (14)

【特許請求の範囲】[Claims] 【請求項1】 単一の半導体回路チップから成る集積回
路モジュールの互いに同じ外部入出力端子同士を接続し
同期2重系を構成する集積回路モジュール同期2重系情
報処理装置において、前記集積回路モジュールで通常の
計算機基本機能を実行し汎用方式で構成するCPUと、
状態記憶手段からのモード指令に従い比較監視状態時だ
け該2重系各CPUからの各データとアドレスと制御信
号をそれぞれ比較し不一致なら検出する比較処理手段
と、該2重系各比較処理手段からの不一致検出信号のい
ずれかに従い前記集積回路モジュール内部の通常処理状
態動作を停止し各内部ログ情報を記録しログアウト処理
完了後に正常状態に復帰するように指令をする誤検出処
理手段と、該誤検出処理手段からの復帰指令に従い前記
CPUを再起動するように指令をする再起動処理手段
と、前記状態記憶手段からのモード指令に従い比較監視
状態時だけ前記2重系各CPUからの各データとアドレ
スと制御信号をそれぞれ前記比較処理手段に導くように
経路の切替えをするデータ、アドレスおよび制御各経路
切替手段と、予め前記比較監視状態と通常処理状態のい
ずれかのモードを書込んでおきモードの指令をする前記
状態記憶手段とを備える集積回路モジュール同期2重系
情報処理装置。
1. An integrated circuit module synchronous dual system information processing apparatus in which the same external input / output terminals of an integrated circuit module composed of a single semiconductor circuit chip are connected to each other to form a synchronous dual system. With a CPU that executes normal computer basic functions and configures in a general-purpose system,
According to the mode command from the state storage means, the comparison processing means for comparing each data, the address and the control signal from each CPU of the dual system and detecting if they do not match only in the comparative monitoring state, and the comparison processing means for each of the dual system Error detection processing means for stopping the normal processing state operation inside the integrated circuit module according to any one of the mismatch detection signals, recording each internal log information, and instructing to return to the normal state after the logout processing is completed; A restart processing means for instructing to restart the CPU according to a return command from the detection processing means, and data from each CPU of the dual system only in the comparative monitoring state according to the mode command from the state storage means. Data for switching paths so that an address and a control signal are respectively guided to the comparison processing means, address and control path switching means, and較監 vision state and the normal integrated circuit module synchronous duplex system information processing apparatus including a said status storage means for the advance command mode write the one of the modes of processing state.
【請求項2】 集積回路モジュールの外部に2重系各比
較処理手段のいずれかからの不一致検出信号の代わりに
外部からのハードウェア障害信号とともにログアウト処
理のための同期通信制御をし異常割込み信号を生成し誤
検出処理手段に送信する異常割込み制御手段を設けるこ
とを特徴とする請求項1記載の集積回路モジュール同期
2重系情報処理装置。
2. An abnormal interrupt signal for performing a synchronous communication control for logout processing together with a hardware failure signal from the outside instead of the mismatch detection signal from any of the dual system comparison processing means outside the integrated circuit module. 2. The integrated circuit module synchronous dual system information processing apparatus according to claim 1, further comprising: an abnormal interrupt control means for generating and transmitting to the erroneous detection processing means.
【請求項3】 集積回路モジュールに状態記憶手段の代
わりに状態指定端子を設け、比較監視状態と通常処理状
態のいずれかのモードを高電位状態と低電位状態のいず
れかに定義しモードの指令をすることを特徴とする請求
項1または2記載の集積回路モジュール同期2重系情報
処理装置。
3. An integrated circuit module is provided with a state designation terminal instead of a state storage means, and one of a comparison monitoring state and a normal processing state is defined as a high potential state or a low potential state, and a mode command is issued. The integrated circuit module synchronous dual system information processing apparatus according to claim 1 or 2, wherein:
【請求項4】 集積回路モジュールの外部に比較監視状
態と通常処理状態の各モードを動的に変換するように状
態指定端子にモードの指令をする状態指定手段を設ける
ことを特徴とする請求項3記載の集積回路モジュール同
期2重系情報処理装置。
4. A state designating means for designating a mode to a state designating terminal so as to dynamically convert each mode of a comparison monitoring state and a normal processing state is provided outside the integrated circuit module. 3. The integrated circuit module synchronous dual system information processing device according to 3.
【請求項5】 集積回路モジュールで別途設定する切替
指令に従い比較対象の全ビット信号に対し一括して比較
機能設定をする単数ビット切替情報を制御レジスタに保
持し各対応ビット一括の比較制御信号を生成する比較制
御手段と、比較対象の入力信号に対し各対応ビットごと
の排他的論理和各出力信号に施す論理和出力信号と前記
比較制御手段からの各対応ビット一括の比較制御信号と
の論理積出力信号を不一致検出信号として生成する比較
処理手段とを設けることを特徴とする請求項1,2,3
または4記載の集積回路モジュール同期2重系情報処理
装置。
5. A single-bit switching information for collectively setting a comparison function for all bit signals to be compared according to a switching command separately set in the integrated circuit module is held in a control register, and a comparison control signal for each corresponding bit is stored. Logic of the comparison control means for generating, the logical sum output signal applied to each output signal of the exclusive OR for each corresponding bit with respect to the input signal to be compared, and the comparison control signal of the corresponding bits collectively from the comparison control means Comparison processing means for generating a product output signal as a mismatch detection signal is provided.
Alternatively, the integrated circuit module synchronous dual system information processing device according to item 4.
【請求項6】 比較制御手段で比較対象の全ビット信号
に対し個別に比較機能設定をする複数ビット切替情報を
制御レジスタに保持し各対応ビット個別の比較制御信号
を生成し比較処理手段で比較対象の入力信号に対し各対
応ビットごとの排他的論理和各出力信号と前記比較制御
手段からの各対応ビット個別の比較制御信号との論理積
各出力信号に施す論理和出力信号を不一致検出信号とし
て生成することを特徴とする請求項5記載の集積回路モ
ジュール同期2重系情報処理装置。
6. The comparison control means holds a plurality of bits of switching information for individually setting comparison functions for all bit signals to be compared in a control register, generates a comparison control signal for each corresponding bit, and compares them by comparison processing means. A logical product of the exclusive OR output signal for each corresponding bit and the comparison control signal for each corresponding bit from the comparison control means with respect to the input signal of interest. 6. The integrated circuit module synchronous dual system information processing device according to claim 5, wherein
【請求項7】 集積回路モジュールの外部に各集積回路
モジュールのログ情報ごとに比較監視状態と通常処理状
態のいずれかのモードを識別し、格納領域を割付けるロ
グ情報記憶手段を設けることを特徴とする請求項1,
2,3,4,5または6記載の集積回路モジュール同期
2重系情報処理装置。
7. A log information storage means is provided outside the integrated circuit module, which identifies one of a comparison monitoring state and a normal processing state for each log information of each integrated circuit module and allocates a storage area. Claim 1
2. An integrated circuit module synchronous dual system information processing device according to 2, 3, 4, 5 or 6.
【請求項8】 ログ情報記録手段でワード構成外部デー
タバスの上位と下位のハーフワード領域にワードアドレ
スを一致させレジスタログ情報を分けて格納することを
特徴とする請求項7記載の集積回路モジュール同期2重
系情報処理装置。
8. The integrated circuit module according to claim 7, wherein the log information recording means stores the register log information separately by matching the word addresses in the upper and lower halfword areas of the word-structured external data bus. Synchronous dual system information processing device.
【請求項9】 集積回路モジュールの外部に2重系各集
積回路モジュール間でログアウト処理を調停しいずれか
だけにバス使用権を与え他を待機させておくバス調停手
段を設けることを特徴とする請求項1,2,3,4,
5,6,7または8記載の集積回路モジュール同期2重
系情報処理装置。
9. A bus arbitration means is provided outside the integrated circuit module for arbitrating a logout process between the respective dual integrated circuit modules and giving a bus use right to only one of them and waiting the other. Claims 1, 2, 3, 4,
5. An integrated circuit module synchronous dual system information processing device according to 5, 6, 7 or 8.
【請求項10】 集積回路モジュールで不一致検出時に
タイマカウンタをリセットし誤検出処理中動作させ、バ
スアクセス競合を避けるように2重系各集積回路モジュ
ールごとに予め設定するログアウト開始時刻のタイミン
グで各ログ情報を書出すログアウトタイマ手段を設ける
ことを特徴とする請求項1,2,3,4,5,6,7ま
たは8記載の集積回路モジュール同期2重系情報処理装
置。
10. The integrated circuit module resets a timer counter when a mismatch is detected and operates during erroneous detection processing, and at each timing of a logout start time preset for each duplicated integrated circuit module so as to avoid bus access conflict. 9. The integrated circuit module synchronous dual system information processing device according to claim 1, 2, 3, 4, 5, 6, 7 or 8, further comprising logout timer means for writing out log information.
【請求項11】 集積回路モジュールの外部に別途設け
る異常割込み制御手段内にログアウトタイマ手段を設け
ることを特徴とする請求項10記載の集積回路モジュー
ル同期2重系情報処理装置。
11. The integrated circuit module synchronous dual system information processing apparatus according to claim 10, wherein a logout timer means is provided in an abnormal interrupt control means separately provided outside the integrated circuit module.
【請求項12】 集積回路モジュールの外部に別途設け
る異常割込み制御手段内にログ情報格納領域アドレスを
順番にアドレスバスに供給する書込みアドレス指定手段
を設け、集積回路モジュールで前記書込みアドレス指定
手段からのアドレスバス上のログ情報格納領域アドレス
を参照するアドレス監視手段とバスアクセス競合を避け
るように該アドレス監視手段で当該書出しアドレス参照
時当該ログ情報をデータバスに書出すログ情報書出し制
御手段とを設けることを特徴とする請求項2,3,4,
5,6,7または8記載の集積回路モジュール同期2重
系情報処理装置。
12. An abnormal interrupt control means separately provided outside the integrated circuit module is provided with write address specifying means for sequentially supplying the log information storage area address to the address bus, and the integrated circuit module is provided with the write address specifying means. An address monitoring unit that refers to the log information storage area address on the address bus and a log information writing control unit that writes the log information to the data bus when the writing address is referenced by the address monitoring unit are provided so as to avoid bus access conflict. Claims 2, 3, 4, characterized in that
5. An integrated circuit module synchronous dual system information processing device according to 5, 6, 7 or 8.
【請求項13】 集積回路モジュールで誤検出処理後の
初期化機能時まで外部の動作を混乱しないようにする待
機時、ワイアードロジックで動作を停止する代わりにフ
ァームウェアやソフトウェアで非動作(NOP)命令の
無限ループ処理を維持するか、もしくは外部アクセスに
関係する動作だけ待機するようにCPU内に外部バスへ
のアクセス要求を抑止するバスインタフェース部を設け
るか、または内部動作だけ継続するように集積回路モジ
ュールの外部に外部バスとの接続を切離す接続分離手段
を設けるかのいずれかを特徴とする請求項1,2,3,
4,5,6,7,8,9,10,11または12記載の
集積回路モジュール同期2重系情報処理装置。
13. A non-operation (NOP) instruction by firmware or software instead of stopping the operation by the wired logic in a waiting time to prevent the external operation from being confused until the initialization function after erroneous detection processing in the integrated circuit module. Endless loop processing is maintained, or a bus interface unit for suppressing an access request to an external bus is provided in the CPU so as to wait only for an operation related to external access, or an integrated circuit so as to continue only internal operation. 5. A connection separating means for disconnecting a connection with an external bus is provided outside the module.
4, 5, 6, 7, 8, 9, 10, 11 or 12, wherein the integrated circuit module synchronous dual system information processing device.
【請求項14】 集積回路モジュールで誤検出処理後の
初期化機能時、CPU内部資源の内容を初期データの有
効エントリがない状態に設定し再起動するか、または再
起動処理手段内に復帰指令のタイミングで故障が検出さ
れていない集積回路モジュールの正常な当該ログ情報だ
けをCPU内部資源に再ロードする再ロード処理手段を
設け再起動するかのいずれかを特徴とする請求項1,
2,3,4,5,6,7,8,9,10,11,12ま
たは13記載の集積回路モジュール同期2重系情報処理
装置。
14. The integrated circuit module sets the content of the CPU internal resource to a state where there is no valid entry of initial data and restarts at the time of the initialization function after erroneous detection processing, or a restart command in the restart processing means. 2. The reloading processing means for reloading only the normal log information of the integrated circuit module whose failure is not detected at the timing of 1) to the CPU internal resource and restarting.
2. The integrated circuit module synchronous dual system information processing device according to 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12 or 13.
JP6070923A 1994-04-08 1994-04-08 Information processor for integrated circuit module synchrinizing duplex system Pending JPH07281915A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6070923A JPH07281915A (en) 1994-04-08 1994-04-08 Information processor for integrated circuit module synchrinizing duplex system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6070923A JPH07281915A (en) 1994-04-08 1994-04-08 Information processor for integrated circuit module synchrinizing duplex system

Publications (1)

Publication Number Publication Date
JPH07281915A true JPH07281915A (en) 1995-10-27

Family

ID=13445520

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6070923A Pending JPH07281915A (en) 1994-04-08 1994-04-08 Information processor for integrated circuit module synchrinizing duplex system

Country Status (1)

Country Link
JP (1) JPH07281915A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006080431A1 (en) * 2005-01-28 2006-08-03 Yokogawa Electric Corporation Information processing device and information processing method
WO2006080432A1 (en) * 2005-01-31 2006-08-03 Yokogawa Electric Corporation Information processing device and information processing method
JP2009526299A (en) * 2006-02-09 2009-07-16 イーズ ディフェンス アンド セキュリティー システムズ リミテッド High-speed redundant data processing system
JP2010102565A (en) * 2008-10-24 2010-05-06 Mitsubishi Electric Corp Duplex controller
CN114408190A (en) * 2021-12-29 2022-04-29 陕西千山航空电子有限责任公司 Throwing control module state detection circuit and throwing type recorder

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006080431A1 (en) * 2005-01-28 2006-08-03 Yokogawa Electric Corporation Information processing device and information processing method
US8359529B2 (en) 2005-01-28 2013-01-22 Yokogawa Electric Corporation Information processing apparatus and information processing method
WO2006080432A1 (en) * 2005-01-31 2006-08-03 Yokogawa Electric Corporation Information processing device and information processing method
JP2009526299A (en) * 2006-02-09 2009-07-16 イーズ ディフェンス アンド セキュリティー システムズ リミテッド High-speed redundant data processing system
US8386843B2 (en) 2006-02-09 2013-02-26 Cassidian Limited High speed redundant data processing system
JP2010102565A (en) * 2008-10-24 2010-05-06 Mitsubishi Electric Corp Duplex controller
CN114408190A (en) * 2021-12-29 2022-04-29 陕西千山航空电子有限责任公司 Throwing control module state detection circuit and throwing type recorder

Similar Documents

Publication Publication Date Title
US4366535A (en) Modular signal-processing system
US5764882A (en) Multiprocessor system capable of isolating failure processor based on initial diagnosis result
US5805790A (en) Fault recovery method and apparatus
US5423024A (en) Fault tolerant processing section with dynamically reconfigurable voting
EP0974912B1 (en) Fault resilient/fault tolerant computing
US6601165B2 (en) Apparatus and method for implementing fault resilient booting in a multi-processor system by using a flush command to control resetting of the processors and isolating failed processors
JPH0734179B2 (en) Automatic flight controller with multiple heterogeneous data processing channels.
US6502209B1 (en) Chip with debug capability
JPH0656587B2 (en) Fault tolerant data processing system
JPH0374756A (en) Information processing system
CA2530913A1 (en) Fault tolerant computer system and interrupt control method for the same
JPH0934809A (en) Highly reliable computer system
JPH11143729A (en) Fault tolerant computer
JPS608941A (en) Apparatus for maintaining a plurality of processing modules
US7178058B2 (en) Fault tolerant computer and transaction synchronization control method
JP2001022599A (en) Fault tolerant system, fault tolerant processing method and recording medium for fault tolerant control program
JPH07281915A (en) Information processor for integrated circuit module synchrinizing duplex system
JP3424968B2 (en) Computer system, processor chip and fault recovery method
JPS6113626B2 (en)
CN117573609B (en) System-on-chip with redundancy function and control method thereof
JPH0478902A (en) Bus controller
JPH07230436A (en) Processor constituting method and information processor
JP3743381B2 (en) Inter-host processing synchronization method
JPH0916426A (en) Fault tolerant computer with two-port console
JPS5911455A (en) Redundancy system of central operation processing unit