JPH07258853A - Method and device for discriminating state of process - Google Patents

Method and device for discriminating state of process

Info

Publication number
JPH07258853A
JPH07258853A JP8758693A JP8758693A JPH07258853A JP H07258853 A JPH07258853 A JP H07258853A JP 8758693 A JP8758693 A JP 8758693A JP 8758693 A JP8758693 A JP 8758693A JP H07258853 A JPH07258853 A JP H07258853A
Authority
JP
Japan
Prior art keywords
processing
circuit
plasma
chamber
monitoring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8758693A
Other languages
Japanese (ja)
Inventor
W Buttler Stephanie
ダブリュ.バットラー ステファニー
J Blanker Keith
ジェイ.ブランカー ケイス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to JP8758693A priority Critical patent/JPH07258853A/en
Publication of JPH07258853A publication Critical patent/JPH07258853A/en
Pending legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE: To provide a method for identifying the state of a plasma process and an apparatus therefor.
CONSTITUTION: A plasma treatment apparatus 10 for production of semiconductor wafers is disclosed. This apparatus includes a plasma treatment tool 12 and an RF energy source 20 coupled to this plasma treatment tool 12. An arbitrarily selective matching circuit net 22 can be included between the RF energy source 20 and the plasma treatment tool 12. A circuit 18 for obtaining a measurement characteristic by monitoring RF energy is also provided. At least one transducer 14 or 16 is coupled between the plasma treatment tool 12 and the circuit 18 for monitoring the RF energy. The RF energy is usually impressed at a basic frequency and the electrical characteristic is monitored at the second frequency different from this basic frequency. Further, a circuit 19 of a computer or the like for detaining the state of the treatment apparatus 10 by interpreting the measurement characteristic is also included.
COPYRIGHT: (C)1995,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、特にプラズマプロセッ
サにおけるプロセスおよび機械の状態を識別する方法お
よび装置に関する。
FIELD OF THE INVENTION The present invention relates to a method and apparatus for identifying process and machine conditions, especially in plasma processors.

【0002】[0002]

【従来の技術】反応性プラズマを用いる集積回路製造プ
ロセスは、今日の半導体製造ラインにおいてはありふれ
たものになっている。反応性プラズマという用語は、ガ
スのイオン化および解離が起こり、しばしば酸化および
/または還元剤である化学的活性を有する種類の物質を
発生する放電を表わす意味を有する。そのようなプラズ
マは、気相においてと、またそれらのプラズマに対して
曝された固体表面に対してと、の双方で反応的である。
1970年代の初期において反応性プラズマが半導体工
場において最初に使用された時は、これらの放電の化学
および物理学についてほとんど何もわかっていなかっ
た。今日では、経験的知識の広い基礎、活性機構のいく
らかの定性的理解、および特定の分離された現象の詳細
な理解さえも得られている。この知見により、いまで
は、プラズマ工程を、今日のサブミクロンデバイスの構
造のさらに厳しい要求に適合するように設計しうるよう
になっている。
2. Description of the Related Art Integrated circuit manufacturing processes using reactive plasma are commonplace in today's semiconductor manufacturing lines. The term reactive plasma is meant to describe an electrical discharge in which gas ionization and dissociation occurs, producing a class of chemically active substances, often oxidizing and / or reducing agents. Such plasmas are reactive both in the gas phase and on the solid surfaces exposed to them.
When reactive plasmas were first used in semiconductor factories in the early 1970s, little was known about the chemistry and physics of these discharges. Today, we have a broad base of empirical knowledge, some qualitative understanding of the mechanism of activation, and even a detailed understanding of certain segregated phenomena. With this knowledge, plasma processes can now be designed to meet the more stringent requirements of today's submicron device structures.

【0003】プラズマ処理を用いる時、歩どまりを制約
し、あるいは製造処理能力を低下させる多くの問題が起
こりうる。例えば、プラズマ処理チャンバに入った水蒸
気は、半導体ウェハの製造に悪影響を及ぼしうる。この
問題は、速やかな検出を必要とし、それがないと、歩ど
まりを劣化させる危険が大きい。
When using plasma processing, many problems can occur that limit yield or reduce manufacturing throughput. For example, water vapor entering a plasma processing chamber can adversely affect the manufacture of semiconductor wafers. This problem requires prompt detection, without which there is a high risk of yield degradation.

【0004】プラズマ処理に係るもう1つの問題は、チ
ャンバ壁上における汚染物質の形成である。現在におい
ては、通常の処理工場では、チャンバ壁を一定期間毎、
例えばある数のウェハが処理される毎に、周期的にクリ
ーニングしなくてはならない。この技術は、もし汚染物
質の形成速度が未知ならば、ある大きい困難を与える
が、通常それは未知である。例えば、もしチャンバ壁が
十分頻繁にクリーニングされなければ、より後の段階に
おいて処理されたウェハのあるものが汚染される恐れが
あり、歩どまりの劣化が起こる。一方、もしチャンバが
あまりにも頻繁にクリーニングされれば、処理能力の低
下が起こる。従って、現在では、チャンバ壁の清潔さの
リアルタイムの検出器が本質的に要求されている。
Another problem with plasma processing is the formation of contaminants on the chamber walls. Nowadays, in a typical processing plant, the chamber wall is
For example, every time a certain number of wafers are processed, they must be cleaned periodically. This technique presents some great difficulties if the rate of pollutant formation is unknown, but it is usually unknown. For example, if the chamber walls are not cleaned frequently enough, some of the processed wafers may become contaminated at a later stage, causing yield degradation. On the other hand, if the chamber is cleaned too often, a loss of throughput will occur. Therefore, there is currently an inherent need for a real-time detector of chamber wall cleanliness.

【0005】[0005]

【発明が解決しようとする課題】従って、これらの問題
のいずれか、または全てを克服する改良が現在所望され
ている。他の諸目的および諸利点は自明のものであり、
その一部は以下に現われ、プロセス/機械の状態を識別
するための方法および装置を提供する本発明によって達
成される。
Accordingly, improvements are currently desired that overcome any or all of these problems. Other purposes and advantages are self-explanatory,
Some of which appear below and are accomplished by the present invention which provides a method and apparatus for identifying process / machine conditions.

【0006】[0006]

【課題を解決するための手段】本発明の1特徴は、プロ
セス制御方式内において実現されうる、チャンバ状態の
変動を阻止してウェハの堅実な歩どまりを増大せしめる
ための、最適なチャンバ状態を識別する方法を提供して
いることである。現在の所、本質的に機械の状態、例え
ばチャンバ壁および電極の状態を包括的に識別する技術
は存在しない。この欠陥は、多くの問題を生ぜしめる。
本来のセンサによる測定が欠如していると、チャンバの
状態が材料処理のために適正であるか否かを決定するた
めに、パイロットすなわちテストウェハの使用が必要と
なる。この追加作業は、処理能力を低下させ、かつ操業
経費を増大させる。本来のセンサの欠如はさらに、最適
のチャンバ状態を保持するための制御装置の使用を不可
能にする。SQC(統計的品質管理)の能力もまた、機
械の直接測定がないと低下せしめられる。そのわけは、
パイロットが前の処理の影響を受け、機械の問題点を完
全に示さないからである。さらに、チャンバの状態を識
別しえないと、チャンバのクリーニングを適正に行なえ
なくなる。過剰なクリーニングは処理能力を低下せし
め、一方、不完全なクリーニングは、粒子および変化し
たプロセス条件に起因するウェハの歩どまりの低下を生
ぜしめる。さらに、水蒸気に曝されるなどの、チャンバ
状態の偶然の気付かれない変化は、製品をくずとして廃
棄するに至らしめうる。
SUMMARY OF THE INVENTION One feature of the present invention is to optimize the chamber conditions that can be implemented within a process control scheme to prevent chamber condition variations and increase wafer yields. It provides a way to identify. At present, there is essentially no technology that comprehensively identifies the state of the machine, such as the state of the chamber walls and electrodes. This flaw creates many problems.
The lack of native sensor measurements requires the use of pilots or test wafers to determine if the chamber conditions are correct for material processing. This additional work reduces throughput and increases operating costs. The lack of natural sensors further precludes the use of controls to maintain optimum chamber conditions. The ability of SQC (Statistical Quality Control) is also diminished in the absence of direct machine measurements. The reason is
This is because the pilot is affected by the previous treatment and does not completely show the problem of the machine. Further, if the state of the chamber cannot be identified, the chamber cannot be properly cleaned. Excessive cleaning reduces throughput, while incomplete cleaning results in reduced wafer yield due to particles and altered process conditions. In addition, accidental unnoticed changes in chamber conditions, such as exposure to water vapor, can lead to product disposal as scrap.

【0007】多くの問題を克服した、半導体ウェハ製造
のためのプラズマ処理装置がここに開示される。実施例
においては、この装置は、プラズマ処理工具と、該プラ
ズマ処理工具に結合せしめられ該プラズマ処理工具にR
Fエネルギーを印加するRFエネルギー源と、を含む。
RFエネルギー源とプラズマ処理工具との間には、任意
選択的な整合回路網が含まれうる。測定特性を得るため
のRFエネルギー監視回路も設けられている。少なくと
も1つのトランスジューサが、プラズマ処理工具と、R
Fエネルギー監視回路との間に結合せしめられている。
RFエネルギーは通常は基本周波数で印加され、電気的
特性は、基本周波数とは異なる関連周波数、および基本
周波数で監視される。また、処理装置の状態を決定する
ための、コンピュータのような、測定を解釈するための
回路も含まれている。
Disclosed herein is a plasma processing apparatus for semiconductor wafer manufacturing that overcomes many of the problems. In an embodiment, the apparatus includes a plasma treatment tool and an R coupled to the plasma treatment tool.
RF energy source for applying F energy.
An optional matching network may be included between the RF energy source and the plasma processing tool. An RF energy monitoring circuit for obtaining the measurement characteristic is also provided. At least one transducer includes a plasma processing tool and an R
It is coupled to the F energy monitoring circuit.
RF energy is typically applied at the fundamental frequency, and electrical properties are monitored at the relevant frequency, which is different from the fundamental frequency, and the fundamental frequency. Also included is a circuit for interpreting the measurement, such as a computer, for determining the state of the processor.

【0008】処理装置の状態を決定し、また可能な限り
制御する方法もまたここに開示される。電磁電力源およ
び処理チャンバを含む処理装置が提供される。電磁エネ
ルギー、例えばRF電力が処理装置に印加され、測定特
性を得るために電磁エネルギーの少なくとも1つの電気
的特性が監視される。この電気的特性は、電力、位相、
実効電圧、実効電流、ピークピーク電圧、ピークピーク
電流、およびインピーダンスの、1つまたは全てであり
え、時間的に測定されうる。通常は、電力は基本周波数
で印加され、電気的特性は関連周波数において監視され
る。その時、処理装置の状態を決定するために、測定特
性の測定が行なわれる。
Also disclosed herein is a method of determining the state of the processor and controlling as much as possible. A processing apparatus is provided that includes an electromagnetic power source and a processing chamber. Electromagnetic energy, for example RF power, is applied to the processing device and at least one electrical characteristic of the electromagnetic energy is monitored to obtain a measured characteristic. The electrical characteristics are power, phase,
One or all of RMS voltage, RMS current, peak-peak voltage, peak-peak current, and impedance can be measured over time. Normally, power is applied at the fundamental frequency and electrical properties are monitored at the relevant frequency. At that time, a measurement of the measurement characteristic is performed in order to determine the state of the processing device.

【0009】本発明の利点は、この方法が、よごれた光
学装置などの重要でない変数により影響されないことで
ある。さらに、センサのこの応用は、チャンバの状態お
よびプロセスの状態の直接的測定として役立つ。さら
に、本発明は、本来の、リアルタイムの方法を提供す
る。従って、本発明は、処理ステップのリアルタイム制
御に用いられうる。ここに説明される方法および装置
は、最終的なアセンブリ点検手段としても役立ちうる。
An advantage of the present invention is that the method is not affected by non-critical variables such as dirty optics. Moreover, this application of the sensor serves as a direct measurement of chamber and process conditions. Furthermore, the present invention provides a true, real-time method. Therefore, the present invention can be used for real-time control of processing steps. The methods and apparatus described herein may also serve as a final assembly inspection tool.

【0010】本発明の上述の特徴は、添付図面を参照し
つつ以下の説明を考察すればさらに明瞭に理解されるは
ずである。異なる図中の同じ参照番号および符号は、特
に指示がない限り、同じ部品に関する。
The above features of the present invention will be more clearly understood upon consideration of the following description in conjunction with the accompanying drawings. The same reference numbers and symbols in different figures refer to the same parts unless otherwise noted.

【0011】[0011]

【実施例】ここに採用された実施例の構成および使用に
ついて、以下に詳述する。しかし、本発明は、広くさま
ざまな特定の情況において具体化されうる、多くの適用
が可能な発明上の構想を提供していることを認識すべき
である。ここで説明される特定の実施例は、本発明の装
置の構成および使用の特定の方法を単に例示するものに
過ぎず、本発明の範囲を定めるものではない。
EXAMPLES The construction and use of the examples employed herein will be described in detail below. However, it should be appreciated that the present invention provides a number of applicable inventive concepts that may be embodied in a wide variety of specific contexts. The particular embodiments described herein are merely illustrative of particular ways of making and using the device of the invention, and do not define the scope of the invention.

【0012】以下には、本発明の装置および方法が説明
される。まず、本発明によって解決される問題が簡単に
説明される。次に、実施例が説明され、その後、改変が
説明される。次に、いくつかの実験結果の要約が説明さ
れる。
The apparatus and method of the present invention are described below. First, the problem solved by the present invention will be briefly described. Next, examples are described, followed by modifications. Next, a summary of some experimental results is explained.

【0013】本発明は、機械の状態を識別および/また
は評価するために、電流および電圧特性によってプラズ
マ状態を観察する方法および装置を提案する。本特許に
おいては、機械の状態には、壁の材料または壁上の形成
物、壁上に吸収されたガス、電極の材料および構成、電
極の間隔、電源の形式、その他、などの項目が含まれ
る。プロセスの状態には、供給電力、プラズマの組成、
圧力、および状態の過渡的(一時的)応答、などの項目
が含まれる。これらの状態の評価は、最適の機械状態を
識別するために使用されうる。その結果、例えばクリー
ニングまたは調節によってチャンバの状態を変え、それ
によって最適の機械状態を実現することができる。チャ
ンバの変化が効率的に行なわれるように、機械状態の識
別を自動化された帰還制御ループ内に使用することが提
案される。最後に、センサの出力は、SQC(統計的品
質管理)の環境において、または、チャンバ状態がいつ
変化したかを決定するために、使用されうる。
The present invention proposes a method and apparatus for observing plasma conditions by means of current and voltage characteristics in order to identify and / or evaluate the condition of the machine. In this patent, the state of the machine includes items such as wall material or formations on the wall, gas absorbed on the wall, electrode material and configuration, electrode spacing, type of power supply, etc. Be done. Process conditions include power supply, plasma composition,
Items such as pressure and transient (temporary) response of conditions are included. Evaluation of these conditions can be used to identify optimal machine conditions. As a result, it is possible to change the conditions of the chamber, for example by cleaning or adjusting, and thereby achieve optimum mechanical conditions. It is proposed to use machine state identification in an automated feedback control loop so that chamber changes can be made efficiently. Finally, the sensor output can be used in an SQC (Statistical Quality Control) environment or to determine when chamber conditions have changed.

【0014】1つの例においては、解決法の研究によ
り、チャンバの状態の変化は調波には現われるが、基本
電気信号にはそのような変化が現われないことがわかっ
た。例えば、圧力問題、定期的保守、およびチャンバの
大気被曝は、調波信号の位相に影響を及ぼし、従って検
出できる。一方、基本信号の位相は、同じこれらの作用
により影響されない。
In one example, solution studies have shown that changes in the state of the chamber appear in harmonics, but not in the fundamental electrical signal. For example, pressure problems, regular maintenance, and atmospheric exposure of the chamber affect the phase of the harmonic signal and can therefore be detected. On the other hand, the phase of the fundamental signal is not affected by these same effects.

【0015】公知のように、電気機械的成分、光学的表
面、および航空宇宙的成分のプラズマクリーニングは、
広く用いられているが、そのわけは、それが有機汚染の
最後の痕跡を除去するのに特に有効であるからである。
さらに、測定される楕円偏光解析パラメータに対する表
面汚染の影響が研究され、楕円偏光解析上の測定値がプ
ラズマクリーニングの時間の関数であることが示され
た。しかし、これらの研究は、RF電気信号の(基本周
波数または他の周波数における)取扱いは行なっていな
い。
As is known, plasma cleaning of electromechanical components, optical surfaces, and aerospace components includes
Widely used, because it is particularly effective in removing the last traces of organic pollution.
In addition, the effect of surface contamination on the measured ellipsometry parameters was investigated, and it was shown that the ellipsometry measurements were a function of plasma cleaning time. However, these studies do not deal with RF electrical signals (at the fundamental frequency or other frequencies).

【0016】光発射の分光学は、プラズマ内の発射物質
からの信号の監視に使用されうる。プラズマプロセスか
ら得られる光発射スペクトルに対してケモメトリックス
(chemometrics)が適用されると、光信号
に対するプラズマ処理状態の包括的な経験的マッピング
が得られる。もし広い発射スペクトルが、多変量統計ア
ルゴリズム(ケモメトリックス)を用いて検査され、発
射の空間的依存性が考慮されれば、光の発射強度の変化
は、基本周波数におけるプローブ測定と1に近い相関を
示すことが報告されている。この方法の問題は、プラズ
マ状態が光を発射しなくてはならないことである。これ
らの発射性の物質は、RF信号のようには機械の構成効
果により直接的に影響されない。これは、チャンバ壁の
状態は、ウェハに作用するプラズマの能力に影響を及ぼ
すが、光信号は、プラズマ体積内の物質からの発射にの
み感じるからである。これらの壁効果は、光信号のチャ
ンバ状態に対する相関を混乱せしめる。さらに、光信号
は、よごれた光学装置により影響されるが、それはプロ
セスには影響を及ぼさない。
Optical emission spectroscopy can be used to monitor the signals from the projectiles within the plasma. When chemometrics are applied to the optical emission spectra obtained from plasma processes, a comprehensive empirical mapping of plasma processing conditions to optical signals is obtained. If a broad emission spectrum is examined using a multivariate statistical algorithm (chemometrics) and the spatial dependence of the emission is taken into account, the change in light emission intensity correlates close to 1 with probe measurements at the fundamental frequency. It has been reported that The problem with this method is that the plasma state must emit light. These emissive materials are not directly affected by the constituent effects of the machine like RF signals. This is because the condition of the chamber walls affects the ability of the plasma to impinge on the wafer, but the optical signal is only sensitive to the firing from the material within the plasma volume. These wall effects disrupt the correlation of the optical signal to the chamber state. Furthermore, the optical signal is affected by the dirty optical device, which does not affect the process.

【0017】さらに、プラズマクリーニングプロセスが
必要となるまで処理されうるウェハ数は、プロセスに関
連する粒子レベルを監視することによって決定される。
粒子のカウントが、歩どまりが許容しえないレベルにな
るまで、増大した時には、ドライクリーニングが行なわ
れる。相次ぐドライクリーニング間に処理されるウェハ
数は、それゆえ粒子レベルをあるスレショルドより低く
保持するように固定される。しかし、クリーニング工程
が適正でないことは、通常は製品の歩どまりの低下によ
ってのみ気付かれる。残念ながら、製品の歩どまりは、
プロセスに関連する粒子レベルに対して良い相関をもた
ない。従って、歩どまりが低下した時は、ドライクリー
ニングのような修正処置が、歩どまりが許容しうるレベ
ルまで増大するまで行なわれる。
In addition, the number of wafers that can be processed until a plasma cleaning process is required is determined by monitoring the particle level associated with the process.
When the particle count is increased to an unacceptable level of yield, dry cleaning is performed. The number of wafers processed during successive dry cleanings is therefore fixed to keep the particle level below a certain threshold. However, an improper cleaning process is usually only noticed by a reduction in product yield. Unfortunately, the product yield is
It does not have a good correlation with the particle levels associated with the process. Therefore, when yields decrease, corrective action, such as dry cleaning, is performed until yields increase to an acceptable level.

【0018】プラズマクリーニングプロセス、例えばド
ライクリーニングの後には、いくつかのウェハが処理さ
れるまでは、大きい光発射強度が観察される。これら最
初のわずかなウェハは、チャンバを最適の動作状態に、
慣らして復帰させる。
After the plasma cleaning process, eg dry cleaning, a high light emission intensity is observed until several wafers have been processed. These first few wafers put the chamber in optimal operating condition,
Break it in and get it back.

【0019】ドライクリーニングはチャンバ全体にわた
って行なわれるので、チャンバは強度が増大した状態と
なり、RF電力のより良い結合を可能ならしめて、よご
れのない光学装置によるのではなく、プラズマをより明
るくする。これは、チャンバの状態が製品の処理のため
に許容できるものであるか否かを示すための光放射の使
用を混乱せしめる。
Since dry cleaning is performed throughout the chamber, the chamber is in a condition of increased strength, allowing better coupling of RF power and brighter plasma rather than clean optics. This confuses the use of optical radiation to indicate whether the chamber conditions are acceptable for product processing.

【0020】さらに、光信号がプロセスの終点指示のた
めに役立たない状態まで劣化した時は、ウェットクリー
ニングが通常行なわれる。通常、ウェットクリーニング
は、粒子のカウントが歩どまりを低下させるほど高くな
る前に、光信号をプロセス終点の指示が可能なよう回復
させるのに必要とされる。
In addition, wet cleaning is usually performed when the optical signal has deteriorated to a state where it is not useful for indicating the end point of the process. Wet cleaning is typically required to restore the optical signal to allow process endpoint indication before the particle count is high enough to reduce yield.

【0021】さらに、製品処理のために許容できる機械
状態を示すのに、DCバイアス信号が用いられうる。プ
ラズマクリーニングプロセスは、DCバイアスが、許容
可能な機械状態にマッピングされるレベルになるまで行
なわれる。DCバイアス信号の作用は、プロセスセッテ
ィングが同じならば異なる機械状態において同じになる
ことがわかった。従って、許容可能な機械状態に対する
相関は混乱したものとなる。また、DCバイアスを生ぜ
しめ、かつ制御することは、さらに望ましい。もしDC
バイアスが制御ループの一部ならば、それは監視用とし
ては使用されえない。
In addition, a DC bias signal may be used to indicate acceptable machine conditions for product processing. The plasma cleaning process is performed until the DC bias is at a level that maps to an acceptable mechanical state. It has been found that the effect of the DC bias signal is the same at different machine conditions for the same process settings. Therefore, the correlation to acceptable machine conditions becomes confusing. It is further desirable to produce and control a DC bias. If DC
If the bias is part of the control loop, it cannot be used for monitoring.

【0022】図1には、本発明の装置10の一般的ブロ
ック図が示されている。この装置は、処理工具12を含
み、これに対しては電磁的特性の測定を行なうための電
圧および電流トランスジューサ14および16が結合せ
しめられている。トランスジューサ14および16の出
力は電子装置18に結合せしめられており、電子装置1
8は、電磁信号の電気的特性を決定するための回路を含
む。トランスジューサ14またはトランスジューサ16
のいずれか、または双方が使用されうることに注意すべ
きである。電磁電力は、例えば13.56MHzのRF
電源から構成されうる電源20から供給される。この電
力は、次に整合回路網22に結合せしめられる。整合回
路網22は任意選択的なものであり、もし電源20と処
理工具12との間にインピーダンス不整合があれば使用
されうる。整合回路網22は、本発明においては不必要
である。
FIG. 1 shows a general block diagram of the device 10 of the present invention. The apparatus includes a processing tool 12 to which voltage and current transducers 14 and 16 for making electromagnetic characteristic measurements are coupled. The outputs of the transducers 14 and 16 are coupled to the electronic device 18 and the electronic device 1
8 includes circuitry for determining the electrical characteristics of the electromagnetic signal. Transducer 14 or transducer 16
It should be noted that either or both can be used. Electromagnetic power is, for example, 13.56 MHz RF
It is supplied from a power supply 20, which may be a power supply. This power is then coupled into the matching network 22. Matching network 22 is optional and may be used if there is an impedance mismatch between power supply 20 and processing tool 12. Matching network 22 is not necessary in the present invention.

【0023】一般に、装置10は、プラズマエッチング
またはデポジション装置などの、電磁電力源の電極への
適用を含む全てのプロセスに対して利用されうる。この
装置10はまた、プラズマクリーニング機械の監視およ
び制御にも使用されうる。
In general, the apparatus 10 can be utilized for all processes, including the application of electromagnetic power sources to electrodes, such as plasma etching or deposition equipment. The device 10 may also be used for monitoring and controlling plasma cleaning machines.

【0024】処理工具12は、容量結合したプラズマエ
ッチング用の工具、例えば平行プレート装置、を含みう
る。この装置においては、処理中の半導体ウェハは接地
されるか、またはRF信号の印加を受ける。装置は例え
ば反応性イオンエッチング装置でありうる。
The processing tool 12 may include a capacitively coupled plasma etching tool, such as a parallel plate apparatus. In this device, the semiconductor wafer being processed is grounded or receives an RF signal. The device can be, for example, a reactive ion etching device.

【0025】本発明はまた、高密度プラズマ装置にも適
用される。これらの装置の例は、ヘリコン(helic
on)すなわち螺線状波発生器およびECR(電子サイ
クロトロン共鳴)装置のようなマグネトロン装置を使用
する、または使用しない、誘導結合または変成器結合さ
れた処理装置である。装置は、任意選択的に高密度プラ
ズマ装置を含みうる。高密度プラズマ装置の例は、マグ
ネトロン装置である。
The present invention also applies to high density plasma devices. Examples of these devices are helicon
on) or inductively coupled or transformer coupled processing device with or without a magnetron device such as a spiral wave generator and an ECR (electron cyclotron resonance) device. The device may optionally include a high density plasma device. An example of a high density plasma device is a magnetron device.

【0026】トランスジューサ14および16は、電子
装置へ電圧および電流の情報を供給するために含まれて
いる。電圧プローブは、容量結合された電圧トランスジ
ューサである。電流プローブは、変成器結合された電流
トランスジューサである。電流および電圧プローブの双
方は、いっしょにハウジングに収容されている。ハウジ
ングアセンブリは、インラインに配置され、電力伝送の
同軸性を保持するように構成されている。
Transducers 14 and 16 are included to provide voltage and current information to the electronic device. The voltage probe is a capacitively coupled voltage transducer. The current probe is a transformer coupled current transducer. Both the current and voltage probes are housed together in a housing. The housing assembly is arranged in-line and is configured to maintain the coaxiality of power transmission.

【0027】トランスジューサ14および16は、電子
回路18に結合せしめられている。電子回路18は、電
気的測定から測定特性信号を得るための動作を行なう。
電子回路18は、測定信号を解釈して処理装置の状態を
決定するための回路19へ該信号を送る。1つの例にお
いては、測定特性の解釈を行なう回路19はパーソナル
コンピュータ(または他のコンピュータ端末、ワークス
テーションまたはメインフレーム)または他の信号記録
ハードウェアである。電子回路18は、通常は、フィル
タ、乗算器、トランスジューサ、ディジタイザ、などの
電気的成分および他の回路を含みうる。電子回路18の
出力は、ディジタル、アナログ、または双方の組合わ
せ、のものでありうる。インピーダンス、電力、位相、
実効電圧、実効電流、ピークピーク電圧、およびピーク
ピーク電流などの測定情報は、信号の特性、例えば波形
などを決定するために、ある時間にわたって測定されう
る。この情報は、通常は、基本周波数または駆動周波数
(例えば、電源周波数)および調波または低調波周波数
において測定される。典型的な測定回路18の例は、図
2に示されている。
Transducers 14 and 16 are coupled to electronic circuitry 18. The electronic circuit 18 operates to obtain a measurement characteristic signal from the electrical measurement.
The electronic circuit 18 sends the measurement signal to a circuit 19 for interpreting the signal and determining the state of the processing unit. In one example, the circuit 19 for interpreting the measurement characteristic is a personal computer (or other computer terminal, workstation or mainframe) or other signal recording hardware. The electronic circuit 18 may typically include electrical components such as filters, multipliers, transducers, digitizers, and other circuitry. The output of the electronic circuit 18 can be digital, analog, or a combination of both. Impedance, power, phase,
Measurement information such as RMS voltage, RMS current, peak-peak voltage, and peak-peak current may be measured over time to determine characteristics of the signal, such as waveform. This information is typically measured at the fundamental or drive frequency (eg, power supply frequency) and the harmonic or subharmonic frequencies. An example of a typical measurement circuit 18 is shown in FIG.

【0028】図2において、トランスジューサ15(こ
れは、トランスジューサ14および16の一方または双
方を表わす)は、測定回路18の入力に結合せしめられ
ている。電圧および電流入力は、フィルタ40に結合せ
しめられる。通常は、フィルタ40は、電流および電圧
信号のそれぞれに対するノッチフィルタである。このフ
ィルタは、基本周波数(例えば、13.56MHz)を
中心としている。フィルタされた電流出力42は第1電
力分割器46に結合せしめられ、一方フィルタされた電
圧出力44は第2電力分割器に結合せしめられる。電力
分割器46および48のそれぞれの1出力は、積分回路
50と、フーリェ変換(例えば、高速フーリェ変換)回
路52とに結合せしめられる。積分回路50とフーリェ
変換回路52とは、別個のプリント配線板上に形成され
ている。積分回路50とフーリェ変換回路52との出力
は、信号調整および経路指示回路54に結合せしめられ
る。信号調整および経路指示回路54は、測定の組から
の項目を表わす信号を準備する。これらの項目の例に
は、実効電圧、実効電流、電力、ピークピーク電圧、お
よびピークピーク電流が含まれる。これらの量は、さま
ざまな周波数で与えられうる。
In FIG. 2, transducer 15 (which represents one or both of transducers 14 and 16) is coupled to the input of measurement circuit 18. The voltage and current inputs are coupled to the filter 40. Filter 40 is typically a notch filter for each of the current and voltage signals. This filter is centered on the fundamental frequency (eg 13.56 MHz). Filtered current output 42 is coupled to a first power divider 46, while filtered voltage output 44 is coupled to a second power divider. One output of each of the power dividers 46 and 48 is coupled to an integrator circuit 50 and a Fourier transform (eg, fast Fourier transform) circuit 52. The integrating circuit 50 and the Fourier transform circuit 52 are formed on separate printed wiring boards. The outputs of the integrator circuit 50 and the Fourier transform circuit 52 are coupled to a signal conditioning and routing circuit 54. The signal conditioning and routing circuit 54 prepares the signals representing the items from the set of measurements. Examples of these items include RMS voltage, RMS current, power, peak-peak voltage, and peak-peak current. These quantities can be given at different frequencies.

【0029】回路18は次のように動作する。ノッチフ
ィルタ40と、電力分割器46および48とは、信号を
あらかじめ調整するために使用される。あらかじめ調整
された信号は、次の積分回路50およびフーリェ変換回
路52へ送られる。積分回路50は、電圧信号と電流信
号との積を積分することにより、トランスジューサ15
を通過する電力の測度を得る。フーリェ変換回路52
は、電圧信号と電流信号とにフーリェ変換を施すことに
より、これらの信号が有する周波数スペクトルの測度を
得る。信号調整および経路指示回路54は、ここに開示
される応用のために入力として用いられるべき信号のス
ケーリングを行いまたその選択を可能ならしめる。全て
の可能な信号がそれぞれの応用のために必要ではないの
で、選択が行なわれるのである。
The circuit 18 operates as follows. Notch filter 40 and power dividers 46 and 48 are used to precondition the signal. The preconditioned signal is sent to the next integrator circuit 50 and Fourier transform circuit 52. The integrator circuit 50 integrates the product of the voltage signal and the current signal to obtain the transducer 15
Get a measure of the power passing through. Fourier transform circuit 52
Performs a Fourier transform on the voltage signal and the current signal to obtain a measure of the frequency spectrum of these signals. The signal conditioning and routing circuit 54 provides scaling and selection of the signal to be used as an input for the applications disclosed herein. The selection is done because not all possible signals are needed for each application.

【0030】測定回路18の出力は、測定特性の解釈を
行なう回路19に結合せしめられ、この回路19は前述
のように、コンピュータまたは他の信号記録用ハードウ
ェアでありうる。コンピュータ19は信号を、制御アル
ゴリズム、診断決定、およびプロセス監視のための入力
として用いうる。
The output of the measurement circuit 18 is coupled to a circuit 19 which provides an interpretation of the measurement characteristics, which circuit 19 can be a computer or other signal recording hardware, as described above. The computer 19 can use the signals as inputs for control algorithms, diagnostic decisions, and process monitoring.

【0031】測定情報は、解釈においては別々に、また
は組合わされて、解釈されうる。換言すれば、測定情報
は単変量または多変量解析において用いられうる。例え
ば、信号はT2 技法の使用において組合わされ、また相
関せしめられうる。
The measurement information can be interpreted separately in interpretation or in combination. In other words, the measurement information can be used in univariate or multivariate analysis. For example, the signals can be combined and correlated using the T 2 technique.

【0032】周波数スペクトルの解析においては、基本
周波数、調波周波数(すなわち、基本周波数の整数
倍)、低調波周波数(すなわち、基本周波数より低い周
波数)、および他の周波数(装置における非線形性によ
り発生しうるもの)が解析されうる。これらの周波数
は、過渡状態のもの、または定常状態のものでありう
る。
In analyzing frequency spectra, fundamental frequencies, harmonic frequencies (ie, integer multiples of the fundamental frequency), subharmonic frequencies (ie, frequencies below the fundamental frequency), and other frequencies (generated by non-linearities in the device) Possible) can be analyzed. These frequencies can be transient or steady state.

【0033】測定データの解釈においては、装置全体の
特性が解析されうる。例えば、この装置は、電源20、
整合回路網22、処理チャンバ12、および製造中のウ
ェハ(図1に示されていない)を含みうる。これらの測
定された量のそれぞれの意味を決定するのは、他の回路
内の電子装置の機能である。
In interpreting the measurement data, the characteristics of the entire device can be analyzed. For example, the device may include a power source 20,
It may include the matching network 22, the processing chamber 12, and the wafer being manufactured (not shown in FIG. 1). It is the function of the electronic device in the other circuit that determines the meaning of each of these measured quantities.

【0034】本発明の方法および装置は、多くの目的の
ために用いられうる。例えば、該装置は、圧力問題、チ
ャンバ内への水蒸気の漏れ込み、電源問題、または整合
回路網問題などの診断測定の点検のために用いられう
る。本装置はまた、チャンバのクリーニングなどの、定
期的に予定された保守のためにも用いられうる。さら
に、本装置は、エッチングおよび/またはデポジション
などの処理状態の監視および/または制御のためにも用
いられうる。例えば、本方法および装置は、処理ステッ
プの一様性および終点の測定の点検に用いられうる。も
ちろん、一様性の測定を行なう時には、、出発材料の一
様性を考えなくてはならない。
The method and apparatus of the present invention can be used for many purposes. For example, the device may be used for checking diagnostic measurements such as pressure problems, water vapor leaks into the chamber, power supply problems, or matching network problems. The device can also be used for regularly scheduled maintenance, such as cleaning the chamber. Furthermore, the apparatus can also be used for monitoring and / or controlling process conditions such as etching and / or deposition. For example, the method and apparatus can be used to check process step uniformity and endpoint measurements. Of course, when making uniformity measurements, one must consider the uniformity of the starting material.

【0035】本装置はまた、処理を監視して問題が発生
した可能性を立証するためにも用いられる。意味のある
変化が生じた時には、プロセスの状態が変化したのであ
るから、問題が発生した可能性がある。この段階におい
ては、処理は停止され、診断が開始される。
The device is also used to monitor the process and to verify that a problem may have occurred. When a meaningful change occurs, a problem may have occurred because the state of the process has changed. At this stage, the process is stopped and the diagnosis is started.

【0036】ここでは主として半導体の処理に関して説
明したが、本発明は多数のプラスマの応用においても用
いられうる。半導体以外への応用の例には、医学上のデ
バイスまたは鏡をコーティングするプロセスが含まれ
る。特に、本発明は、プラズマ重合プロセスにおいて極
めて有用である。
Although primarily described herein with respect to semiconductor processing, the present invention may also be used in numerous plasma applications. Examples of non-semiconductor applications include processes for coating medical devices or mirrors. In particular, the present invention is extremely useful in plasma polymerization processes.

【0037】異なる機械は通常異なる測定特性を有する
ことに注意すべきである。すなわち、異なる電気的特性
は、異なるものを決定すると解釈しなくてはならない。
これらの測定特性は、通常は経験的に決定される。将来
においては、異なる処理装置における共通の傾向を決定
する共通法則が発見され、従って、それぞれの特定の処
理機械または機械形式に対して必要な経験的研究が少な
くてすむようになると思われる。
It should be noted that different machines usually have different measurement characteristics. That is, different electrical characteristics should be interpreted as determining different things.
These measured properties are usually determined empirically. In the future, common laws will be discovered that determine common trends in different processing units, and will therefore require less empirical research for each particular processing machine or machine type.

【0038】図3には、平行プレートに容量結合された
処理チャンバが詳細に示されている。この図に示されて
いるように、ウェハ224はチャック226上に取付け
られる。チャック226は、電気的に接地されるか、ま
たは信号の印加を受ける。第2チャツク228はウェハ
224から間隔をあけられ、装置の第2電極をなしてい
る。図示とは異なる場合には、第2電極はチャンバ壁に
よって与えられ、従って第2チャック228は不必要に
なる。第2チャック228もまた、電気的に接地される
か、または信号の印加を受けうる。(もちろん、もしプ
ラズマを発生させるのであれば、双方の電極を接地する
ことはできない。)
FIG. 3 shows in detail the processing chamber capacitively coupled to the parallel plates. As shown in this figure, wafer 224 is mounted on chuck 226. The chuck 226 is electrically grounded or receives a signal. The second chuck 228 is spaced from the wafer 224 and forms the second electrode of the device. If not shown, the second electrode is provided by the chamber wall and thus the second chuck 228 is unnecessary. The second chuck 228 may also be electrically grounded or may receive a signal. (Of course, if plasma is generated, both electrodes cannot be grounded.)

【0039】図3に示されているように、それぞれの電
極226および228は、電気的特性を測定するための
トランスジューサ216または214に接続されてい
る。前述のように、トランスジューサ214または21
6の一方だけは含まれることが必要である。図3にはま
た、任意選択的な磁石230が示されており、これはE
CR(電子サイクロトロン共鳴)モードを発生させるた
めに使用される。
As shown in FIG. 3, each electrode 226 and 228 is connected to a transducer 216 or 214 for measuring electrical properties. As described above, the transducer 214 or 21
Only one of 6 needs to be included. Also shown in FIG. 3 is an optional magnet 230, which is E
It is used to generate a CR (electron cyclotron resonance) mode.

【0040】次に、図4には、誘導結合された処理チャ
ンバが詳細に示されている。この場合には、ウェハ32
8は、トランスジューサ316に接続されたチャック3
26上に取付けられている。誘導性コイル332は、処
理用のプラズマを発生させるために備えられている。ト
ランスジューサ314は、RF電気特性を測定するため
に誘導性コイル232と直列に設置されている。
Referring now to FIG. 4, the inductively coupled processing chamber is shown in detail. In this case, the wafer 32
8 is a chuck 3 connected to the transducer 316.
Mounted on 26. The inductive coil 332 is provided to generate plasma for processing. Transducer 314 is placed in series with inductive coil 232 to measure RF electrical characteristics.

【0041】2つの特定の例を図示したが、本発明は、
電磁電力源を電極に対して印加することを含む全てのプ
ロセスに対して適用されることを認識すべきである。他
の例には、アンテナのインピーダンスの監視と、RF電
源の品質検査と、が含まれる。
Although illustrated in two specific examples, the present invention
It should be appreciated that it applies to all processes including applying an electromagnetic power source to the electrodes. Other examples include antenna impedance monitoring and RF power quality inspection.

【0042】本発明の方法および装置は、標準的な高級
真空プロセッサ(AVP)、すなわち広い電極ギャップ
による反応性イオンエッチング(RIE)モードに構成
された単一ウェハ処理装置、において実験的に試験され
た。光発射終点方式が使用されうる。電流および電圧プ
ローブは電源/整合回路網の電極接続の場所に用いら
れ、これらのプローブからの出力は、HP4195A自
動回路網解析器などの回路網解析器へ供給される。この
解析器は、離散形テーブルを用いえて、そのテーブルに
リストされている周波数の振幅および位相を測定する。
The method and apparatus of the present invention have been experimentally tested in a standard advanced vacuum processor (AVP), a single wafer processing apparatus configured in a reactive ion etching (RIE) mode with a wide electrode gap. It was A light emitting endpoint scheme may be used. Current and voltage probes are used at the electrode connection locations of the power supply / matching network, and the outputs from these probes feed a network analyzer such as the HP4195A automatic network analyzer. This analyzer uses a discrete table to measure the amplitude and phase of the frequencies listed in that table.

【0043】中心的複合設計は、以下の領域内の以下の
変数により実行された:約100mtorrと200m
torrとの間の圧力、約300Wから500Wまでの
間のRF電力、約30sccmと50sccmとの間の
CHF3 、約40sccmと80sccmとの間のCF
4 、および約10sccmと20sccmとの間の
2 。アルゴンは、約100sccmに一定に保たれ、
ヘリウムチャックの圧力は約2.5torrであった。
基板チャックの温度は、約0℃に保たれた。
The core composite design consists of the following in the following areas:
Executed by variables: about 100 mtorr and 200 m
pressure between torr, about 300W to 500W
RF power between, between about 30 sccm and 50 sccm
CHF3, CF between about 40 sccm and 80 sccm
Four, And between about 10 sccm and 20 sccm
O 2. Argon was kept constant at about 100 sccm,
The pressure of the helium chuck was about 2.5 torr.
The temperature of the substrate chuck was kept at about 0 ° C.

【0044】この場合は、実験は、(ブランケット状
の)シリコン上の酸化物上のポリシリコン上の窒化物、
(ブランケット状の)シリコン上の酸化物上の窒化物、
および(パターン形成された)シリコン上の酸化物上の
ポリシリコン上の窒化物、に対して行なわれた。ウェハ
のクリーニングは、ほぼ2ウェハの後毎に行なわれた。
In this case, the experiment was carried out by (on blanket) oxide on silicon nitride on polysilicon,
Nitride on oxide (on blanket) on silicon,
And (patterned) nitride on polysilicon on oxide on silicon. Wafer cleaning was performed approximately every 2 wafers.

【0045】後のデータ解析において参照されるべき、
ある周波数における位相は、電圧に対して測定された位
相から電流に対して測定された位相を減算したものとす
る。電力(Wi )は次のように定義される。
To be referred to in later data analysis,
The phase at a frequency is the phase measured for voltage minus the phase measured for current. The power (W i ) is defined as:

【0046】[0046]

【数1】Wi =Ii * i * cosθi ただし、Ii は周波数iにおける電流であり、Vi は周
波数iにおける電圧であり、Wi は周波数iにおる電力
であり、θi は周波数iにおける位相である。インピー
ダンス(Zi )は次のように定義される。
Where W i = I i * V i * cos θ i where I i is the current at frequency i, V i is the voltage at frequency i, W i is the power at frequency i, and θ i Is the phase at frequency i. The impedance (Z i ) is defined as follows.

【0047】[0047]

【数2】 量Ii * i およびVi /Ii もまた検査される。[Equation 2] The quantities I i * V i and V i / I i are also examined.

【0048】この特定の例においては、校正法は用いら
れなかった。振幅に対する校正は一定になるはずであ
り、従ってモデルに適合する係数に吸収される。しか
し、位相は通常、その校正がさらに困難である。位相の
校正は、測定された位相に加算される。電力およびイン
ピーダンスの方程式は位相の余弦を含むので、校正され
ない位相データの電力およびインピーダンスに対する影
響は明らかではない。
No calibration method was used in this particular example. The calibration for amplitude should be constant and is therefore absorbed by the coefficients that fit the model. However, the phase is usually more difficult to calibrate. The phase calibration is added to the measured phase. Since the power and impedance equations include the cosine of the phase, the effect of uncalibrated phase data on power and impedance is not clear.

【0049】処理数の関数としての応答の例が、基本周
波数(この場合は13.56MHz)については図5a
に、また最初の2つの調波(この場合は27MHzおよ
び40MHz)については図5bに示されている。図5
aおよび図5bにおいては、それぞれの相次ぐ対は同じ
状態で処理されており、例えば処理番号5および6は同
じ実験状態にあったことに注意すべきである。図5aお
よび図5bにおいて、チャンバの被曝後の応答の変化は
全ての被曝に対し同じではなかった。この差はポンプ停
止の時間(例えば、一晩中対1時間)と、圧力問題との
混同と、の双方による。
An example of the response as a function of the number of processes is shown in FIG. 5a for the fundamental frequency (13.56 MHz in this case).
, And for the first two harmonics (in this case 27 MHz and 40 MHz) are shown in FIG. 5b. Figure 5
It should be noted that in a and FIG. 5b, each successive pair was treated in the same state, eg treatment numbers 5 and 6 were in the same experimental state. In Figures 5a and 5b, the change in response after exposure of the chamber was not the same for all exposures. This difference is due both to the time the pump is stopped (eg, overnight vs. 1 hour) and the confusion with pressure problems.

【0050】全ての信号の応答に基づき、与えられた問
題に対していずれの信号を監視すべきかの示唆を得るこ
とができる。例えば、圧力問題は以下のものの1つまた
はそれ以上を測定することによって監視された:1)2
7MHzおよび/または40MHz(すなわち、最初の
2調波)におけるインピーダンス(またはVi
i)、2)40MHzにおける位相、および3)27
MHzおよび/または54MHzにおける位相(軽視す
る)。空気に対するチャンバの被曝は、27MHzおよ
び/または40MHzにおける位相および恐らくは40
MHzにおけるインピーダンス(またはVi /Ii )を
測定することによって監視された。
Based on the response of all signals, it is possible to get an indication of which signal should be monitored for a given problem. For example, pressure problems were monitored by measuring one or more of the following: 1) 2
Impedance (or V i / at 7 MHz and / or 40 MHz (ie first harmonic))
I i ), 2) Phase at 40 MHz, and 3) 27
Phase at MHz and / or 54 MHz (minor). The exposure of the chamber to air is dependent on the phase at 27 MHz and / or 40 MHz and possibly 40
It was monitored by measuring the impedance (or V i / I i ) at MHz.

【0051】このデータは、ブランケットウェハのみに
対するものであるが、結論は、実験の終り近くにおける
チャンバの大気に対する被曝が唯一の事故であった、パ
ターン形成されたウェハの場合にも適切なものである。
40MHzにおける信号は、27MHzにおける信号よ
りも感度が高い(すなわち、大きい変化を示す)。回路
解析器の帯域幅が狭いことによる雑音レベルのために、
高調波について結論を出すのは困難だった。しかし、ア
ナログスペクトル解析器による以前の研究は、50MH
zが変化に対して極めて高感度を有することを示唆して
いる。さらに、この以前の研究においては、プロセスの
安定化を示す「半調波」も見出された。これらの信号
は、この特定の研究においては調査されなかったが、そ
れらも診断のためには重要でありうる。
Although this data is for blanket wafers only, the conclusions are also valid for patterned wafers where exposure to the atmosphere in the chamber near the end of the experiment was the only accident. is there.
The signal at 40 MHz is more sensitive (ie, shows a larger change) than the signal at 27 MHz. Due to the noise level due to the narrow bandwidth of the circuit analyzer,
It was difficult to come to a conclusion about harmonics. However, previous work with analog spectrum analyzers has shown that 50 MH
It is suggested that z is extremely sensitive to changes. In addition, in this previous study, a "semi-harmonic" was found to indicate process stabilization. Although these signals were not investigated in this particular study, they too may be important for diagnosis.

【0052】13.56MHz(すなわち、基本周波数
または電源周波数)の信号から診断情報が得られたこと
はなかったようである。情報が高調波(または低調波)
からは得られるが、基本周波からは得られない理由は、
十分には理解できない。
It appears that diagnostic information has never been obtained from a signal at 13.56 MHz (ie the fundamental frequency or power supply frequency). Information is harmonic (or subharmonic)
Can be obtained from, but not from the fundamental frequency,
I don't understand enough.

【0053】本発明の方法および装置のもう1つの機能
は、供給電力の、電源整定点および他の処理状態に対す
る関係の、関数形を決定することである。プロセスの流
れおよび組成の小さい変化は、同一実験の反復における
誤差に起因する自然変動と比較すると、供給電力に顕著
な変化は生ぜしめない。しかし、信号に影響を及ぼす大
きい組成または流れの変化は、この実験においては誘発
されなかった。供給電力の整定点の変化に応答する変化
として定義される利得は、圧力の関数であることが示さ
れた。すなわち、圧力は、供給電力の大きさと、与えら
れた電力の整定点における利得と、の双方に影響を及ぼ
す。
Another function of the method and apparatus of the present invention is to determine the functional form of the relationship of supply power to power setpoint and other processing conditions. Small changes in process flow and composition do not result in significant changes in power supply compared to natural variations due to errors in the same experimental iteration. However, no large compositional or flow changes that affect the signal were induced in this experiment. It has been shown that the gain, defined as the change in response to a change in the setpoint of supply power, is a function of pressure. That is, the pressure affects both the magnitude of the supplied power and the gain at the set point of the given power.

【0054】本発明はまた、RF信号を用いてエッチン
グ速度を設計するためにも用いられる。信号がエッチン
グ速度を示すものであるか否かを決定するために、エッ
チング速度をそのRF信号の関数として設計する試みが
なされた。重要な変数を選択して設計に取込むために段
階的回帰が用いられ、いくつかの「適合度」検定が行な
われた。基本周波数(13.56MHz)からのデータ
のみを用いて、評価の標準的誤差9%を有する、0.8
0の調製済みR2 が、エッチング速度の適合値として得
られた。同一実験の繰返しによると、評価の標準誤差の
理論的限界は6%となった。調波測定を設計に取入れる
と、適合度はわずかだけ増した。
The present invention can also be used to design etch rates using RF signals. Attempts have been made to design the etch rate as a function of its RF signal in order to determine if the signal is indicative of the etch rate. Stepwise regression was used to select key variables and incorporate them into the design, and some "goodness of fit" tests were performed. 0.8% with a standard error of evaluation of 9%, using only data from the fundamental frequency (13.56 MHz)
A prepared R 2 of 0 was obtained as a match for the etch rate. By repeating the same experiment, the theoretical limit of the standard error of evaluation was 6%. Incorporating harmonic measurements into the design increased the fit slightly.

【0055】結論として、特定の1組の実験において、
窒化物エッチャーを用いる実験に関しRF信号が収集さ
れた。この研究の結果は、RF信号の監視および恐らく
は制御の有用性を評価するために用いられうる。電極へ
供給されるRF電力は、電力および圧力の関数であるこ
とがわかった。従って、もし電源または圧力制御器のい
ずれかが問題を生じれば、問題の発生源を診断すること
は困難である。さらに、圧力および電力は、電源の整定
点を用いてRSM(応答曲面法)を行なう時に混同され
る。エッチング速度は、電力ではなく、電流および電圧
の関数であることがわかっているので、電圧および電圧
を特定の値に保持するための制御装置の実現が正当と思
われる。
In conclusion, in a particular set of experiments,
RF signals were collected for experiments using the nitride etcher. The results of this study can be used to assess the utility of RF signal monitoring and possibly control. The RF power delivered to the electrodes has been found to be a function of power and pressure. Therefore, if either the power supply or the pressure controller causes a problem, it is difficult to diagnose the source of the problem. Furthermore, pressure and power are confused when performing RSM (Response Surface Method) using the power source settling point. Since the etch rate is known to be a function of current and voltage, rather than power, the implementation of a controller for holding voltage and voltage at specific values seems justified.

【0056】位相およびインピーダンスは、この実験に
おいて生じる処理状態の変化には応答せず、機械の状態
の変化のみに応答することもわかった。解析によると、
チャンバ壁/電極が、測定される位相およびインピーダ
ンスを決定する主要因子であるように思われる。この観
察は、位相および/またはインピーダンスが、重合体の
付着または水蒸気の吸着などの、チャンバ状態の変化の
指示手段であることを示唆する。もしそうであれば、ポ
ンプの停止時間またはクリーニングステップの時間のた
めの制御ループが実現されえよう。
It has also been found that the phase and impedance do not respond to changes in the processing conditions that occur in this experiment, only to changes in the mechanical conditions. According to the analysis,
The chamber walls / electrodes appear to be the major factors determining the measured phase and impedance. This observation suggests that phase and / or impedance are indicators of changes in chamber conditions, such as polymer deposition or water vapor adsorption. If so, a control loop for pump down time or cleaning step time could be realized.

【0057】以上においては、本発明を実施例に関して
説明してきたが、この説明は限定的な意味に解釈される
べきものではない。本技術分野に習熟した者にとって
は、この説明を参照すれば、実施例のさまざまな改変お
よび組合せ、および本発明の他の実施例が明らかになる
はずである。従って、特許請求の範囲には、いかなるそ
のような改変または実施例も含まれるよう考慮されてい
る。
Although the present invention has been described above with reference to examples, this description should not be construed in a limiting sense. Various modifications and combinations of the embodiments, and other embodiments of the present invention should be apparent to those skilled in the art with reference to this description. Accordingly, the claims are intended to cover any such modifications or examples.

【0058】以上の説明に関して更に以下の項を開示す
る。 (1) 電磁電力源および処理チャンバを含む処理装置
を設置するステップと、電磁エネルギーを基本周波数で
該処理装置に印加するステップと、該電磁エネルギーの
少なくとも1つの電気的特性を監視することにより測定
特性を得るステップであって、前記電力が前記基本周波
数で印加され、前記電気的特性が該基本周波数と異なる
少なくとも1つの関連周波数において監視されるように
なっている、前記電気的特性の監視ステップと、前記測
定特性を解釈することにより前記処理装置の状態を決定
するステップと、を含む、処理装置の状態の決定方法。
With respect to the above description, the following items will be further disclosed. (1) Measured by installing a processing apparatus including an electromagnetic power source and a processing chamber, applying electromagnetic energy to the processing apparatus at a fundamental frequency, and monitoring at least one electrical characteristic of the electromagnetic energy. A step of obtaining a characteristic, wherein the power is applied at the fundamental frequency and the electrical characteristic is monitored at at least one associated frequency different from the fundamental frequency. And a step of determining the state of the processing device by interpreting the measurement characteristic, the method of determining the state of the processing device.

【0059】(2) 前記測定特性が前記処理チャンバ
内の不純物についての情報を示す、第1項記載の方法。 (3) 前記測定特性が前記処理チャンバ内の圧力また
はその制御についての情報を示す、第1項記載の方法。
(2) The method of claim 1, wherein the measured characteristic indicates information about impurities in the processing chamber. (3) The method of claim 1, wherein the measured characteristic indicates information about the pressure in the processing chamber or its control.

【0060】(4) 前記処理チャンバ内に、第1材料
を上部に形成されたウェハを配設するステップと、該第
1材料をエッチングするステップであって、前記測定特
性が該第1材料のエッチング速度または一様性について
の情報を示す、前記第1材料のエッチングステップと、
をさらに含む、第1項記載の方法。
(4) A step of disposing a wafer having a first material formed thereon in the processing chamber, and a step of etching the first material, wherein the measurement characteristic is that of the first material. An etching step of the first material showing information about etching rate or uniformity,
The method of claim 1, further comprising:

【0061】(5) 前記処理チャンバ内にウェハを配
設するステップと、該ウェハの表面上に第1材料をデポ
ジットするステップであって、前記測定特性が該第1材
料のデポジション速度または一様性についての情報を示
す、該第1材料のデポジションステップと、をさらに含
む、第1項記載の方法。
(5) disposing a wafer in the processing chamber and depositing a first material on the surface of the wafer, wherein the measured characteristic is a deposition rate or a first deposition rate of the first material. The method of claim 1, further comprising the step of depositing the first material, the step of indicating information about characteristics.

【0062】(6) 前記情報が終点情報を含む、第5
項記載の方法。 (7) 前記処理チャンバをクリーニングするステップ
であって、該クリーニングが少なくとも部分的に前記測
定特性に基づいて制御される、前記処理チャンバのクリ
ーニングステップをさらに含む、第1項記載の方法。
(6) Fifth, wherein the information includes end point information
Method described in section. (7) The method of claim 1, further comprising cleaning the processing chamber, the cleaning being controlled at least in part based on the measured characteristic.

【0063】(8) 前記クリーニングステップが、前
記処理チャンバを実質的に真空状態にしておくステップ
を含む、第7項記載の方法。 (9) 前記処理チャンバがプラズマ処理チャンバから
構成される、第1項記載の方法。
(8) A method according to claim 7, wherein the cleaning step includes a step of keeping the processing chamber in a substantially vacuum state. (9) The method of claim 1, wherein the processing chamber comprises a plasma processing chamber.

【0064】(10) 前記電気的特性が、電力、位
相、実効電圧、実効電流、ピークピーク電圧、ピークピ
ーク電流、およびインピーダンスから成るグループから
選択される、第1項記載の方法。 (11) 前記少なくとも1つの電気的特性を前記基本
周波数において監視するステップをさらに含む、第1項
記載の方法。
(10) The method according to claim 1, wherein the electrical characteristic is selected from the group consisting of power, phase, effective voltage, effective current, peak peak voltage, peak peak current, and impedance. (11) The method of claim 1, further comprising the step of monitoring the at least one electrical characteristic at the fundamental frequency.

【0065】(12) 前記測定特性が信号の組合せを
監視することによって得られる、第1項記載の方法。 (13) 前記信号の組合せが、単一周波数において測
定される、第12項記載の方法。
(12) The method according to item 1, wherein the measurement characteristic is obtained by monitoring a combination of signals. (13) A method according to item 12, wherein the combination of signals is measured at a single frequency.

【0066】(14) 処理装置であって、処理工具
と、該処理工具に結合せしめられ該処理工具に対して電
磁エネルギーを基本周波数で印加する電磁エネルギー源
と、該電磁エネルギーを、前記基本周波数と異なる関連
周波数において監視することにより、測定特性を得る回
路と、前記処理工具と、前記電磁エネルギーの前記監視
回路との間に結合せしめられたトランスジューサと、前
記測定特性を解釈することにより前記処理装置の状態を
決定する回路と、を含む処理装置。
(14) A processing apparatus comprising a processing tool, an electromagnetic energy source coupled to the processing tool for applying electromagnetic energy to the processing tool at a fundamental frequency, and the electromagnetic energy at the fundamental frequency. A circuit for obtaining a measurement characteristic by monitoring at a different associated frequency, a transducer coupled between the processing tool and the monitoring circuit for the electromagnetic energy, and the processing by interpreting the measurement characteristic. A processing unit that includes a circuit that determines a state of the device.

【0067】(15) 前記処理工具が容量結合された
プラズマ処理チャンバを含む、第14項記載の装置。 (16) 前記処理工具が誘導結合されたプラズマ処理
チャンバを含む、第14項記載の装置。
(15) The apparatus of claim 14 wherein the processing tool comprises a capacitively coupled plasma processing chamber. (16) The apparatus of claim 14, wherein the processing tool comprises an inductively coupled plasma processing chamber.

【0068】(17) 前記電磁エネルギー源と、前記
処理工具との間に結合せしめられた整合回路網をさらに
含む、第14項記載の装置。 (18) 前記電気的特性が、電力、位相、実効電圧、
実効電流、ピークピーク電圧、ピークピーク電流、およ
びインピーダンスから成るグループから選択される、第
14項記載の装置。
(17) The apparatus of claim 14 further including a matching network coupled between the source of electromagnetic energy and the processing tool. (18) The electrical characteristics include power, phase, effective voltage,
15. The apparatus of claim 14, selected from the group consisting of RMS current, peak peak voltage, peak peak current, and impedance.

【0069】(19) 前記関連周波数が、前記基本周
波数の有理数倍である、第14項記載の装置。 (20) 前記関連周波数が前記基本周波数より低い、
第19項記載の装置。 (21) 前記トランスジューサが前記処理工具と基準
電位との間に結合せしめられている、第14項記載の装
置。
(19) The apparatus according to item 14, wherein the related frequency is a rational multiple of the fundamental frequency. (20) The related frequency is lower than the fundamental frequency,
The apparatus according to claim 19. (21) The apparatus according to Item 14, wherein the transducer is coupled between the processing tool and a reference potential.

【0070】(22) 前記トランスジューサが前記処
理工具と前記電磁エネルギー源との間に結合せしめられ
ている、第14項記載の装置。 (23) 前記処理工具と基準電位との間に結合せしめ
られた第2トランスジューサをさらに含む、第22項記
載の装置。
(22) The apparatus of claim 14 wherein said transducer is coupled between said processing tool and said source of electromagnetic energy. (23) The apparatus of claim 22, further comprising a second transducer coupled between the processing tool and a reference potential.

【0071】(24) 処理装置の機械の状態を制御す
る方法であって、電磁電力源および処理チャンバを含む
処理装置を設置するステップと、電磁エネルギーを基本
周波数で該処理装置に印加するステップと、該電磁エネ
ルギーの少なくとも1つの電気的特性を、前記基本周波
数と異なる関連周波数において監視することにより測定
特性を得るステップであって、該測定特性が機械の状態
についての情報を与えるようになっている、前記電気的
特性の監視ステップと、前記測定特性を解釈することに
より前記機械の状態についての前記情報を決定するステ
ップと、前記機械の状態に影響を及ぼすステップであっ
て、該影響を及ぼすステップが少なくとも部分的に前記
情報に基づいて制御されるようになっている、前記機械
の状態に影響を及ぼすステップと、を含む、処理装置の
機械の状態を制御する方法。
(24) A method of controlling the mechanical state of a processing apparatus, comprising the steps of installing a processing apparatus including an electromagnetic power source and a processing chamber, and applying electromagnetic energy to the processing apparatus at a fundamental frequency. Obtaining a measurement characteristic by monitoring at least one electrical characteristic of the electromagnetic energy at an associated frequency different from the fundamental frequency, the measurement characteristic providing information about the state of the machine. Monitoring the electrical characteristics, determining the information about the condition of the machine by interpreting the measured characteristics, and influencing the condition of the machine. Influencing the condition of the machine, wherein steps are controlled at least in part on the basis of said information And a step of controlling the machine state of the processing apparatus.

【0072】(25) 前記機械の状態が前記処理チャ
ンバの壁上の汚染を含み、前記影響を及ぼすステップが
該壁をクリーニングするステップを含む、第24項記載
の方法。 (26) 前記壁の前記クリーニングステップが、前記
処理チャンバを低圧に保持するステップを含む、第25
項記載の方法。
(25) The method of claim 24, wherein the machine condition comprises contamination on a wall of the processing chamber and the influencing step comprises cleaning the wall. (26) In the twenty-fifth aspect, the step of cleaning the wall includes a step of maintaining the processing chamber at a low pressure.
Method described in section.

【0073】(27) 前記機械の状態が前記処理チャ
ンバ内の圧力を含み、前記影響を及ぼすステップが該圧
力を変化させるステップを含む、第24項記載の方法。 (28) 前記変化させるステップが、前記圧力を低下
させるステップを含む、第27項記載の方法。
(27) The method of claim 24, wherein the condition of the machine comprises the pressure in the processing chamber and the influencing step comprises the step of varying the pressure. (28) A method according to item 27, wherein the changing step includes a step of reducing the pressure.

【0074】(29) 電磁電力源および処理チャンバ
を含む処理装置を設置するステップと、製造されるべき
デバイスを該処理チャンバ内に配設するステップと、電
磁エネルギーを基本周波数で前記処理装置に印加するス
テップと、前記デバイスに対し処理ステップを行なうス
テップと、前記電磁エネルギーの少なくとも1つの電気
的特性を前記基本周波数において監視することにより測
定特性を得るステップであって、該測定特性が前記製造
されるべきデバイスについての情報を与えるようになっ
ている、前記電気的特性の監視ステップと、前記測定特
性を解釈することにより前記デバイスについての前記情
報を決定するステップと、前記処理ステップを制御する
ステップであって、該制御ステップが少なくとも部分的
に前記情報に基づいている、前記処理ステップの該制御
ステップと、を含む、デバイスの製造方法。
(29) Installing a processing apparatus including an electromagnetic power source and a processing chamber, arranging a device to be manufactured in the processing chamber, and applying electromagnetic energy to the processing apparatus at a fundamental frequency. A step of performing a processing step on the device, and obtaining a measurement characteristic by monitoring at least one electrical characteristic of the electromagnetic energy at the fundamental frequency, the measurement characteristic being manufactured. Monitoring the electrical properties, providing information about the device to be determined, determining the information about the device by interpreting the measured properties, and controlling the processing steps And said controlling step is based at least in part on said information. And a control step of the processing step.

【0075】(30) 前記処理ステップを行なうステ
ップが、前記デバイス上に材料をデポジットするステッ
プを含む、第29項記載の方法。 (31) 前記情報がデポジションの一様性の情報を含
む、第30項記載の方法。
(30) A method according to claim 29, wherein performing the processing steps comprises depositing material on the device. (31) The method according to Item 30, wherein the information includes deposition uniformity information.

【0076】(32) 前記情報がデポジション速度お
よび終点の情報を含む、第30項記載の方法。 (33) 前記処理ステップを行なうステップが、前記
デバイスから材料をエッチングするステップを含む、第
29項記載の方法。 (34) 前記情報がエッチングの一様性の情報を含
む、第30項記載の方法。
(32) A method according to item 30, wherein the information includes information on a deposition speed and an end point. 33. The method of claim 29, wherein performing the processing step comprises etching material from the device. (34) A method according to item 30, wherein the information includes etching uniformity information.

【0077】(35) 半導体ウェハ24を製造するた
めのプラズマ処理装置10が開示される。この装置は、
プラズマ処理工具12と、プラズマ処理工具12に結合
せしめられたRFエネルギー源20と、を含む。RFエ
ネルギー源20と、プラズマ処理工具12との間には、
任意選択的な整合回路網22が含まれうる。RFエネル
ギーを監視して測定特性を得るための回路18もまた備
えられる。少なくとも1つのトランスジューサ14また
は16が、プラズマ処理工具12と、RFエネルギーを
監視するための回路18と、の間に結合せしめられる。
RFエネルギーは通常基本周波数で印加され、基本周波
数と異なる第2周波数において電気的特性が監視され
る。さらに、測定特性を解釈することにより処理装置1
0の状態を決定するためのコンピュータなどの回路19
も含まれている。他の装置および方法もまた開示されて
いる。
(35) The plasma processing apparatus 10 for manufacturing the semiconductor wafer 24 is disclosed. This device
A plasma processing tool 12 and an RF energy source 20 coupled to the plasma processing tool 12 are included. Between the RF energy source 20 and the plasma processing tool 12,
Optional matching network 22 may be included. A circuit 18 for monitoring the RF energy and obtaining the measurement characteristic is also provided. At least one transducer 14 or 16 is coupled between the plasma processing tool 12 and a circuit 18 for monitoring RF energy.
RF energy is usually applied at the fundamental frequency and the electrical properties are monitored at a second frequency that is different from the fundamental frequency. Furthermore, by interpreting the measurement characteristics, the processing device 1
A circuit 19 such as a computer for determining the state of 0
Is also included. Other devices and methods are also disclosed.

【0078】本発明は、米国空軍の裁定による契約第F
33615−88−C−5448号の下に米国政府の支
援を受けて行なわれた。米国政府は、本発明に関してあ
る権利を有する。
This invention is part of Contract No. F under US Air Force Arbitration.
It was conducted under US Government support under 33615-88-C-5448. The US Government has certain rights in this invention.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の処理装置の簡単化されたブロック図。FIG. 1 is a simplified block diagram of a processing apparatus of the present invention.

【図2】電磁エネルギー信号を監視するための典型的回
路のブロック図。
FIG. 2 is a block diagram of an exemplary circuit for monitoring electromagnetic energy signals.

【図3】容量結合された処理装置の例を示す。FIG. 3 shows an example of a capacitively coupled processing device.

【図4】誘導結合された処理装置の例を示す。FIG. 4 shows an example of an inductively coupled processing device.

【図5】aは、ブランケットウェハの処理数の関数とし
ての、27MHzおよび40MHzにおける、実験結果
の位相の余弦のグラフであり、bは、aにおけると同じ
ウェハに対しての、13.56MHzにおける実験結果
の位相の余弦のグラフである。
FIG. 5a is a graph of experimental phase cosine at 27 MHz and 40 MHz as a function of blanket wafer throughput, and b is at 13.56 MHz for the same wafer as in a. It is a graph of the cosine of the phase of the experimental result.

【符号の説明】[Explanation of symbols]

10 処理装置 12 処理チャンバ 14 電圧および電流トランスジューサ 16 電圧および電流トランスジューサ 18 電子装置 19 パーソナルコンピュータ 20 RF電源 10 Processing Device 12 Processing Chamber 14 Voltage and Current Transducer 16 Voltage and Current Transducer 18 Electronic Device 19 Personal Computer 20 RF Power Supply

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 電磁電力源および処理チャンバを含む処
理装置を設置するステップと、 電磁エネルギーを基本周波数で前記処理装置に印加する
ステップと、 前記電磁エネルギーの少なくとも1つの電気的特性を監
視することにより測定特性を得るステップであって、前
記電力が前記基本周波数で印加され、前記電気的特性が
前記基本周波数と異なる少なくとも1つの関連周波数に
おいて監視されるようになっている、前記電気的特性の
監視ステップと、 前記測定特性を解釈することにより前記処理装置の状態
を決定するステップと、を含む、処理装置の状態の決定
方法。
1. A step of installing a processing apparatus including an electromagnetic power source and a processing chamber, a step of applying electromagnetic energy to the processing apparatus at a fundamental frequency, and monitoring at least one electrical characteristic of the electromagnetic energy. Of the electrical characteristic, wherein the electrical power is applied at the fundamental frequency and the electrical characteristic is monitored at at least one associated frequency different from the fundamental frequency. A method of determining the state of a processing device, comprising: a monitoring step; and a step of determining the state of the processing device by interpreting the measurement characteristic.
【請求項2】 処理装置であって、 処理工具と、 前記処理工具に結合せしめられ前記処理工具に対して電
磁エネルギーを基本周波数で印加する電磁エネルギー源
と、 前記電磁エネルギーを、前記基本周波数と異なる関連周
波数において監視することにより、測定特性を得る回路
と、 前記処理工具と、前記電磁エネルギーの前記監視回路と
の間に結合せしめられたトランスジューサと、 前記測定特性を解釈することにより前記処理装置の状態
を決定する回路と、を含む処理装置。
2. A processing apparatus comprising: a processing tool; an electromagnetic energy source coupled to the processing tool to apply electromagnetic energy to the processing tool at a fundamental frequency; and the electromagnetic energy to the fundamental frequency. A circuit for obtaining a measurement characteristic by monitoring at different relevant frequencies; a transducer coupled between the processing tool and the monitoring circuit for the electromagnetic energy; and the processing device by interpreting the measurement characteristic. A circuit for determining the state of the processor.
JP8758693A 1993-04-14 1993-04-14 Method and device for discriminating state of process Pending JPH07258853A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8758693A JPH07258853A (en) 1993-04-14 1993-04-14 Method and device for discriminating state of process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8758693A JPH07258853A (en) 1993-04-14 1993-04-14 Method and device for discriminating state of process

Publications (1)

Publication Number Publication Date
JPH07258853A true JPH07258853A (en) 1995-10-09

Family

ID=13919114

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8758693A Pending JPH07258853A (en) 1993-04-14 1993-04-14 Method and device for discriminating state of process

Country Status (1)

Country Link
JP (1) JPH07258853A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002018274A (en) * 2000-07-04 2002-01-22 Tokyo Electron Ltd Method for operating treatment apparatus and method for detecting abnormality of treatment apparatus
JP2003229362A (en) * 2001-10-09 2003-08-15 Applied Materials Inc Method and device for manufacturing semiconductor device
JP2006054148A (en) * 2004-08-16 2006-02-23 Hitachi High-Technologies Corp Plasma treatment device
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
JP2011233924A (en) * 2000-03-31 2011-11-17 Lam Research Corporation Device and method for actively controlling rf peak-to-peak voltage of inductively coupled plasma etching apparatus
JP2014135305A (en) * 2013-01-08 2014-07-24 Hitachi High-Technologies Corp Plasma processing apparatus

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011233924A (en) * 2000-03-31 2011-11-17 Lam Research Corporation Device and method for actively controlling rf peak-to-peak voltage of inductively coupled plasma etching apparatus
JP2002018274A (en) * 2000-07-04 2002-01-22 Tokyo Electron Ltd Method for operating treatment apparatus and method for detecting abnormality of treatment apparatus
JP4610021B2 (en) * 2000-07-04 2011-01-12 東京エレクトロン株式会社 Processing device operating method and processing device abnormality detection method
JP2003229362A (en) * 2001-10-09 2003-08-15 Applied Materials Inc Method and device for manufacturing semiconductor device
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
JP2006054148A (en) * 2004-08-16 2006-02-23 Hitachi High-Technologies Corp Plasma treatment device
JP2014135305A (en) * 2013-01-08 2014-07-24 Hitachi High-Technologies Corp Plasma processing apparatus

Similar Documents

Publication Publication Date Title
US5458732A (en) Method and system for identifying process conditions
KR100560886B1 (en) System and method for monitoring and controlling gas plasma processes
US6656848B1 (en) Plasma chamber conditioning
US5474648A (en) Uniform and repeatable plasma processing
KR100499229B1 (en) Plasma processing apparatus for processing semiconductor wafer using plasma
US6441620B1 (en) Method for fault identification in a plasma process
JP5246836B2 (en) Process performance inspection method and apparatus for plasma processing apparatus
US20050236364A1 (en) Etching system and etching method
US8237928B2 (en) Method and apparatus for identifying the chemical composition of a gas
US20030032207A1 (en) Method and apparatus for process monitoring
JPH10125660A (en) Plasma processor, process monitoring method and fabrication of semiconductor device
JPH0594898A (en) Plasma processor
WO2005098091A2 (en) A method of plasma etch endpoint detection using a v-i probe diagnostics
US6914207B2 (en) Plasma processing method
KR101089951B1 (en) Plasma processing apparatus
JPH07258853A (en) Method and device for discriminating state of process
US7312865B2 (en) Method for in situ monitoring of chamber peeling
DE4443608C1 (en) Plasma reactor for integrated circuit fabrication
US6855209B2 (en) Plasma chamber cleaning
JP2004079929A (en) Plasma leak monitoring method, and method and device for plasma treatment
JPH08227875A (en) Plasma state detecting method and device, plasma controlling method and device, and etching end point detecting method and device
US6939433B2 (en) Sample processing apparatus and sample processing system
JP2003045846A (en) Monitoring and controlling method of semiconductor- manufacturing apparatus
EP4020520A1 (en) Apparatus for sensing rf signals from rf plasma processing equipment
KR100879325B1 (en) Plasma processing method and apparatus