JPH0521378A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH0521378A
JPH0521378A JP3202931A JP20293191A JPH0521378A JP H0521378 A JPH0521378 A JP H0521378A JP 3202931 A JP3202931 A JP 3202931A JP 20293191 A JP20293191 A JP 20293191A JP H0521378 A JPH0521378 A JP H0521378A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
manufacturing
silicon film
amorphous silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP3202931A
Other languages
Japanese (ja)
Other versions
JP3193402B2 (en
Inventor
Takashi Kobayashi
小林  孝
Shinpei Iijima
晋平 飯島
Atsushi Hiraiwa
篤 平岩
Nobuyoshi Kobayashi
伸好 小林
Mitsuo Nanba
光夫 難波
Koji Hashimoto
孝司 橋本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP20293191A priority Critical patent/JP3193402B2/en
Publication of JPH0521378A publication Critical patent/JPH0521378A/en
Application granted granted Critical
Publication of JP3193402B2 publication Critical patent/JP3193402B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

PURPOSE:To provide a method of manufacturing a silicon film including boron which ensures excellent step-portion covering characteristic and is just suitable for manufacturing process under a low temperature condition. CONSTITUTION:An amorphous Si film is deposited by the pressure-reduced CVD method under a low temperature of 200 or higher and 400 deg.C or lower using any one of disilane (Si2H6) or trisilane (Si3H6) and dibolane (B2H6). Thereby, a Si film including boron which ensures excellent step-portion covering characteristic can be formed. Using the obtained Si film as a diffusion source, extremely shallow junction may be formed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に、段差被覆性がすぐれた電極や配線を、低温
度で形成することのできる半導体装置の製造方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of manufacturing a semiconductor device capable of forming electrodes and wirings having excellent step coverage at a low temperature.

【0002】[0002]

【従来の技術】モノシラン(SiH4)の熱分解を用い
た減圧化学気相成長法(low pressurechemical vapor d
eposition;LPCVDと略記)により形成された多結
晶シリコン(Si)膜は、半導体装置の電極や配線に広
く利用されている。しかし、LPCVD法により形成し
た多結晶Si膜は、抵抗が極めて高いため、その後の工
程で不純物を周知の熱拡散法やイオン打込み法によりド
−プして抵抗を下げ導電性を得ている。
2. Description of the Related Art Low pressure chemical vapor deposition method using pyrolysis of monosilane (SiH 4 )
A polycrystalline silicon (Si) film formed by eposition; abbreviated as LPCVD) is widely used for electrodes and wiring of semiconductor devices. However, since the polycrystalline Si film formed by the LPCVD method has extremely high resistance, impurities are doped by a well-known thermal diffusion method or ion implantation method in the subsequent steps to lower the resistance and obtain conductivity.

【0003】バイポーラトランジスタの製造においては
Si基板上に形成された絶縁膜に、Si基板にまで達す
る開口を形成した後、多結晶Si膜を堆積し、これにイ
オン打込み法により不純物をドープした後、熱処理によ
り多結晶Si膜中の不純物をSi基板へ拡散してエミッ
タを形成している。この種の方法として関連するものに
は、例えばブイエルエスアイ テクノロジ− セカンド
エデイション エスエム シ−編集(マグロウヒル、
1988年)499頁から507頁( VLSITechnology,
S.M.Sze ed. (McGraw-Hill, 1988) pp499-507)におい
て論じられている。
In the manufacture of a bipolar transistor, after forming an opening reaching the Si substrate in an insulating film formed on the Si substrate, a polycrystalline Si film is deposited, and impurities are doped by the ion implantation method. By heat treatment, impurities in the polycrystalline Si film are diffused into the Si substrate to form an emitter. Related to this type of method are, for example, BLU S Technology-Second Edition SMC-Edit (McGraw Hill,
1988) 499 to 507 (VLSI Technology,
SMSze ed. (McGraw-Hill, 1988) pp499-507).

【0004】[0004]

【発明が解決しようとする課題】しかし、ボロンイオン
または二フッ化ホウ素(BF2)イオンを多結晶Si膜
に打込んでpnpバイポーラトランジスタのエミッタ形
成した場合、多結晶Si膜中のボロンを活性化するため
に900℃以上の高温熱処理が必要となり、拡散係数の
大きなボロンは、長い距離を拡散してしまって、浅いベ
ース・エミッタ接合の形成が困難であった。その結果、
pnpトランジスタの高速化が実現出来ないという問題
が生じていた。
However, when boron ions or boron difluoride (BF 2 ) ions are implanted into a polycrystalline Si film to form an emitter of a pnp bipolar transistor, boron in the polycrystalline Si film is activated. In order to achieve this, a high temperature heat treatment of 900 ° C. or higher is required, and boron having a large diffusion coefficient diffuses over a long distance, making it difficult to form a shallow base-emitter junction. as a result,
There has been a problem that the speedup of the pnp transistor cannot be realized.

【0005】また、LSIの微細化にともなって、エミ
ッタを形成するための開口部のアスペクト比が大きくな
り、このような急峻な側壁を有する開口部内に形成され
た多結晶Si膜に、イオン打込みを行なった場合には、
多結晶Si膜中にボロンが不足する部分が生じ、電極や
配線の抵抗が高くなるという問題が生じていた。
Along with the miniaturization of LSI, the aspect ratio of the opening for forming the emitter increases, and the polycrystalline Si film formed in the opening having such a steep side wall is ion-implanted. If you do
There has been a problem that a portion of the polycrystalline Si film lacking boron is generated, and the resistance of electrodes and wirings becomes high.

【0006】一方、熱拡散法によって不純物をドープす
る場合は、高温,長時間の熱拡散を行えば、急峻な段差
側壁部へも不純物をドープすることが可能である。しか
し、上記イオン打込み法を用いた場合と同様に、エミッ
タのように、多結晶Si膜がSi基板と接している場所
では、Si基板内をボロンが長距離拡散し、浅い接合の
形成は困難である。
On the other hand, in the case of doping impurities by the thermal diffusion method, it is possible to dope impurities into the steep step sidewalls by performing thermal diffusion at high temperature for a long time. However, as in the case of using the above-mentioned ion implantation method, at a place where the polycrystalline Si film is in contact with the Si substrate like the emitter, boron is diffused for a long distance in the Si substrate, and it is difficult to form a shallow junction. Is.

【0007】上記問題点の一つである、開口部の側壁部
上に形成された多結晶Si膜へボロンをドープする方法
として、ジシラン(Si26)とジボラン(B26)を
原料ガスに用い、520℃から665℃の温度範囲で、
ボロンをドーピングしながらSi膜を堆積する方法も提
案されている(ジャ−ナル オブ エレクトロケミカル
ソサイテイ:ソリッドステイト サイアンス アンド
テクノロジ−、第133巻、第8号、第1721−1
724頁、1986年8月;J.Electroche
m.Soc.SOLID−STATE SCIENCE
ANDTECHNOLOGY,Vol.133,N
o.8,pp1721−1724,August 19
86)。
Disilane (Si 2 H 6 ) and diborane (B 2 H 6 ) are used as a method of doping boron into the polycrystalline Si film formed on the side wall of the opening, which is one of the above problems. Used as a raw material gas, in the temperature range of 520 ° C to 665 ° C,
A method of depositing a Si film while doping with boron has also been proposed (Journal of Electrochemical Society: Solid State Science and Technology, Vol. 133, No. 8, 1721-1).
724, August 1986; Electroche
m. Soc. SOLID-STATE SCIENCE
ANDTECHNOLOGY, Vol. 133, N
o. 8, pp1721-1724, August 19
86).

【0008】しかし、本発明者の検討によると、Si2
6を原料ガスに用いてこのような温度範囲で堆積した
Si膜は、段差被覆性が劣り、深い溝内に堆積した場
合、溝の側壁部の膜厚が上面に比べて著しく薄くなると
いった問題が生じた。また、上記400〜600℃の温
度範囲では、膜の堆積反応が非常に激しく、膜厚などの
制御が難しいことも明らかとなった。
However, according to the study by the present inventor, Si 2
A Si film deposited using H 6 as a source gas in such a temperature range has poor step coverage, and when deposited in a deep groove, the film thickness on the side wall of the groove becomes significantly smaller than that on the upper surface. There was a problem. Further, it has been revealed that in the temperature range of 400 to 600 ° C., the deposition reaction of the film is extremely strong and it is difficult to control the film thickness and the like.

【0009】本発明の目的は、上記従来の問題を解決
し、段差被覆性がすぐれ、深い溝やアスペクト比の大き
な開口内を完全に埋込むことができ、良好な電極や配線
を容易に形成できる、半導体装置の製造方法を提供する
ことである。
The object of the present invention is to solve the above-mentioned problems of the prior art, to have excellent step coverage, to be able to completely fill deep trenches and openings with large aspect ratios, and to easily form good electrodes and wirings. A method of manufacturing a semiconductor device is provided.

【0010】本発明の他の目的は、極めて浅い接合を容
易に形成することのできる半導体装置の製造方法を提供
することである。
Another object of the present invention is to provide a method of manufacturing a semiconductor device which can easily form an extremely shallow junction.

【0011】[0011]

【課題を解決するための手段】上記目的を達成するた
め、本発明は、少なくともジシラン(Si26)もしく
はトリシラン(Si36)のいずれか一方とジボラン
(B26)を含む混合ガスを原料としたLPCVD、す
なわち、1気圧により低いガス圧力で行なわれるCVD
法により、200℃より高く400℃より低い温度範囲
でボロンを含有した非晶質Si膜を形成するものであ
る。
In order to achieve the above object, the present invention contains at least either disilane (Si 2 H 6 ) or trisilane (Si 3 H 6 ) and diborane (B 2 H 6 ). LPCVD using mixed gas as a raw material, that is, CVD performed at a gas pressure lower than 1 atm
By the method, an amorphous Si film containing boron is formed in a temperature range higher than 200 ° C. and lower than 400 ° C.

【0012】[0012]

【作用】このようにして形成されたボロンを含む非晶質
Si膜は、段差被覆性に優れ、深い溝内でも完全に埋込
むことができる。
The amorphous Si film containing boron thus formed has excellent step coverage and can be completely buried even in a deep groove.

【0013】これは以下の理由によるものと推測され
る。すなわち、Si26は、約400℃より高い温度で
It is assumed that this is due to the following reasons. That is, Si 2 H 6 is above 400 ° C

【0014】[0014]

【化1】 Si26(g)──→SiH2(g)+SiH4(g) ………(1) なる反応により気相中で分解し、SiH2(シリレン)
を生じる。SiH2は反応性が極めて高いため、分解後
直ちに基板と反応してSi膜が堆積される。その結果、
膜の堆積反応はSiH2の供給により律速される。深い
段差の側壁や溝内では平坦部に比べてSiH2の供給が
不足し、段差被覆性が劣化する。不活性ガスやn型ドー
パントを生じるようなドーピングガス(例えばフォスフ
ィン(PH3)やアルシン(AsH3)等)はSiH2
比べ基板への付着確率が小さく、また、式(1)の反応
に影響を及ぼさない。従って、これらガスをSi26
同時に反応容器内に導入してもSi膜の堆積速度に殆ど
変化はなく、段差被覆性も劣る。
Embedded image Si 2 H 6 (g) ---> SiH 2 (g) + SiH 4 (g) ... (1) Decomposes in the gas phase to produce SiH 2 (silylene).
Cause Since SiH 2 has extremely high reactivity, it reacts with the substrate immediately after decomposition to deposit a Si film. as a result,
The deposition reaction of the film is rate-controlled by the supply of SiH 2 . The supply of SiH 2 is insufficient in the side wall of the deep step or in the groove as compared with the flat portion, and the step coverage is deteriorated. An inert gas or a doping gas that produces an n-type dopant (eg, phosphine (PH 3 ) or arsine (AsH 3 )) has a lower sticking probability to the substrate than SiH 2 and does not affect the reaction of the formula (1). Has no effect. Therefore, even if these gases are introduced into the reaction vessel at the same time as Si 2 H 6 , the deposition rate of the Si film hardly changes and the step coverage is poor.

【0015】一方、B26は分解温度が低く、200℃
程度以上の温度から基板と反応して吸着種を形成する。
この吸着種はSi26と容易に反応するため、400℃
より低い温度であってもSi膜の堆積反応が進行する。
この反応は純粋な表面反応のため、不純物を含まない場
合やn型のドーピングガスを同時に流したときのような
段差被覆性の劣化を生じることがなく、深い溝内でも均
一に膜を堆積することが可能である。
On the other hand, B 2 H 6 has a low decomposition temperature of 200 ° C.
It reacts with the substrate at temperatures above about a certain degree to form adsorbed species.
Since this adsorbed species easily reacts with Si 2 H 6 ,
The deposition reaction of the Si film proceeds even at a lower temperature.
Since this reaction is a pure surface reaction, the step coverage is not deteriorated as in the case where no impurities are contained or when an n-type doping gas is simultaneously supplied, and the film is uniformly deposited even in a deep groove. It is possible.

【0016】なお、SiH4あるいはSi26のいずれ
か一方とB26を原料ガスとしたプラズマCVD法によ
れば、本発明と同等あるいはそれ以下の温度でSi膜を
堆積することが可能である。しかしながら、プラズマC
VD法により形成した膜は段差被覆性が悪く、深い溝内
に堆積した場合、空孔(ボイド)を生じてしまう。従っ
て、原料ガスが同じでも、プラズマCVD法をSi膜の
形成に用いると、深い溝内を完全に埋め込むことは困難
である。
According to the plasma CVD method using one of SiH 4 and Si 2 H 6 and B 2 H 6 as the source gas, the Si film can be deposited at a temperature equal to or lower than that of the present invention. It is possible. However, plasma C
The film formed by the VD method has poor step coverage, and when deposited in a deep groove, voids are generated. Therefore, even if the source gas is the same, if the plasma CVD method is used to form the Si film, it is difficult to completely fill the deep groove.

【0017】本発明において、Si膜は堆積したままの
状態では非晶質である。これを例えば650℃の窒素あ
るいは不活性雰囲気中で15分程度熱処理すると、樹枝
状の結晶粒(デンドライト)が成長し、同時に不純物の
活性化が完了して充分な導電性が得られる。従って、従
来900℃程度以上であった多結晶Siからなる電極や
配線を形成するプロセスの大幅な低温化が実現される。
本発明によって得られたSi膜を、バイポーラトランジ
スタのp形のエミッタを形成する際の不純物拡散源とし
て用いれば、極めて浅いベース・エミッタ接合を形成す
ることができ、LSIの高速化が実現され、特に好まし
い。
In the present invention, the Si film is amorphous in the as-deposited state. For example, when this is heat-treated in nitrogen or an inert atmosphere at 650 ° C. for about 15 minutes, dendritic crystal grains (dendrites) grow, and at the same time, activation of impurities is completed and sufficient conductivity is obtained. Therefore, the temperature of the process of forming the electrodes and wirings made of polycrystalline Si, which has been conventionally about 900 ° C. or higher, can be significantly lowered.
If the Si film obtained by the present invention is used as an impurity diffusion source when forming a p-type emitter of a bipolar transistor, an extremely shallow base-emitter junction can be formed, and high-speed LSI can be realized. Particularly preferred.

【0018】また、本発明によって形成されたSi膜を
MOSトランジスタの形成に適用すれば、浅いP形のソ
ース・ドレイン拡散層が形成できるので、ゲート長が短
い微細なトランジスタであっても安定して動作させるこ
とが可能である。従って、LSIの高集積化にも有効で
ある。なお、本発明によって形成されたSi膜の平均結
晶粒径は膜厚以上であり、従来法により作成した多結晶
Si膜に比べ1桁以上大きい。従って、キャリアの移動
度が大きく、ボロン濃度を小さくしても高い導電性が得
られるという効果もある。
If the Si film formed according to the present invention is applied to the formation of a MOS transistor, a shallow P-type source / drain diffusion layer can be formed, so that even a fine transistor having a short gate length is stable. It is possible to operate. Therefore, it is also effective for high integration of LSI. The average crystal grain size of the Si film formed by the present invention is equal to or larger than the film thickness, which is larger by one digit or more than that of the polycrystalline Si film formed by the conventional method. Therefore, the carrier mobility is high, and high conductivity can be obtained even if the boron concentration is reduced.

【0019】本発明によって形成されたSi膜は、段差
被覆性に優れているので、深いコンタクト孔内を完全に
埋込むことが可能である。従って、本発明によってSi
膜をコンタクト孔内に充填した後、これを還元剤として
タングステン(W)含有化合物ガスと反応させてSi膜
をW膜に置換すればコンタクト孔はWによって充填さ
れ、低抵抗の多層配線が形成できる。なお、本発明で
は、Si膜を堆積する際の温度が400℃より低いた
め、アルミニウム合金のような低融点の配線材料が下層
に存在しても本発明を実施できる。
Since the Si film formed according to the present invention has excellent step coverage, it is possible to completely fill deep contact holes. Therefore, according to the present invention, Si
After the film is filled in the contact hole, it is reacted with a tungsten (W) -containing compound gas by using this as a reducing agent to replace the Si film with the W film, so that the contact hole is filled with W and a low resistance multilayer wiring is formed. it can. In the present invention, since the temperature at which the Si film is deposited is lower than 400 ° C., the present invention can be carried out even if a low melting point wiring material such as an aluminum alloy exists in the lower layer.

【0020】Si膜をW膜によって置換する反応は下記
式(2)により示される。
The reaction of replacing the Si film with the W film is represented by the following equation (2).

【0021】[0021]

【化2】 2WF6(g)+3Si(s)─→2W(s)+3SiF4(g) ………(2) 式(2)は、Si膜を消費しながら、Si膜の形状に見
合ってW膜が形成される反応を示しており、その意味か
ら本明細書では置換するという表現を用いている。従っ
て、式(2)で示した反応においては、Si膜がすべて
還元剤として消費し尽くされるとWの析出反応は自然に
停止する。よって、Si膜の存在しない箇所にはWは析
出しない。なお、本発明によって形成されたSi膜をW
膜によって置換すると、Si膜中にボロンがドープされ
ているため、置換されたW膜中には若干量のSiとボロ
ンが存在することが認められた。
2WF 6 (g) + 3Si (s)-→ 2W (s) + 3SiF 4 (g) (2) The formula (2) consumes the Si film and corresponds to the shape of the Si film. A reaction for forming a W film is shown, and the expression of substituting is used in this specification from that meaning. Therefore, in the reaction represented by the formula (2), when the Si film is completely consumed as the reducing agent, the W precipitation reaction spontaneously stops. Therefore, W does not precipitate at the location where the Si film does not exist. In addition, the Si film formed by the present invention is
When replaced by a film, it was confirmed that some amount of Si and boron were present in the replaced W film because the Si film was doped with boron.

【0022】上記Si膜とW膜の置換を行なうと、反応
初期の段階でSi膜表面全体に薄いW膜が形成される
と、膜厚(深さ)方向のWF6とSiとの反応の進行が
極めて遅くなり、ついにはSi膜のごく薄い部分で反応
が停止してしまう。従って、厚いW膜を形成する場合に
は、あらかじめW析出反応制御膜をSi膜上に形成した
後、適切な温度(200〜400℃)でCVDを行なっ
て置換反応を起こす必要がある。この方法によれば、S
i膜表面に薄いW膜が形成されにくくなり、WF6とS
iとの反応はSi膜の深さ方向に奥まで進み、厚いSi
膜でもW膜に置換することができる。この種の反応制御
膜としてはSi酸化膜が好ましいが、反応初期において
Si膜表面のW化の核発生を妨害し、膜の深さ方向への
反応を促進する作用効果を有する薄膜であれば、その他
の材質から成る膜でもよい。この場合、膜厚を1〜3n
mの薄い膜とすることが望ましい。そして、前処理の容
易さとその作用効果から実用上Si酸化膜を形成するの
が好ましい。Si膜表面に薄い酸化シリコン膜を形成で
きる化学薬品を用いて周知の Chemical Oxide と称され
る酸化処理を行なう方法が実用的である。なお、この反
応制御膜は、Si膜のW置換反応が終了すれば不要とな
り除去される。
When the Si film is replaced with the W film, if a thin W film is formed on the entire surface of the Si film in the initial stage of the reaction, the reaction between WF 6 and Si in the film thickness (depth) direction is caused. The progress becomes extremely slow, and finally the reaction stops at a very thin portion of the Si film. Therefore, when forming a thick W film, it is necessary to previously form a W deposition reaction control film on the Si film and then perform CVD at an appropriate temperature (200 to 400 ° C.) to cause a substitution reaction. According to this method, S
It becomes difficult to form a thin W film on the i film surface, and WF 6 and S
The reaction with i proceeds deep in the depth direction of the Si film, and
The film can be replaced with the W film. A Si oxide film is preferable as this type of reaction control film, but if it is a thin film having a function and effect of hindering nucleation of W formation on the surface of the Si film at the initial stage of the reaction and promoting the reaction in the depth direction of the film Alternatively, a film made of another material may be used. In this case, the film thickness is 1 to 3n
It is desirable to use a thin film of m. Then, it is preferable to practically form the Si oxide film in view of the ease of the pretreatment and the effect thereof. A practical method is to perform a well-known oxidation treatment called Chemical Oxide using a chemical agent capable of forming a thin silicon oxide film on the Si film surface. The reaction control film becomes unnecessary and is removed when the W substitution reaction of the Si film is completed.

【0023】また、このW置換反応をSi膜とその下地
との界面で止めたい場合には、この界面に反応のバリア
となる膜を設ければよい。このバリア膜は、Si膜がそ
の表面から深さ方向に順次Wに置換されていくとき、そ
の界面で置換を停止する役割を果たす。従って、このバ
リア膜は低抵抗であるとともに、Si及びWのCVDの
温度領域(≦400℃)においてWと反応しにくい熱的
に安定な物質であることが望ましい。このようなバリヤ
膜としては、例えば、W,Mo,Ti,Ta等の遷移金
属元素単体、あるいはその窒化物、もしくはそのケイ化
物、コバルトケイ化物、アルミニウム窒化物、及びTi
−W合金の群から選ばれたいずれか1種の導体層を用い
ることができる。好ましい厚さは50〜300nm、よ
り好ましくは100〜200nmである。Al,Au,
Ag等は反応しやすく好ましくない。この種のバリア膜
は、例えば半導体基板とWとの直接接続を好まない場合
とか、Wとの置換の対象と成るSi膜の底部界面で確実
にW置換反応を停止させたい場合に有効である。
When it is desired to stop the W substitution reaction at the interface between the Si film and the underlying layer, a film serving as a reaction barrier may be provided at this interface. This barrier film plays a role of stopping the replacement at the interface when the Si film is sequentially replaced with W from the surface in the depth direction. Therefore, it is desirable that this barrier film is a thermally stable substance that has a low resistance and does not easily react with W in the temperature range of CVD of Si and W (≦ 400 ° C.). As such a barrier film, for example, a transition metal element simple substance such as W, Mo, Ti, or Ta, or its nitride, or its silicide, cobalt silicide, aluminum nitride, and Ti are used.
Any one kind of conductor layer selected from the group of -W alloy can be used. The preferred thickness is 50 to 300 nm, more preferably 100 to 200 nm. Al, Au,
Ag and the like are not preferable because they easily react. This kind of barrier film is effective, for example, when direct connection between the semiconductor substrate and W is not desired, or when it is desired to surely stop the W substitution reaction at the bottom interface of the Si film that is the target of substitution with W. .

【0024】なお、Si膜をW膜に置換した後、従来技
術のようにWF6を還元するためのSiH4,H2等を加
えると、WF6からのW析出反応が進み、置換されたW
膜の隙間、あるいはその上部にさらにWを析出し得るこ
とはいうまでもない。
After replacing the Si film with the W film, if SiH 4 , H 2 or the like for reducing WF 6 is added as in the prior art, the W deposition reaction from WF 6 proceeds and the W film is replaced. W
It goes without saying that W can be further deposited in the gaps in the film or in the upper part thereof.

【0025】[0025]

【実施例】〈実施例1〉図3は、本実施例に用いた横型
減圧CVD装置の概略図である。石英管10の中央に治
具30を置き、これにシリコン基板40を置いた。シリ
コン基板としては以下の2種類の基板を用意した。基板
1はSi基板に熱酸化膜100nmを形成したものでこ
の上にボロンを含有したSi膜を堆積した後、膜厚の測
定に用いた。基板2は図4に示す手順で作成した。ま
ず、図4(a)に示すように、基板101上に厚さ1μ
mの熱酸化膜102を形成した。次いで公知のリソグラ
フィとドライエッチング技術により、図4(b)に示す
ように、幅0.5μmの溝103を等間隔に形成した。
続いて減圧CVD法により厚さ100nmの酸化膜10
4を図4(c)に示すように形成した。
EXAMPLES Example 1 FIG. 3 is a schematic diagram of a horizontal low pressure CVD apparatus used in this example. A jig 30 was placed in the center of the quartz tube 10, and a silicon substrate 40 was placed on it. The following two types of substrates were prepared as silicon substrates. The substrate 1 was formed by forming a thermal oxide film of 100 nm on a Si substrate, and after depositing a Si film containing boron thereon, it was used for measuring the film thickness. The substrate 2 was created by the procedure shown in FIG. First, as shown in FIG. 4A, a thickness of 1 μm is formed on the substrate 101.
m thermal oxide film 102 was formed. Next, as shown in FIG. 4B, grooves 103 having a width of 0.5 μm were formed at equal intervals by known lithography and dry etching techniques.
Subsequently, the oxide film 10 having a thickness of 100 nm is formed by the low pressure CVD method.
4 was formed as shown in FIG.

【0026】基板1及び基板2を治具30に置き、石英
管10内を排気した後、バルブ50及びバルブ60を開
けて、Si26を50cc/min、B26を0.5c
c/minの流速で同時に流し、ボロンを含有したSi
を約200nmの厚さに堆積した。Si26とB26
流している間の石英管内圧力は30Paに保持した。所
定時間ガスを流してSi膜の堆積を行なった後、基板4
0を石英管10内から取り出した。
After placing the substrate 1 and the substrate 2 on the jig 30 and evacuating the inside of the quartz tube 10, the valves 50 and 60 are opened, Si 2 H 6 is 50 cc / min, and B 2 H 6 is 0.5 c.
Si containing boron at the same time with a flow rate of c / min
Was deposited to a thickness of about 200 nm. The pressure inside the quartz tube was maintained at 30 Pa while flowing Si 2 H 6 and B 2 H 6 . After depositing the Si film by flowing gas for a predetermined time, the substrate 4
0 was taken out from the quartz tube 10.

【0027】その後、基板1については、光学干渉法に
よりSi膜の膜厚を測定した。一方、基板2について
は、溝103と垂直な平面に沿って劈開し、段差被覆率
を評価した。なお、段差被覆率は、走査型電子顕微鏡を
用いて得られた断面写真において、段差上部の膜厚と段
差側壁部の最下部の膜厚bを測定し、b/aで定義し
た。
After that, for the substrate 1, the thickness of the Si film was measured by the optical interference method. On the other hand, the substrate 2 was cleaved along a plane perpendicular to the groove 103, and the step coverage was evaluated. The step coverage was defined as b / a by measuring the film thickness at the upper part of the step and the film thickness b at the lowermost part of the step sidewall in a cross-sectional photograph obtained using a scanning electron microscope.

【0028】図1にSi膜の堆積速度とに堆積温度の関
係を示す。図1には、比較のため、従来技術として、B
26を添加せずにSi26のみを30Paの圧力で導入
した場合の結果も合わせて示した。図1より、B26
添加によりSi膜の堆積温度が150℃程度も低減で
き、400℃以下でも十分な速度をもってSi膜を堆積
することが可能であることがわかる。なお、B26を添
加した場合、堆積温度が400℃以下では、堆積温度の
上昇とともに堆積速度は増大し、系が表面反応律速とな
っている。これに対し、400℃以上では堆積速度は減
少し、系は供給律速となる。多数の基板を均一性良く同
時に処理するためには、系が表面反応律速であることが
望ましく、膜の堆積温度は400℃より低いことが必要
である。
FIG. 1 shows the relationship between the deposition rate of the Si film and the deposition temperature. For comparison, FIG. 1 shows B as a conventional technique.
The results when only Si 2 H 6 was introduced at a pressure of 30 Pa without adding 2 H 6 are also shown. From FIG. 1, it is understood that the addition temperature of the Si film can be reduced by about 150 ° C. by adding B 2 H 6 , and the Si film can be deposited at a sufficient rate even at 400 ° C. or less. When B 2 H 6 is added and the deposition temperature is 400 ° C. or lower, the deposition rate increases as the deposition temperature rises, and the system becomes the surface reaction rate limiting. On the other hand, at 400 ° C. or higher, the deposition rate decreases and the system becomes rate-controlled. In order to process a large number of substrates at the same time with good uniformity, it is desirable that the system is surface reaction rate-determining, and the film deposition temperature must be lower than 400 ° C.

【0029】図2に、Si膜の堆積温度と段差被覆率の
関係を示す。Si膜の堆積温度が400℃より低い場
合、段差被覆率はほぼ1であり、深い溝内を埋め込むこ
とが可能である。これに対し、膜の堆積温度が400℃
以上の場合およびB26を添加しない場合は段差被覆性
は0.9以下と劣化し、深い溝内を完全に埋め込むこと
ができないことが認められた。
FIG. 2 shows the relationship between the deposition temperature of the Si film and the step coverage. When the deposition temperature of the Si film is lower than 400 ° C., the step coverage is almost 1, and the deep trench can be filled. On the other hand, the deposition temperature of the film is 400 ℃
In the above cases and when B 2 H 6 was not added, the step coverage was deteriorated to 0.9 or less, and it was confirmed that the deep groove could not be completely filled.

【0030】なお、Si26にn型のドーピングガスで
あるフォスフィン(PH3)やアルシン(AsH3)をB
26の代りに添加してもSi26の反応に変化はなく、
堆積速度の増大は見られなかった。また、段差被覆率も
不純物を含まない場合とほぼ同一で、深い溝内を完全に
埋め込むことは不可能であった。
It should be noted that Si 2 H 6 is doped with n-type doping gas such as phosphine (PH 3 ) or arsine (AsH 3 ) as B.
No change in the reaction of Si 2 H 6 may be added in place of the 2 H 6,
No increase in deposition rate was observed. Further, the step coverage is almost the same as the case where no impurities are contained, and it is impossible to completely fill the deep groove.

【0031】本実施例によれば、Si26とB26を用
い400℃より低い温度でボロンをドーピングしながら
Si膜を堆積することにより、十分な堆積速度とstep c
overageをもって、深孔内をSiで埋め込むことができ
る。
According to the present embodiment, by using Si 2 H 6 and B 2 H 6 to deposit a Si film while doping boron at a temperature lower than 400 ° C., a sufficient deposition rate and step c
With overage, the deep holes can be filled with Si.

【0032】〈実施例2〉本実施例は、本発明によって
形成されたボロンを含有する非晶質Si膜を不純物の拡
散源として用いた例を示す。二つの試料3,4は図5に
示す方法によって作成した。まずSi基板401上に厚
さ0.5μmのSi34膜402を周知のCVDによっ
て堆積した(図5(a))。次いで、周知のリソグラフ
ィとドライエッチング技術により、Si34膜402の
一部を除去し、幅0.5μmの溝403を等間隔に形成
した(図5(b))。次いで、下記のようにしてSi膜
の形成及び不純物ドーピングを行なった。試料3に対し
ては、Si26とB26をそれぞれ50cc/分,0.
5cc/分同時に流し、350℃,30Paという条件
で、図5(c)に示すように、ボロンを含有する厚さ3
0nmの非晶質Si膜404を形成した。試料4におい
ては、SiH4を原料ガスとして630℃、80Paと
いう条件で厚さ30nmの多結晶Si膜405を図5
(d)に示すように形成した後、BF2+イオン406
を、打込みエネルギー20keV、打込み量2.5×1
15/cm3で打込んだ。その後、試料3及び4は不純
物が十分活性化する温度で熱処理を行なって拡散領域4
09を形成した後、不純物がSi基板401の表面から
基板401中へ拡散した距離(すなわち拡散領域409
の深さxj)を二次イオン質量分析計(SIMS)によ
り測定した。その結果を図6(a),(b)に示した。
本発明によってボロンを含有させた非晶質Si膜を拡散
源に用いた試料3では、不純物は700℃という低温で
活性化が完了し、図6(a)に示したように、拡散深さ
は35nmと非常に浅い接合が形成された。さらに本発
明者の検討によれば、上記700℃よりも低い温度、例
えば650℃で熱処理を行なっても、不純物は十分活性
化出来ることが確認された。これに対し、多結晶Si膜
にBF2+イオンを打込んだ試料4を拡散源として用いた
場合においては、不純物を十分活性化するためには90
0℃程度の熱処理が必要であり、その結果、図6(b)
に示したように、拡散深さは250nmと大きくなって
しまい、浅い接合の形成は困難であった。
Example 2 In this example, an amorphous Si film containing boron formed according to the present invention is used as a diffusion source of impurities. Two samples 3 and 4 were prepared by the method shown in FIG. First, a Si 3 N 4 film 402 having a thickness of 0.5 μm was deposited on the Si substrate 401 by a known CVD method (FIG. 5A). Then, part of the Si 3 N 4 film 402 was removed by well-known lithography and dry etching techniques, and grooves 403 having a width of 0.5 μm were formed at equal intervals (FIG. 5B). Then, a Si film was formed and impurities were doped as described below. For sample 3, Si 2 H 6 and B 2 H 6 were 50 cc / min, respectively.
5 cc / min at the same time, under conditions of 350 ° C. and 30 Pa, as shown in FIG.
A 0 nm amorphous Si film 404 was formed. In Sample 4, a polycrystalline Si film 405 having a thickness of 30 nm was formed under the conditions of 630 ° C. and 80 Pa using SiH 4 as a source gas.
After forming as shown in (d), BF 2 + ions 406
With a driving energy of 20 keV and a driving amount of 2.5 × 1
I hit it with 0 15 / cm 3 . Thereafter, the samples 3 and 4 are heat-treated at a temperature at which the impurities are sufficiently activated, and the diffusion regions 4
After forming 09, the distance that the impurities diffused from the surface of the Si substrate 401 into the substrate 401 (that is, the diffusion region 409).
Depth x j ) was measured by a secondary ion mass spectrometer (SIMS). The results are shown in FIGS. 6 (a) and 6 (b).
In the sample 3 using the amorphous Si film containing boron according to the present invention as the diffusion source, the impurities were activated at a low temperature of 700 ° C., and the diffusion depth was increased as shown in FIG. Has a very shallow junction of 35 nm. Further, according to the study by the present inventor, it was confirmed that the impurities can be sufficiently activated even when the heat treatment is performed at a temperature lower than 700 ° C., for example, 650 ° C. On the other hand, when the sample 4 in which the BF 2 + ion is implanted in the polycrystalline Si film is used as the diffusion source, 90% is required to sufficiently activate the impurities.
Heat treatment at about 0 ° C is required, and as a result, as shown in Fig. 6 (b).
As described above, the diffusion depth was as large as 250 nm, and it was difficult to form a shallow junction.

【0033】本実施例によれば、本発明によって形成さ
れたボロンを含有する非晶質Si膜を不純物の拡散源と
して用いることにより、熱処理温度の低減が可能であ
り、不純物の拡散を抑制して極めて浅い接合が形成でき
ることが確認された。
According to this embodiment, by using the amorphous Si film containing boron formed according to the present invention as a diffusion source of impurities, the heat treatment temperature can be reduced and the diffusion of impurities can be suppressed. It was confirmed that an extremely shallow junction could be formed.

【0034】〈実施例3〉本実施例では、本発明によっ
て形成された、ボロンを含有する非晶質Si膜を、MO
Sトランジスタのソース・ドレイン領域を形成する際の
拡散源に用いた例を示す。
<Embodiment 3> In this embodiment, an amorphous Si film containing boron formed by the present invention is formed by MO
An example used as a diffusion source when forming a source / drain region of an S transistor will be shown.

【0035】MOSトランジスタは以下に示す手順で作
成した。まず、図7(a)に示すように、抵抗率10Ω
cm、面方位(100)のn型Si基板201’の表面
に、周知の選択酸化技術により素子分離用酸化膜202
を形成した。次いで、酸素雰囲気中でSi基板201’
の表面を酸化し、厚さ10nmのゲート酸化膜202’
を形成した。次に減圧CVD法により100nmの多結
晶Si膜203を堆積し、不純物を添加して低抵抗化し
た後、減圧CVD法により厚さ200nmのSiO2
205を形成した。その後、周知のリソグラフィとドラ
イエッチング技術によりSiO2膜205と多結晶Si
膜203の不要部分を除去した。続いて減圧CVD法に
より厚さ20nmのSiO2膜を全面に形成した後、S
i基板201’の表面が露出するまで異方性ドライエッ
チングを行なって、SiO2膜205などの側壁上のみ
にSiO2膜205’を形成した。その後、Si26
26を原料ガスに用い、減圧CVD法により、350
℃、30Paの条件下でボロンを含有した厚さ200n
mの非晶質Si膜208を形成し、続いて、公知の技術
によりこのSi膜208を図7(a)に示したように所
定の形状に加工した。
The MOS transistor was manufactured by the following procedure. First, as shown in FIG. 7A, the resistivity is 10Ω.
The oxide film 202 for element isolation is formed on the surface of the n-type Si substrate 201 ′ having a cm and plane orientation (100) by a known selective oxidation technique.
Was formed. Then, the Si substrate 201 ′ in an oxygen atmosphere
Oxide the surface of the gate oxide film 202 'with a thickness of 10 nm
Was formed. Next, a 100 nm polycrystalline Si film 203 was deposited by a low pressure CVD method, and impurities were added to reduce the resistance, and then a 200 nm thick SiO 2 film 205 was formed by a low pressure CVD method. After that, the SiO 2 film 205 and the polycrystalline Si are formed by the well-known lithography and dry etching techniques.
The unnecessary portion of the film 203 was removed. Subsequently, a SiO 2 film having a thickness of 20 nm is formed on the entire surface by a low pressure CVD method, and then S
Anisotropic dry etching was performed until the surface of the i-substrate 201 ′ was exposed to form the SiO 2 film 205 ′ only on the side wall of the SiO 2 film 205 or the like. After that, Si 2 H 6 and B 2 H 6 are used as source gases, and a low pressure CVD method is performed to obtain 350
Thickness of 200n containing boron under conditions of ℃ and 30Pa
An amorphous Si film 208 having a thickness of m was formed, and then this Si film 208 was processed into a predetermined shape by a known technique as shown in FIG.

【0036】次いで、この非晶質Si膜208を700
℃の窒素雰囲気中で20分間熱処理して非晶質Si膜2
08中のボロンをSi基板中へ拡散し、図7(b)に示
すように、ソース・ドレイン領域204を形成した。な
お、この熱処理により、Si膜208は非晶質から多結
晶214へと変った。
Next, the amorphous Si film 208 is set to 700
Amorphous Si film 2 after heat treatment for 20 minutes in a nitrogen atmosphere at ℃
Boron 08 was diffused into the Si substrate to form the source / drain regions 204 as shown in FIG. 7B. The heat treatment changed the Si film 208 from amorphous to polycrystalline 214.

【0037】本実施例において作成したMOSトランジ
スタは高いパンチスルー耐圧を有し、ゲート長が0.3
μm程度という極めて短い場合でも十分な余裕をもって
動作することが可能であった。これは、700℃という
低温の熱拡散により、極めて浅く、かつ矩形に近い形状
の接合が形成できたためである。これに対し、従来のよ
うに、多結晶Si膜からなるゲート電極をマスクに用い
てBF2+イオン打込みを行なって、ソース・ドレイン領
域を形成した場合には、実効的なチャネル長が短くな
り、本発明を用いた場合より低い電圧でパンチスルー現
象が生じた。その結果、ゲート長が0.3μmの場合、
安定したトランジスタ特性を得ることは不可能であっ
た。これは、打込まれた不純物がガウシアン分布に従っ
て広い領域に分布したためと、不純物の活性化のために
900℃以上の熱処理が必要であり、打込まれた不純物
がシリコン基板内を深く拡散したためである。
The MOS transistor produced in this embodiment has a high punch-through breakdown voltage and a gate length of 0.3.
It was possible to operate with a sufficient margin even in the case of an extremely short μm. This is because the heat diffusion at a low temperature of 700 ° C. made it possible to form a junction having an extremely shallow and nearly rectangular shape. On the other hand, when the source / drain regions are formed by performing BF 2 + ion implantation using a gate electrode made of a polycrystalline Si film as a mask as in the conventional case, the effective channel length becomes short. The punch-through phenomenon occurred at a lower voltage than the case of using the present invention. As a result, when the gate length is 0.3 μm,
It was impossible to obtain stable transistor characteristics. This is because the implanted impurities are distributed over a wide area according to the Gaussian distribution, and heat treatment at 900 ° C. or higher is required to activate the impurities, and the implanted impurities diffused deeply in the silicon substrate. is there.

【0038】本実施例によれば、本発明によって形成さ
れたボロンを含有する非晶質Si膜から不純物を拡散さ
せてソース・ドレイン領域を形成することにより、パン
チスルー耐圧の高いMOSトランジスタが作成でき、L
SIの微細化が実現できた。
According to the present embodiment, the source / drain regions are formed by diffusing impurities from the amorphous Si film containing boron formed according to the present invention to form a MOS transistor having a high punch-through breakdown voltage. Yes, L
The miniaturization of SI was realized.

【0039】〈実施例4〉本実施例は、本発明によって
ボロンを添加しながら非晶質状態で堆積したSi膜を多
結晶SiMOSトランジスタの拡散層の形成に用いた例
である。
<Embodiment 4> This embodiment is an example in which a Si film deposited in an amorphous state while adding boron according to the present invention is used for forming a diffusion layer of a polycrystalline SiMOS transistor.

【0040】多結晶SiMOSトランジスタは図8に示
す手順により作成した。まず、図8(a)に示すよう
に、半導体基板201上に絶縁膜SiO2膜218を形
成した。続いて、減圧CVD法により、Si26とB2
6を同時に流し、350℃、30Paの条件下でボロ
ンを含有する厚さ100nmの非晶質Si膜208を形
成した。その後、周知のリソグラフィとドライエッチン
グを用いて、ボロンを含有したSi膜208を所定の形
状に加工した。次に、Si26を原料ガスに用いた減圧
CVD法により、525℃で不純物を含有しない厚さ1
0nmの非晶質Si膜215を図8(b)に示すように
形成した。その後、LPCVD法により700℃で厚さ
20nmのSiO2膜205を形成した。これにより、
Si膜208,215は多結晶Si膜214,216に
なり、同時に、拡散層204が形成された。次に、Si
26とB26を原料ガスに用いた減圧CVD法によりボ
ロンを含有する厚さ100nmの非晶質Si膜を形成
し、700℃の窒素雰囲気中で20分間熱処理した後、
パターニングして図8(c)に示すようにトランジスタ
のゲート電極214’を形成した。その後、周知のCV
Dにより、図8(d)に示すように層間絶縁膜217を
形成した後、拡散層204に達するコンタクト孔を形成
し、続いてAl膜211を形成してこれをパターニング
し、引出し配線を形成した。本実施例において作成され
た多結晶SiMOSトランジスタは高いパンチスルー耐
圧を有し、ゲート長が0.3μm程度の場合でも十分な
余裕をもって動作することが可能であった。なお、従来
は、ソース・ドレインの形成は酸化膜205を通したイ
オン打込みにより行なっており、ゲート酸化膜の損傷が
問題となっていた。本実施例ではイオン打込み法は用い
られないため、ゲート酸化膜が損傷を受けることもな
い。
The polycrystalline SiMOS transistor was produced by the procedure shown in FIG. First, as shown in FIG. 8A, an insulating film SiO 2 film 218 was formed on the semiconductor substrate 201. Then, by a low pressure CVD method, Si 2 H 6 and B 2
H 6 was simultaneously flown to form a 100-nm-thick amorphous Si film 208 containing boron under the conditions of 350 ° C. and 30 Pa. After that, the Si film 208 containing boron was processed into a predetermined shape by using known lithography and dry etching. Next, by a low pressure CVD method using Si 2 H 6 as a source gas, a thickness of 1 at 525 ° C. containing no impurities is obtained.
A 0 nm amorphous Si film 215 was formed as shown in FIG. After that, a SiO 2 film 205 having a thickness of 20 nm was formed at 700 ° C. by the LPCVD method. This allows
The Si films 208 and 215 became polycrystalline Si films 214 and 216, and at the same time, the diffusion layer 204 was formed. Next, Si
After forming a 100 nm-thick amorphous Si film containing boron by a low pressure CVD method using 2 H 6 and B 2 H 6 as source gases, heat treating it in a nitrogen atmosphere at 700 ° C. for 20 minutes,
By patterning, a gate electrode 214 'of the transistor was formed as shown in FIG. After that, the well-known CV
8D, after forming the interlayer insulating film 217 as shown in FIG. 8D, a contact hole reaching the diffusion layer 204 is formed, and then an Al film 211 is formed and patterned to form a lead wiring. did. The polycrystalline SiMOS transistor manufactured in this example has a high punch-through breakdown voltage and was able to operate with a sufficient margin even when the gate length was about 0.3 μm. Conventionally, the formation of the source / drain is performed by ion implantation through the oxide film 205, and damage to the gate oxide film has been a problem. Since the ion implantation method is not used in this embodiment, the gate oxide film is not damaged.

【0041】本実施例によれば、Si26とB26を用
い低い温度において形成されたSi膜を多結晶SiMO
Sトランジスタのソース・ドレインに用いることによ
り、高集積化しても高い信頼性が得られる。
According to this embodiment, a Si film formed by using Si 2 H 6 and B 2 H 6 at a low temperature is polycrystalline SiMO.
By using it as the source / drain of the S transistor, high reliability can be obtained even with high integration.

【0042】〈実施例5〉本実施例は、本発明によって
形成されたボロンを含有する非晶質Si膜を、pnp型
バイポーラトランジスタのエミッタ領域形成に用いた例
である。
<Embodiment 5> This embodiment is an example in which the amorphous Si film containing boron formed according to the present invention is used for forming an emitter region of a pnp type bipolar transistor.

【0043】まず、図9A(a)に示すようにn型、面
方位(100)のSi基板501上の所定の領域に、ボ
ロンナイトライドを用いた熱拡散法により深さ1.2μ
mのボロン埋込層516を形成した。次いで、厚さ50
0nmのエピタキシャル層503を形成した後、周知の
ドライ酸化法により厚さ30nmのSiO2膜504を
形成し、さらにLPCVD法により厚さ80nmのSi
34膜505を形成した。次に、周知のホトエッチング
技術により埋込層まで達する素子分離用の溝とエミッタ
とコレクタ領域の分離のための溝を形成した後、これら
の溝をLPCVD法によりSiO2膜504´,504
´´と多結晶Si膜506によって埋めた。続いてSi
34膜を形成し、これをマスクに用いてボロンイオンを
イオン打込みした後、950℃、30分の熱処理を行な
ってコレクタ領域507を形成した。次に、リンのイオ
ン打込みを行ない、900℃の窒素雰囲気中で10分熱
処理して、真性ベース領域引出用の外部ベース領域50
8を形成した。
First, as shown in FIG. 9A (a), a depth of 1.2 μm was formed in a predetermined region on an n-type, plane orientation (100) Si substrate 501 by a thermal diffusion method using boron nitride.
A boron embedded layer 516 of m was formed. Then thickness 50
After forming the 0 nm epitaxial layer 503, a SiO 2 film 504 having a thickness of 30 nm is formed by a well-known dry oxidation method, and further, a Si film having a thickness of 80 nm is formed by an LPCVD method.
A 3 N 4 film 505 was formed. Then, after forming a trench for the isolation groove and the emitter and collector regions for element isolation reaching the buried layer by a known photoetching technique, SiO 2 film 504' these grooves by LPCVD, 504
″ And a polycrystalline Si film 506. Then Si
After forming a 3 N 4 film and using this as a mask to implant boron ions, heat treatment was performed at 950 ° C. for 30 minutes to form a collector region 507. Next, phosphorus is ion-implanted and heat-treated in a nitrogen atmosphere at 900 ° C. for 10 minutes to extract the external base region 50 for extracting the intrinsic base region.
8 was formed.

【0044】次に、周知のリソグラフィとドライエッチ
ングを用い、所定領域のSi34膜505とSiO2
504を順次除去した。その後、5×1013/cm2
ヒ素イオンを加速電圧40keVで打込み、900℃の
窒素雰囲気で10分間熱処理を行なって図9A(b)に
示すように真性ベース領域510を形成した。
Next, using well-known lithography and dry etching, the Si 3 N 4 film 505 and the SiO 2 film 504 in predetermined regions were sequentially removed. Thereafter, arsenic ions of 5 × 10 13 / cm 2 were implanted at an acceleration voltage of 40 keV and heat treatment was performed for 10 minutes in a nitrogen atmosphere at 900 ° C. to form an intrinsic base region 510 as shown in FIG. 9A (b).

【0045】図9A(c)に示すように周知のLPCV
D法によりSiO2膜504´´´を形成し、周知のホ
トエッチングによってエミッタ形成用の孔を開けた。
As shown in FIG. 9A (c), the well-known LPCV is used.
A SiO 2 film 504 ″ was formed by the D method, and a hole for forming an emitter was opened by known photoetching.

【0046】次に、Si26とB26を原料ガスに用い
た減圧CVD法により、350℃、30Paの条件で図
9B(d)に示すように、厚さ50nmのボロンを含有
した非晶質Si膜509を形成した。この際、Si膜中
のボロン濃度は5×1020/cm3とした。その後、周
知のホトエッチングによってこの非晶質Si膜509の
不要部分を除去した。
Next, by a low pressure CVD method using Si 2 H 6 and B 2 H 6 as source gases, as shown in FIG. 9B (d), boron containing 50 nm in thickness is contained under the conditions of 350 ° C. and 30 Pa. Amorphous Si film 509 was formed. At this time, the boron concentration in the Si film was set to 5 × 10 20 / cm 3 . Then, unnecessary portions of the amorphous Si film 509 were removed by known photoetching.

【0047】700℃の窒素雰囲気中で20分間熱処理
を行ない、非晶質Si膜509中のボロンをSi基板へ
拡散させ図9B(e)に示すようにエミッタ領域513
を形成した。なお、この熱処理により非晶質Si膜50
9は多結晶シリコン膜511となり導電性を呈する。
Heat treatment is performed for 20 minutes in a nitrogen atmosphere at 700 ° C. to diffuse the boron in the amorphous Si film 509 to the Si substrate, and as shown in FIG. 9B (e), the emitter region 513.
Was formed. Note that the amorphous Si film 50 is formed by this heat treatment.
9 becomes a polycrystalline silicon film 511 and exhibits conductivity.

【0048】図9B(f)に示すように外部ベース領域
とコレクタに開口部を形成した後、Al膜515を形成
し、所定の形状に加工して電極とした。
After forming openings in the external base region and the collector as shown in FIG. 9B (f), an Al film 515 was formed and processed into a predetermined shape to form an electrode.

【0049】本実施例により形成したpnpバイポーラ
トランジスタのエミッタ及びベース領域の厚さは共に約
20nmと、従来技術によって形成されたエミッタやベ
ースに比べ極めて浅く、その結果、高い遮断周波数が得
られた。また、従来に比べエミッタ抵抗も低減された。
The thickness of both the emitter and base regions of the pnp bipolar transistor formed according to this embodiment is about 20 nm, which is extremely shallow compared to the emitter and base formed according to the prior art, and as a result, a high cutoff frequency was obtained. . In addition, the emitter resistance is also reduced compared to the conventional one.

【0050】本実施例によれば、Si26とB26を原
料ガスに用いて、ボロンを含有するSi膜を低温度で形
成し、このSi膜から不純物を拡散させてエミッタ領域
を形成することにより、バイポーラトランジスタの遮断
周波数が向上でき、高速化が実現された。
According to this embodiment, Si 2 H 6 and B 2 H 6 are used as source gases to form a Si film containing boron at a low temperature, and impurities are diffused from the Si film to form an emitter region. By forming the, the cut-off frequency of the bipolar transistor can be improved and the speedup is realized.

【0051】〈実施例6〉本実施例では、ボロンを含有
した非晶質Si膜をnpn型バイポーラトランジスタの
ベース領域形成に用いた例である。
<Embodiment 6> In this embodiment, an amorphous Si film containing boron is used for forming a base region of an npn-type bipolar transistor.

【0052】まず、図10A(a)に示すように、p型、
面方位(100)、抵抗率10ΩcmのSi基板501
上の所定の領域に、熱拡散法により深さ1.2μmの低
抵抗のアンチモン埋込層502を形成した。次いで、厚
さ400nmのエピタキシャル層503を周知のSiエ
ピタキシャル成長技術を用いて形成した後、周知のドラ
イ酸化法により厚さ30nmのSiO2膜504,およ
びLPCVDにより、厚さ80nmのSi34膜505
を順次形成した。その後、周知のホトエッチングによ
り、埋込層502に達する素子分離用の溝およびエミッ
タとコレクタを分離するための溝を形成し、周知のLP
CVD法によりSiO2膜504´,504´´及び多
結晶Si膜506を形成して上記溝内を埋めた。Si3
4膜(図示せず)を形成し、これをマスクとして用い
てリンイオンを打込んだ後、950℃、30分の熱処理
を行なって、コレクタ領域507を形成した。その後、
BF2+をイオン打込みし、続いて900℃の窒素雰囲気
中で10分熱処理して真性ベース領域引出用の外部ベー
ス領域508を形成した。
First, as shown in FIG. 10A (a), p-type,
Si substrate 501 having a plane orientation (100) and a resistivity of 10 Ωcm
A low resistance antimony burying layer 502 having a depth of 1.2 μm was formed on the predetermined region by a thermal diffusion method. Then, an epitaxial layer 503 having a thickness of 400 nm is formed by using a known Si epitaxial growth technique, and then a SiO 2 film 504 having a thickness of 30 nm is formed by a known dry oxidation method, and an Si 3 N 4 film having a thickness of 80 nm is formed by LPCVD. 505
Were sequentially formed. After that, a well-known photoetching is performed to form a groove for element isolation reaching the buried layer 502 and a groove for separating the emitter and the collector.
SiO 2 films 504 ′ and 504 ″ and a polycrystalline Si film 506 were formed by the CVD method to fill the inside of the groove. Si 3
A N 4 film (not shown) was formed, phosphorus ions were implanted using this as a mask, and then heat treatment was performed at 950 ° C. for 30 minutes to form a collector region 507. afterwards,
BF 2 + was ion-implanted, followed by heat treatment in a nitrogen atmosphere at 900 ° C. for 10 minutes to form an external base region 508 for extracting the intrinsic base region.

【0053】図10A(b)に示すように、周知のリソ
グラフィとドライエッチングを用いて、所定領域に形成
されているSi34膜505とSiO2膜504を順次
除去した。次に、Si26とB26を原料ガスに用いた
LPCVD法により、300℃,20Paの条件下で、
厚さ20nmのボロンを含有するSi膜509を形成し
た。膜中のボロン濃度は1×1019/cm3と成るよう
調整した。周知のホトエッチングによってボロンを含有
するSi膜509を所定の形状に加工した。
As shown in FIG. 10A (b), the Si 3 N 4 film 505 and the SiO 2 film 504 formed in predetermined regions were sequentially removed by using known lithography and dry etching. Next, by the LPCVD method using Si 2 H 6 and B 2 H 6 as source gases, under the conditions of 300 ° C. and 20 Pa,
A Si film 509 containing boron having a thickness of 20 nm was formed. The boron concentration in the film was adjusted to 1 × 10 19 / cm 3 . The Si film 509 containing boron was processed into a predetermined shape by known photoetching.

【0054】700℃の窒素雰囲気で20分間熱処理を
行ない、Si膜509中のボロンをSi基板へ拡散させ
て、図10A(c)に示すようにベース領域510を形成
した。なお、この熱処理により、上記Si膜509は、
基板に接した部分は固相エピタキシャル成長により単結
晶に、残りの部分は多結晶シリコン膜511になった。
A heat treatment was performed in a nitrogen atmosphere at 700 ° C. for 20 minutes to diffuse boron in the Si film 509 into the Si substrate to form a base region 510 as shown in FIG. 10A (c). By the heat treatment, the Si film 509 becomes
The portion in contact with the substrate became a single crystal by solid phase epitaxial growth, and the remaining portion became a polycrystalline silicon film 511.

【0055】Si26とPH3を原料ガスに用いた減圧
CVD法により、500℃、30Paの条件下で、厚さ
50nmのリンを含有する非晶質Si膜512を図10B
(d)に示すようにSi膜511の上に形成した。この
際、Si膜511中のリン濃度は4×1020/cm3
した。次に周知のホトエッチングによって非晶質Si膜
512を所定の形状に加工した。
By the low pressure CVD method using Si 2 H 6 and PH 3 as source gases, an amorphous Si film 512 containing phosphorus having a thickness of 50 nm is formed under the condition of 500 ° C. and 30 Pa as shown in FIG. 10B.
It was formed on the Si film 511 as shown in FIG. At this time, the phosphorus concentration in the Si film 511 was set to 4 × 10 20 / cm 3 . Next, the amorphous Si film 512 was processed into a predetermined shape by known photoetching.

【0056】700℃の窒素雰囲気で20分間熱処理を
行ない、Si膜512中のリンをSi基板へ拡散させ
て、図10B(e)に示すようにエミッタ513を形成し
た。なお、この熱処理により、Si膜512は多結晶シ
リコン膜514となり導電性を呈した。続いて外部ベー
ス領域とコレクタ領域にそれぞれ開口部を形成した後、
図10B(f)に示すようにAl膜515を形成して電極
とした。
A heat treatment was performed for 20 minutes in a nitrogen atmosphere at 700 ° C. to diffuse phosphorus in the Si film 512 into the Si substrate to form an emitter 513 as shown in FIG. 10B (e). By this heat treatment, the Si film 512 became a polycrystalline silicon film 514 and exhibited conductivity. Then, after forming openings in the external base region and the collector region, respectively,
As shown in FIG. 10B (f), an Al film 515 was formed to serve as an electrode.

【0057】本実施例において形成されたバイポーラト
ランジスタのベース領域の厚さは、約30nmと従来に
比べ極めて浅いので、従来よりもはるかに高い遮断周波
数が得られた。
Since the base region of the bipolar transistor formed in this embodiment has a thickness of about 30 nm, which is extremely shallow as compared with the conventional one, a much higher cutoff frequency than that of the conventional one was obtained.

【0058】本実施例によれば、Si26とB26を原
料ガスに用いて、ボロンを含有するSi膜を形成し、こ
れより不純物を拡散せしめてベース領域を形成すること
により、バイポーラトランジスタの遮断周波数が向上で
きた。
According to this embodiment, Si 2 H 6 and B 2 H 6 are used as source gases to form a Si film containing boron, and impurities are diffused from the Si film to form a base region. The cutoff frequency of the bipolar transistor was improved.

【0059】〈実施例7〉本実施例は、本発明によって
低温度で形成したボロンを含有する非晶質Si膜をダイ
ナミック・ランダム・アクセス・メモリ(DRAM)の
メモリセルに用いた例である。
<Embodiment 7> In this embodiment, an amorphous Si film containing boron formed at a low temperature according to the present invention is used for a memory cell of a dynamic random access memory (DRAM). .

【0060】図11(a)に示すように、Si基板701
の所定領域に、周知のリソグラフィとドライエッチング
により深さ5μmの溝を形成した。次に、SiH4とN2
Oを原料ガスに用いたLPCVDによりSiO2膜70
2を形成した後、異方性エッチングして溝内の側壁上の
みにSiO2膜702を残し、他の部分からは除去し
た。Si26とB26を用いたLPCVDにより、ボロ
ンを含有する非晶質Si膜704を形成し、これを周知
のホトエッチングによってパターニングした。形成温度
は350℃、圧力は30Paとした。その後、900℃
の窒素雰囲気中で熱処理を行なってボロンの拡散層70
3を形成し、プレート電極とした。この際、上記ボロン
を含有する非晶質Si膜704は多結晶になった。次
に、SiH2Cl2とNH3を用いたLPCVDにより、
シリコン窒化膜(Si34)を堆積し、この表面を酸化
してシリコン窒化膜/酸化膜積層膜705を形成した。
その後、Si26とB26を用いたLPCVDによりボ
ロンを含有した非晶質Si706を堆積し、溝内を完全
に埋込んだ。堆積条件は炉内温度350℃、圧力30P
aである。この条件により、非晶質Siは段差被覆性に
優れ、アスペクト比1.5以上であっても溝内をほぼ完
全に埋込むことが可能であった。その後、周知のドライ
エッチングを全面で行ない、上記非晶質Si膜を溝内に
のみ残し、他の部分からは除去した。その後、700℃
の窒素雰囲気で20分間熱処理してSi膜706を多結
晶とし、これを蓄積電極とした。この際、Si膜706
中のボロンが基板701へ拡散し、拡散層707が形成
される。以上の工程によって、キャパシタ部が形成され
た。
As shown in FIG. 11A, the Si substrate 701
A groove having a depth of 5 μm was formed in a predetermined region of the substrate by known lithography and dry etching. Next, SiH 4 and N 2
SiO 2 film 70 is formed by LPCVD using O as a source gas.
After forming No. 2, anisotropic etching was performed to leave the SiO 2 film 702 only on the side wall in the groove, and removed from other portions. An amorphous Si film 704 containing boron was formed by LPCVD using Si 2 H 6 and B 2 H 6 , and this was patterned by known photoetching. The formation temperature was 350 ° C. and the pressure was 30 Pa. Then 900 ° C
Of the boron diffusion layer 70 by heat treatment in the nitrogen atmosphere of
3 was formed as a plate electrode. At this time, the amorphous Si film 704 containing boron became polycrystalline. Next, by LPCVD using SiH 2 Cl 2 and NH 3 ,
A silicon nitride film (Si 3 N 4 ) was deposited and the surface was oxidized to form a silicon nitride film / oxide film laminated film 705.
Then, amorphous Si 706 containing boron was deposited by LPCVD using Si 2 H 6 and B 2 H 6 to completely fill the groove. The deposition conditions are a furnace temperature of 350 ° C and a pressure of 30P.
a. Under this condition, the amorphous Si had excellent step coverage and could fill the groove almost completely even if the aspect ratio was 1.5 or more. After that, well-known dry etching was performed on the entire surface to leave the amorphous Si film only in the groove and remove it from other portions. Then 700 ° C
Was heat-treated in the nitrogen atmosphere for 20 minutes to make the Si film 706 polycrystalline and used as a storage electrode. At this time, the Si film 706
Boron is diffused into the substrate 701 to form a diffusion layer 707. Through the above steps, the capacitor part was formed.

【0061】次に、図11(b)に示すように、酸素雰囲
気中でSi基板701の表面を酸化し、厚さ6nmのゲ
ート酸化膜708を形成した。Si26とB26を用い
たLPCVDによって、ボロンを含有する厚さ150n
mの非晶質Si膜を形成し、650℃の窒素雰囲気で2
0分間処理して多結晶化し、これを周知のリソグラフィ
とドライエッチングによってパターニングしてゲート電
極709を形成した。BF2+イオンをイオン打込みした
後、900℃の窒素雰囲気で熱処理して、ソース・ドレ
イン領域710を形成した。その後CVDSiO2膜7
11を堆積し、これを加工してトランジスタを完成し
た。
Next, as shown in FIG. 11B, the surface of the Si substrate 701 was oxidized in an oxygen atmosphere to form a gate oxide film 708 having a thickness of 6 nm. A thickness of 150 n containing boron by LPCVD using Si 2 H 6 and B 2 H 6 .
m amorphous Si film is formed, and it is used in a nitrogen atmosphere at 650 ° C. for 2 hours.
It was treated for 0 minutes to be polycrystallized, and patterned by well-known lithography and dry etching to form a gate electrode 709. After implanting BF 2 + ions, heat treatment was performed in a nitrogen atmosphere at 900 ° C. to form source / drain regions 710. After that, CVD SiO 2 film 7
11 was deposited and processed to complete a transistor.

【0062】本実施例において作成されたメモリセル
の、蓄積電極及びプレート電極の抵抗は、従来に比べ著
しく低く、そのため従来よりはるかに高速な動作が可能
であった。また、Siは段差被覆性がすくれているた
め、溝内の空孔(ボイド)発生を防止でき、断線等の不
良を従来に比べ大幅に低減した。
The resistance of the storage electrode and the plate electrode of the memory cell manufactured in this example was remarkably lower than that of the conventional one, so that the operation at a much higher speed than the conventional one was possible. Further, since Si has a step coverage, it is possible to prevent the generation of voids (voids) in the groove, and the defects such as disconnection are greatly reduced as compared with the prior art.

【0063】本実施例によれば、低温度で形成されたボ
ロンを含有する非晶質Si膜を、キャパシタの蓄積電極
やプレート電極に用いることにより、DRAMの高速化
と信頼性向上が実現された。
According to the present embodiment, by using the amorphous Si film containing boron formed at a low temperature for the storage electrode and plate electrode of the capacitor, the speedup and the reliability improvement of the DRAM are realized. It was

【0064】なお、本実施例では溝型キャパシタを具備
したDRAMについて説明したが、積層型キャパシタで
あっても同様の効果が得られた。
Although the DRAM having the groove type capacitor has been described in this embodiment, the same effect can be obtained even with the laminated type capacitor.

【0065】〈実施例8〉本実施例は、ボロンを含有し
た非晶質Si膜をW膜に置換し開口部内をWによって充
填した例である。
<Embodiment 8> This embodiment is an example in which the amorphous Si film containing boron is replaced with a W film and the inside of the opening is filled with W.

【0066】本実施例において用いた装置の基本的な構
成は図3に示した装置と同じであり、導入するガスのみ
が異なる。
The basic structure of the apparatus used in this example is the same as that shown in FIG. 3, and only the gas to be introduced is different.

【0067】試料基板40には以下のものを用いた。ま
ずSiウェーハ上に厚さ100nmのSiO2膜を熱酸
化法によって形成した。次に、Si26とB26を原料
ガスとして用い、厚さ1μmのボロンを含有する非晶質
Si膜を形成した。この際、Si26とB26の流量は
それぞれ50cc/分、0.5cc/分とし、炉内温度
は350℃、圧力は30Paとした。
The following was used as the sample substrate 40. First, a 100 nm thick SiO 2 film was formed on a Si wafer by a thermal oxidation method. Next, using Si 2 H 6 and B 2 H 6 as source gases, an amorphous Si film containing boron having a thickness of 1 μm was formed. At this time, the flow rates of Si 2 H 6 and B 2 H 6 were 50 cc / min and 0.5 cc / min, respectively, the furnace temperature was 350 ° C., and the pressure was 30 Pa.

【0068】石英管10の中央に治具30を置き、これ
に試料基板40を装着した。石英管10内を排気した
後、バルブ50及びバルブ70を開けて炉内にWF6
2を導入し、上記ボロンを含有する非晶質Si膜をW
膜に置換した。WF6とN2の流量はそれぞれ20cc/
分、2000cc/分、炉内温度は300℃、炉内圧力
は100Paであった。所定時間ガスを導入して膜の置
換を行なった後、試料基板40を取り出した。その後、
試料基板40を表面に垂直な平面に沿って劈開し、走査
型電子顕微鏡により形成されたWの膜厚を測定した。結
果を図12に示す。
The jig 30 was placed in the center of the quartz tube 10 and the sample substrate 40 was mounted on it. After evacuating the inside of the quartz tube 10, the valves 50 and 70 are opened to introduce WF 6 and N 2 into the furnace, and the amorphous Si film containing boron is W
The membrane was replaced. The flow rate of WF 6 and N 2 is 20cc /
Min, 2000 cc / min, the furnace temperature was 300 ° C., and the furnace pressure was 100 Pa. After introducing the gas for a predetermined time to replace the film, the sample substrate 40 was taken out. afterwards,
The sample substrate 40 was cleaved along a plane perpendicular to the surface, and the film thickness of W formed by a scanning electron microscope was measured. Results are shown in FIG.

【0069】図12において直線(a)は、110℃に
熱した硝酸処理により、ボロンを含有した非晶質Si膜
表面に厚さ1.1nmの酸化膜(Chemical Oxide)をW
の析出反応制御膜として形成した後、非晶質Si膜をW
膜に置換した際の結果である。W膜の厚さは置換時間に
比例して増大した。
In FIG. 12, a straight line (a) shows that a 1.1 nm thick oxide film (Chemical Oxide) is formed on the surface of the amorphous Si film containing boron by the nitric acid treatment heated to 110 ° C.
Of the amorphous Si film as a W
This is the result when the film was replaced. The W film thickness increased in proportion to the replacement time.

【0070】図12において直線(b)は、ボロンを含
有する非晶質Si膜を1%HF水溶液で60秒間洗浄
し、Si膜表面の酸化膜を除去した後、非晶質Si膜を
W膜に置換した結果である。300℃においては、形成
されるW膜厚はほぼ10nmで形成時間によらず一定で
あり、置換反応はほとんど進行しなかった。
In FIG. 12, the straight line (b) shows that the amorphous Si film containing boron is washed with a 1% HF aqueous solution for 60 seconds to remove the oxide film on the surface of the Si film, and then the amorphous Si film is subjected to W This is the result of replacing the film. At 300 ° C., the formed W film thickness was approximately 10 nm and was constant regardless of the formation time, and the substitution reaction hardly proceeded.

【0071】本実施例によれば、ボロンを含有する非晶
質Si膜上にW反応析出制御膜を形成し、これをWF6
と反応させることにより、1μm近い厚さのW膜を形成
できることが認められた。しかも、上記条件で形成され
た非晶質Si膜はステップ・カバレッジが極めてすぐれ
ているので、この非晶質SiをWによって置換すること
により、コンタクト孔や接続孔内を、Wに極めて良好に
充填できることが確認できた。
According to this embodiment, a W reactive deposition control film is formed on an amorphous Si film containing boron, and this film is subjected to WF 6
It was found that a W film with a thickness of about 1 μm can be formed by reacting with. In addition, since the amorphous Si film formed under the above conditions has a very good step coverage, by replacing this amorphous Si with W, the inside of the contact hole or the connection hole can be very well filled with W. It was confirmed that filling was possible.

【0072】〈実施例9〉図13A(a)〜13C(i)は
タングステン膜埋込み層形成の工程を示す図である。
<Embodiment 9> FIGS. 13A (a) to 13C (i) are views showing steps of forming a tungsten film burying layer.

【0073】まず、図13A(a)に示すように、p型
(100)のSi基板201上に、公知の技術により素
子分離用SiO2膜202、ゲートSiO2膜202’を
形成した後、厚さ300nmの多結晶Si膜203をL
PCVD法で形成し、不純物を添加して低抵抗化した
後、通常のリソグラフィとドライエッチング技術によっ
てパターニングを行なって、ゲート電極を形成した。こ
のようにして形成されたSi膜からなるゲート電極20
3をマスクとしてヒ素イオン打込みを行ない、さらに熱
処理を行なってソース、ドレインとなる不純物拡散層2
04を形成した後、LPCVD法によりSiO2膜20
5を形成し層間酸化膜とした。
First, as shown in FIG. 13A (a), after forming an element isolation SiO 2 film 202 and a gate SiO 2 film 202 'on a p-type (100) Si substrate 201 by a known technique, The polycrystalline Si film 203 having a thickness of 300 nm is set to L
The gate electrode was formed by the PCVD method, and after adding impurities to reduce the resistance, patterning was performed by ordinary lithography and dry etching techniques. The gate electrode 20 made of the Si film thus formed
Impurity ion implantation is performed using 3 as a mask, and further heat treatment is performed to form an impurity diffusion layer 2 serving as a source and a drain.
After forming 04, the SiO 2 film 20 is formed by the LPCVD method.
5 was formed as an interlayer oxide film.

【0074】図13A(b)に示すようにボロン及びリン
を含有したSiO2膜(Boron DopedPhosphosilicate Gl
ass film、以下BPSG膜と記す)206をCVD法に
より700nmの厚さに形成した後、900℃のN2
でアニールしてリフローし、その後リソグラフィとドラ
イエッチング技術により直径0.5μmのコンタクト孔
hを開けた。
As shown in FIG. 13A (b), a SiO 2 film (Boron Doped Phosphosilicate Gl) containing boron and phosphorus is formed.
An ass film (hereinafter referred to as a BPSG film) 206 is formed to a thickness of 700 nm by a CVD method, and then annealed in N 2 at 900 ° C. for reflow, and then a contact hole having a diameter of 0.5 μm is formed by lithography and dry etching techniques. opened h.

【0075】次に、図13A(c)に示すように、反応性
スパッタリングにより窒化チタン(TiN)膜207
(厚さ150nm)を全面に形成した後、Si26とB
26を原料ガスに用い、LPCVD法により、炉内温度
350℃、圧力30Paでボロンを含有する厚さ500
nmの非晶質Si膜208を形成した。この際膜の堆積
温度が400℃を越えるとTiN膜の剥離を生じた。従
って、Si膜の堆積温度は400℃より低くすることが
望ましい。その後、フォトレジスト膜209を表面が平
坦になるように全面に塗布した。
Next, as shown in FIG. 13A (c), a titanium nitride (TiN) film 207 is formed by reactive sputtering.
(Thickness 150 nm) is formed on the entire surface, then Si 2 H 6 and B
Using 2 H 6 as a source gas, by LPCVD, furnace temperature 350 ° C., thickness containing boron at a pressure 30 Pa 500
An amorphous Si film 208 having a thickness of nm was formed. At this time, when the deposition temperature of the film exceeded 400 ° C., peeling of the TiN film occurred. Therefore, it is desirable that the deposition temperature of the Si film be lower than 400 ° C. Then, a photoresist film 209 was applied on the entire surface so that the surface was flat.

【0076】図13B(d)に示すように、SF6を用い
たドライエッチングを全面に行ない、TiN膜207と
ボロンを含有する非晶質Si膜208を、コンタクト孔
hの内部にのみ残し、他の部分からはすべて除去した。
なお、TiN膜207はコンタクト孔の外部に残っても
かまわない。むしろ、この上にAl等の導体層が後の工
程で形成される場合には、TiN膜がAl膜に対しても
バリア層として作用し信頼性の向上に役立つ。次に、1
10℃に加熱したHNO3中に試料を1分間浸漬して、
Si膜208表面に厚さ1.1nmの酸化シリコン膜2
02”を形成した。 図13B(e)に示すように、WF
6を原料ガスとして用いたCVD法により、ボロンを含
有する非晶質Si膜208をすべてW膜210によって
置換した。CVDの条件は、ガス流量比WF6/N2=2
0/2000sccm、全圧力100Pa、温度300
℃であった。置換後、表面に一部残された酸化シリコン
膜202”はフッ酸水溶液により除去した。
As shown in FIG. 13B (d), dry etching using SF 6 is performed on the entire surface to leave the TiN film 207 and the amorphous Si film 208 containing boron only inside the contact hole h. All other parts were removed.
The TiN film 207 may remain outside the contact hole. Rather, when a conductor layer of Al or the like is formed thereon in a later step, the TiN film acts as a barrier layer also on the Al film, which helps improve reliability. Then 1
Immerse the sample in HNO 3 heated to 10 ° C. for 1 minute,
1.1 nm thick silicon oxide film 2 on the surface of Si film 208
02 ”was formed. As shown in FIG. 13B (e), WF was formed.
The amorphous Si film 208 containing boron was entirely replaced with the W film 210 by the CVD method using 6 as a source gas. The CVD conditions are gas flow ratio WF 6 / N 2 = 2
0 / 2000sccm, total pressure 100Pa, temperature 300
It was ℃. After the replacement, the silicon oxide film 202 ″ partially left on the surface was removed by an aqueous solution of hydrofluoric acid.

【0077】図13B(f)に示すように、Al膜211
(厚さ500nm)およびモリブデンシリサイド膜21
2(厚さ100nm)を順次堆積し、周知のホトエッチ
ングによりパターニングしてAl配線を形成し、続い
て、プラズマSiO膜/SOG(Spin on Glass)膜/
プラズマSiO膜からなる積層膜213(厚さそれぞれ
300nm/400nm/300nm、計1μm)を形
成し、この積層膜213に周知のリソグラフィとドライ
エッチング技術を用いて、モリブデンシリサイド膜21
2に達する直径0.5μmのコンタクト孔h’を形成し
た。
As shown in FIG. 13B (f), the Al film 211
(Thickness 500 nm) and molybdenum silicide film 21
2 (thickness 100 nm) is sequentially deposited, and Al wiring is formed by patterning by well-known photo-etching, followed by plasma SiO film / SOG (Spin on Glass) film /
A laminated film 213 (thicknesses of 300 nm / 400 nm / 300 nm, total 1 μm) made of a plasma SiO film is formed, and the molybdenum silicide film 21 is formed on the laminated film 213 by using known lithography and dry etching techniques.
A contact hole h ′ having a diameter of 0.5 μm and reaching 2 was formed.

【0078】図13C(g)に示すように、低圧CVD
法により、炉内温度350℃、圧力30Paという条件
でボロンを含有する非晶質Si膜208(厚さ500n
m)を形成した。この際、温度が400℃を越え500
℃近くとすると、Al配線の溶融が生じた。次いで、全
面ドライエッチングして非晶質Si膜208をコンタク
ト孔h’内のみに残し、他の部分は除去した。その後、
図14B(d)の工程と同様にして110℃に熱したHN
3中に試料を1分間浸漬して、Si膜208表面に厚
さ1.1nmの酸化シリコン膜202”を形成した。
As shown in FIG. 13C (g), low pressure CVD
Method, the amorphous Si film 208 containing boron (thickness: 500 n) under the conditions of a furnace temperature of 350 ° C. and a pressure of 30 Pa.
m) was formed. At this time, the temperature exceeds 400 ° C and 500
When the temperature was close to 0 ° C, the Al wiring was melted. Then, the entire surface was dry-etched to leave the amorphous Si film 208 only in the contact holes h ′ and remove the other parts. afterwards,
HN heated to 110 ° C in the same manner as in the process of Fig. 14B (d)
The sample was dipped in O 3 for 1 minute to form a 1.1 nm thick silicon oxide film 202 ″ on the surface of the Si film 208.

【0079】図13C(h)に示すように、WF6を用い
たCVD法により、ボロンを含有する非晶質Si膜20
8をすべてW膜210に置換した。CVDの条件は、ガ
ス流量比WF6/Ar=20/2000sccm、全圧
力100Pa、温度300℃であった。W膜210の表
面上に一部残された酸化シリコン膜202”は、フッ酸
水溶液で除去した。更に、WF6とH2を用いた周知のC
VD法によりコンタクト孔h’を完全にW膜で充填し
た。この場合のCVDの条件は、ガス流量WF6/H2
20/2000sccm、全圧力60Pa、温度350
℃であった。この際H2を加えた従来のCVDを用いた
理由は、上記式(2)に示したように、Si膜をW膜に
置換する際、Si膜3モルに対しW膜2モルが生成し、
体積の減少が生じるためである。この条件によってCV
Dを行ない、W膜上にのみ選択的にWを析出させること
ができた。
As shown in FIG. 13C (h), the amorphous Si film 20 containing boron is formed by the CVD method using WF 6.
All of 8 were replaced with W film 210. The CVD conditions were a gas flow rate ratio WF 6 / Ar = 20/2000 sccm, a total pressure of 100 Pa, and a temperature of 300 ° C. The silicon oxide film 202 ″ partially left on the surface of the W film 210 was removed by an aqueous solution of hydrofluoric acid. Further, the well-known C using WF 6 and H 2 was used.
The contact hole h ′ was completely filled with the W film by the VD method. The CVD condition in this case is that the gas flow rate is WF 6 / H 2 =
20 / 2000sccm, total pressure 60Pa, temperature 350
It was ℃. At this time, the reason why the conventional CVD with addition of H 2 is used is that, when the Si film is replaced with the W film, 2 mol of the W film is generated with respect to 3 mol of the Si film as shown in the above formula (2). ,
This is because the volume is reduced. CV by this condition
By performing D, W could be selectively deposited only on the W film.

【0080】図13C(i)に示すように、Al膜211
(厚さ900nm)をスパッタリング法により形成し、
周知のホトエッチングを用いて所定の形状にして、2層
目のAl配線を形成した。
As shown in FIG. 13C (i), the Al film 211
(Thickness 900 nm) is formed by the sputtering method,
The second layer Al wiring was formed into a predetermined shape by using known photo etching.

【0081】本実施例によれば、Si基板とAl配線、
及びAl配線間のコンタクト孔がタングステンにより埋
込まれ、平坦な多層配線構造が得られる。その結果、A
l配線間の段切れ等の問題が大幅に改善された。また、
ソース・ドレインと配線のコンタクト抵抗、およびAl
配線間のコンタクト抵抗が従来に比べ著るしく減少し
た。
According to this embodiment, the Si substrate and the Al wiring,
The contact hole between the Al wiring and the Al wiring is filled with tungsten, and a flat multilayer wiring structure is obtained. As a result, A
Problems such as step breaks between 1 wirings have been greatly improved. Also,
Source / drain and wiring contact resistance, and Al
The contact resistance between the wirings was significantly reduced compared to the conventional one.

【0082】〈実施例10〉本実施例は、ボロンを含有
した非晶質Si膜をW膜に置換せずに、そのままコンタ
クト孔の埋め込みに用いた例である。
<Embodiment 10> This embodiment is an example in which an amorphous Si film containing boron is used as it is for filling a contact hole without replacing it with a W film.

【0083】実施例9と同様の工程により、まず、図13
A(c)と同一の断面構造を有する半導体装置を形成し
た。続いて図14A(a)に示すように、SF6を用いた
全面ドライエッチングを行ない、ボロンを含有する非晶
質Si膜208をコンタクト孔h内部にのみ残し、他に
形成されている部を除去した。なお、本実施例において
は、ドライエッチングはTiN膜207が露出した時点
で終了とし、コンタクト孔の外部にもTiN膜を残し
た。
First, as shown in FIG.
A semiconductor device having the same sectional structure as A (c) was formed. Subsequently, as shown in FIG. 14A (a), the entire surface is dry-etched using SF 6, and the amorphous Si film 208 containing boron is left only inside the contact hole h, and other portions are formed. Removed. In this example, the dry etching was terminated when the TiN film 207 was exposed, and the TiN film was left outside the contact hole.

【0084】続いて、図14A(b)に示すように、Ti
N膜207とAl膜211を重ねて形成した後、周知の
リソグラフィとドライエッチングにより、所定の形状に
パターニングしてAl配線を形成した。プラズマSiO
膜/SOG膜/プラズマSiO膜からなる三層膜213
(厚さそれぞれ300nm/400nm/300nm)
を形成し、再び周知のドライエッチによって所定部分を
エッチし、Al膜211に達する直径0.5μmのコン
タクト孔h’を形成した。
Then, as shown in FIG. 14A (b), Ti
After forming the N film 207 and the Al film 211 in an overlapping manner, they were patterned into a predetermined shape by well-known lithography and dry etching to form an Al wiring. Plasma SiO
Film / SOG film / plasma SiO film three-layer film 213
(Thickness is 300 nm / 400 nm / 300 nm, respectively)
Then, a predetermined portion was etched again by a well-known dry etching to form a contact hole h ′ having a diameter of 0.5 μm and reaching the Al film 211.

【0085】図14A(c)に示すように、モリブデンシ
リサイド膜212を全面形成し、さらにSi26とB2
6を用いたCVDによって厚さ500nmのボロンを
含有する非晶質Si膜208を、温度350℃で形成
し、接続孔h’を完全に埋めた。全面ドライエッチング
を行なって、図14B(d)に示すように、ボロンを含有
する非晶質Si膜208をコンタクト孔h’内部にのみ
残し,他の部分は除去した。
As shown in FIG. 14A (c), a molybdenum silicide film 212 is formed over the entire surface, and further Si 2 H 6 and B 2 are formed.
An amorphous Si film 208 containing boron having a thickness of 500 nm was formed at a temperature of 350 ° C. by CVD using H 6 to completely fill the contact hole h ′. The entire surface was dry-etched to leave the amorphous Si film 208 containing boron only inside the contact hole h ′ and remove the other parts, as shown in FIG. 14B (d).

【0086】最後に、図14B(e)に示すように、Al
膜211(厚さ900nm)をスパッタ法により形成し
た後、周知のリソグラフィとドライエッチング技術を用
いてこのAl膜211とモリブデンシリサイド膜212
をパターニングして、2層目のAl配線を形成した。
Finally, as shown in FIG. 14B (e), Al
After the film 211 (thickness 900 nm) is formed by the sputtering method, the Al film 211 and the molybdenum silicide film 212 are formed by using well-known lithography and dry etching techniques.
Was patterned to form a second-layer Al wiring.

【0087】本実施例ではコンタクト孔h及びh’に埋
め込まれたSi膜208は非晶質のままであるため、導
電性はほとんどない。電気伝導に寄与するのはAl配線
に接したTiN膜207及びモリブデンシリサイド膜2
12である。従って、配線の抵抗は実施例9の場合に比
べ若干高めであった。しかしながら、多層配線構造の表
面は実施例9よりもさらに平坦であり、段差上における
Al配線の断線等の問題がより一層改善された。さらに
工程の簡略化も実現された。
In this embodiment, since the Si film 208 buried in the contact holes h and h ′ remains amorphous, it has almost no conductivity. It is the TiN film 207 and the molybdenum silicide film 2 that are in contact with the Al wiring that contribute to electrical conduction.
Twelve. Therefore, the resistance of the wiring was slightly higher than that in the case of Example 9. However, the surface of the multilayer wiring structure was even flatter than that of Example 9, and the problems such as disconnection of the Al wiring on the step were further improved. Furthermore, simplification of the process has been realized.

【0088】〈実施例11〉本実施例では、ボロンを含
有する非晶質Si膜上にW膜を形成し、その後の熱処理
によりW−Si合金を形成した例を示す。
<Embodiment 11> In this embodiment, an example is shown in which a W film is formed on an amorphous Si film containing boron and a W—Si alloy is formed by a subsequent heat treatment.

【0089】図15(a)〜(f)に示す手順で試料5お
よび試料6を作成した。まず、図15(a)に示すよう
に、Si基板601の表面を熱酸化し、厚さ100nm
のSiO2膜602を形成した。次いで、以下の方法に
よりSi膜の形成及び不純物ドーピングを行なった。試
料5では、図15(b)に示すように、Si26とB2
6をそれぞれ50cc/分、0.5cc/分の流量で同
時に流し350℃、30Paの条件で、ボロンを含有す
る厚さ400nmの非晶質Si膜の603を形成した。
試料6においては、SiH4を原料ガスとして630
℃、80Paの条件下で厚さ400nmの多結晶Si膜
604を図15(c)に示すように形成した後、B+イオ
ン605を打込みエネルギー50keV、打込み量2×
1016/cm2でという条件でイオン打込みした。その
後、試料6は950℃の窒素雰囲気中で30分間熱処理
を行ない、不純物の分布が膜厚方向にほぼ一定となるよ
うにした。
Samples 5 and 6 were prepared by the procedure shown in FIGS. 15 (a) to 15 (f). First, as shown in FIG. 15A, the surface of the Si substrate 601 is thermally oxidized to a thickness of 100 nm.
Of SiO 2 film 602 was formed. Then, a Si film was formed and impurities were doped by the following method. In Sample 5, as shown in FIG. 15B, Si 2 H 6 and B 2
H 6 was simultaneously flown at flow rates of 50 cc / min and 0.5 cc / min, respectively, to form a boron-containing amorphous Si film 603 having a thickness of 400 nm under the conditions of 350 ° C. and 30 Pa.
In Sample 6, 630 was used as the source gas of SiH 4.
After forming a polycrystalline Si film 604 having a thickness of 400 nm as shown in FIG. 15C under the condition of 80 ° C. and 80 Pa, B + ions 605 are implanted with an energy of 50 keV and an implantation amount of 2 ×.
Ion implantation was performed under the condition of 10 16 / cm 2 . After that, Sample 6 was heat-treated in a nitrogen atmosphere at 950 ° C. for 30 minutes so that the distribution of impurities was substantially constant in the film thickness direction.

【0090】図15(d)および図15(e)に示すよう
に、ボロンを含有する非晶質Si膜603及び多結晶S
i膜604上に、WF6とH2を用いた減圧CVD法によ
り厚さ200nmのW膜607を形成した。この際の条
件は、ガス流量WF6/H2=20/2000sccm、
温度350℃、全圧力60Paとした。次に、800℃
のH2雰囲気中で30分間熱処理を行なって、W膜中に
Siを固溶させ、図15(f)に示すように、W−Si合
金608を形成した。なお、この熱処理によりボロンを
含有する非晶質Si膜603は多結晶シリコン膜606
となる。最後に、過酸化水素溶液により、未反応のW膜
を除去した。
As shown in FIGS. 15D and 15E, an amorphous Si film 603 containing boron and a polycrystalline S film are formed.
A W film 607 having a thickness of 200 nm was formed on the i film 604 by a low pressure CVD method using WF 6 and H 2 . The conditions at this time are as follows: gas flow rate WF 6 / H 2 = 20/2000 sccm,
The temperature was 350 ° C. and the total pressure was 60 Pa. Next, 800 ℃
Was heat-treated in the H 2 atmosphere for 30 minutes to dissolve Si in the W film to form a W-Si alloy 608 as shown in FIG. 15 (f). By this heat treatment, the amorphous Si film 603 containing boron becomes a polycrystalline silicon film 606.
Becomes Finally, the hydrogen peroxide solution removed the unreacted W film.

【0091】その後、試料5および試料6を、Si基板
面に垂直な平面に沿って劈開し、走査型電子顕微鏡によ
りW−Si合金の表面状態及びW−Si合金とSi膜界
面の状態を、また、オージェ電子分光法により合金の組
成をそれぞれ観察した。
Then, the samples 5 and 6 were cleaved along a plane perpendicular to the Si substrate surface, and the surface condition of the W-Si alloy and the condition of the interface between the W-Si alloy and the Si film were examined by a scanning electron microscope. Moreover, the composition of each alloy was observed by Auger electron spectroscopy.

【0092】その結果、ボロンを含有する非晶質Si膜
をW−Si合金化した試料5の表面の凹凸並びにSi膜
606とW−Si合金膜608の界面は、試料6に比べ
て平坦であった。これは、試料6のSi膜中には様々な
方位の結晶粒が存在するため均一な合金化が進まなかっ
たのに対し、試料5のSi膜は非晶質のため、W膜とS
i膜の界面で均一な合金化が進行したためと考えられ
る。なお、試料5および試料6はいずれも、形成された
合金の組成は概ねW原子1個に対しSi原子2個であっ
た。
As a result, the unevenness of the surface of the sample 5 obtained by W-Si alloying the amorphous Si film containing boron and the interface between the Si film 606 and the W-Si alloy film 608 are flat as compared with the sample 6. there were. This is because uniform alloying did not proceed due to the presence of crystal grains of various orientations in the Si film of Sample 6, whereas the Si film of Sample 5 was amorphous, so that the W film and S
It is considered that uniform alloying proceeded at the interface of the i film. In each of Sample 5 and Sample 6, the composition of the formed alloy was approximately 1 W atom and 2 Si atoms.

【0093】本実施例によれば、Si26とB26を用
いたCVDによって形成したボロンを含有するSi膜上
にW膜を形成し、熱処理を行なうことにより、表面及び
界面の平坦性に優れたW−Si合金が形成できることが
認められた。
According to this embodiment, the W film is formed on the Si film containing boron formed by the CVD using Si 2 H 6 and B 2 H 6 and the heat treatment is performed to remove the surface and interface. It was confirmed that a W-Si alloy having excellent flatness can be formed.

【0094】なお、本実施例ではW膜の形成方法として
WF6とH2を用いた低圧CVD法を用いたが、WF6
SiH4を用いた低圧CVD法やスパッタ法を用いても
構わない。また、これらの複数種を用いてもよい。
Although the low pressure CVD method using WF 6 and H 2 is used as the method for forming the W film in this embodiment, a low pressure CVD method or a sputtering method using WF 6 and SiH 4 may be used. Absent. Moreover, you may use these multiple types.

【0095】〈実施例12〉本実施例では、ボロンを含
有する非晶質Si膜を集積回路のプログラム配線に用
い、その一部分にエネルギービームスポットを照射して
多結晶化することによりプログラムを行なった例であ
る。
<Embodiment 12> In this embodiment, an amorphous Si film containing boron is used as a program wiring of an integrated circuit, and a part of the film is irradiated with an energy beam spot to be polycrystallized for programming. It is an example.

【0096】図16(a)および図16(b)はその基本概
念を示す模式図である。図16(a)に示すように、Si
基板301上にはプラズマSiO2膜/SOG膜/プラ
ズマSiO2膜から成る三層の絶縁膜302を介して、
Al配線303と303’が形成されている。図16
(a)においては省略されているが、Al配線303,
303’のどちらか少なくとも一方、例えばAl配線3
03はSi基板301に設けられた半導体装置へ接続さ
れている。Al配線303,303’上にはバリアメタ
ル膜として例えばTiN膜304を形成しておく。この
配線上にプラズマSiO膜/SOG膜/プラズマSiO
膜からなる三層膜302’を形成し、これを周知のリソ
グラフィとドライエッチング技術によりパターニングし
て、上記配線303,303’の各々の一部分を露出し
た。続いて、Si26とB26を原料ガスに用いた低圧
CVD法により、350℃、30Paの条件下でボロン
を含有する非晶質Si膜305を形成し、周知のホトエ
ッチングによりボロンを含有するSi膜305をパター
ニングした。
16 (a) and 16 (b) are schematic diagrams showing the basic concept. As shown in FIG. 16 (a), Si
On the substrate 301, a three-layer insulating film 302 composed of a plasma SiO 2 film / SOG film / plasma SiO 2 film is interposed,
Al wirings 303 and 303 'are formed. Fig. 16
Although omitted in (a), the Al wiring 303,
At least one of 303 ', for example, Al wiring 3
03 is connected to a semiconductor device provided on the Si substrate 301. For example, a TiN film 304 is formed as a barrier metal film on the Al wirings 303 and 303 ′. Plasma SiO film / SOG film / plasma SiO on this wiring
A three-layer film 302 'made of a film was formed and patterned by a well-known lithography and dry etching technique to expose a part of each of the wirings 303 and 303'. Then, an amorphous Si film 305 containing boron is formed by a low pressure CVD method using Si 2 H 6 and B 2 H 6 as source gases under the conditions of 350 ° C. and 30 Pa, and well-known photoetching is performed. The Si film 305 containing boron was patterned.

【0097】このSi膜305は、この段階では非晶質
であり、且つ、膜中の水素濃度も小さいため極めて抵抗
が高い。従って、Al配線303と303’は完全に互
いに絶縁されているといってよい。このSi膜305に
レーザービームスポット306を照射しエネルギーを与
えることにより、図16(b)に示したように非晶質Si
膜305は多結晶シリコン膜307となり、同時にSi
膜307中に含まれる不純物を活性化されて導電性が得
られる。この結果Al配線303と303’は互いに導
通される。
The Si film 305 is amorphous at this stage, and the hydrogen concentration in the film is small, so that the resistance is extremely high. Therefore, it can be said that the Al wirings 303 and 303 'are completely insulated from each other. By irradiating the Si film 305 with a laser beam spot 306 and applying energy thereto, amorphous Si as shown in FIG.
The film 305 becomes a polycrystalline silicon film 307, and at the same time Si
The impurities contained in the film 307 are activated to obtain conductivity. As a result, the Al wirings 303 and 303 'are electrically connected to each other.

【0098】上記Si膜305のレーザー照射前の抵抗
は1010Ω以上であり、Al配線303と303’は絶
縁されていた。しかし、Si膜305の上部より径1μ
mのレーザービームを30nsec照射したところ、S
i膜305は多結晶化し、その抵抗は200Ωになり照
射前の1/107に低下して、Al配線303と30
3’は互いに導通された。なお、上記レーザーのエネル
ギーは、Al膜もしくは多結晶Si膜を溶断するのに要
するエネルギーの1/100〜1/10程度であり、A
l膜やTiN膜、あるいは層間絶縁膜や下地Si基板に
はほとんど影響を及ぼさなかった。
The resistance of the Si film 305 before laser irradiation was 10 10 Ω or more, and the Al wirings 303 and 303 ′ were insulated. However, the diameter is 1 μm from the top of the Si film 305.
When a laser beam of m is irradiated for 30 nsec, S
The i film 305 is polycrystallized and its resistance becomes 200Ω, which is reduced to 1/10 7 before irradiation, and the Al wirings 303 and 30 are formed.
The 3's were conducted to each other. The energy of the laser is about 1/100 to 1/10 of the energy required to melt and cut the Al film or the polycrystalline Si film.
The Al film, the TiN film, the interlayer insulating film, and the underlying Si substrate were hardly affected.

【0099】すなわち、本実施例によれば、エネルギー
が小さく低パワーの安価なレーザーを用いて高抵抗体を
導体に変換することができる。従って、上記非晶質Si
膜を用いて構成された配線もしくは回路を集積回路内に
配置しておき、上記レーザー照射によって所定部分を短
絡させることによって不良な回路あるいは回路ブロック
を良好な回路あるいは回路ブロックに入れ替えることが
できる。一例として、メモリ回路内のデコーダ回路に上
記Si膜を用いた予備のデコーダ回路を設け、相当する
予備のメモリセルを備えておけば、欠陥ビットの救済が
可能となる。さらに、メモリ回路内のデコーダ回路その
ものに上記Si膜を組み込めば、上記レーザー光照射に
よる短絡により、情報の書き込みが可能となる。
That is, according to the present embodiment, it is possible to convert a high resistance body into a conductor by using an inexpensive laser with low energy and low power. Therefore, the amorphous Si
A wiring or a circuit formed by using a film is placed in an integrated circuit, and a predetermined portion is short-circuited by the laser irradiation to replace a defective circuit or circuit block with a good circuit or circuit block. As an example, if a decoder circuit in the memory circuit is provided with a spare decoder circuit using the Si film and a corresponding spare memory cell is provided, the defective bit can be repaired. Furthermore, if the Si film is incorporated in the decoder circuit itself in the memory circuit, information can be written by the short circuit caused by the laser light irradiation.

【0100】なお、従来、メモリの欠陥救済の多くは、
エネルギーの大きなレーザービームを用いてSi膜ある
いはAl膜を切断し、欠陥セルに接続されたデコーダを
開放してダミーデコーダに接続された欠陥のないセルと
取替えるという方法で行なわれていた。しかし、この方
法では、溶けた多結晶SiやAlが近傍の配線に接続し
たり絶縁膜を損傷するため、レイアウトに十分な余裕が
必要であり、大面積となる欠点があった。しかし、本実
施例によれば、Si膜やAl膜は溶融しないので、予備
のデコーダ回路を小さくできる。この効果は、Si膜が
Al配線上に形成できるという特長により一層助長され
る。
Conventionally, most of the defect repairs of the memory are
This method has been performed by cutting a Si film or an Al film with a laser beam having a large energy, opening a decoder connected to a defective cell, and replacing it with a defect-free cell connected to a dummy decoder. However, in this method, melted polycrystalline Si or Al connects to nearby wiring or damages the insulating film, so that a sufficient margin is required for the layout and there is a drawback that the area becomes large. However, according to this embodiment, since the Si film and the Al film are not melted, the spare decoder circuit can be downsized. This effect is further promoted by the feature that the Si film can be formed on the Al wiring.

【0101】なお、本実施例は短絡のみを利用したもの
であるが、レーザー照射のエネルギーを上げれば開放も
可能であるので、これらを併用すればさらに配線の自由
度が増すことはいうまでもない。また、本実施例ではエ
ネルギー源としてレーザービームを用いたが、同程度の
エネルギーを有する電子ビームやイオンビームを用いて
もよい。
Although the present embodiment utilizes only the short circuit, it can be opened by increasing the energy of laser irradiation, and it is needless to say that if these are used together, the degree of freedom of wiring is further increased. Absent. Further, although the laser beam is used as the energy source in this embodiment, an electron beam or an ion beam having similar energy may be used.

【0102】上記実施例1〜12において、ボロンを含
有した非晶質Si膜の堆積が200℃以上でかつ、40
0℃より低い温度で行なわれれば同様の効果が得られる
ことが認められた。膜の堆積温度が400℃より高くな
ると反応は供給律速となり、制御性良くSi膜の堆積を
することが困難となる。さらに、段差被覆率が0.9以
下となり、アスペクト比の大きな溝内を埋込むことが困
難となる。堆積温度が200℃以下の場合は、Si膜の
堆積速度が1nm/分以下と極めて小さくなって、スル
ープットが極度に小さくなるので半導体装置の製造に適
用できない。なお、上記実施例では、ボロンを含有した
非晶質Si膜を堆積する際、Si26を原料ガスに用い
たが、Si38を用いても同様の効果が得られる。
In Examples 1 to 12, the amorphous Si film containing boron was deposited at 200 ° C. or higher and 40
It was found that the same effect can be obtained if the temperature is lower than 0 ° C. When the deposition temperature of the film is higher than 400 ° C., the reaction is rate-determined, and it becomes difficult to deposit the Si film with good controllability. Further, the step coverage becomes 0.9 or less, which makes it difficult to fill the groove having a large aspect ratio. When the deposition temperature is 200 ° C. or lower, the deposition rate of the Si film is extremely low at 1 nm / min or less, and the throughput is extremely low, so that it cannot be applied to the manufacture of semiconductor devices. Although Si 2 H 6 was used as the source gas when depositing the amorphous Si film containing boron in the above-mentioned examples, the same effect can be obtained by using Si 3 H 8 .

【0103】また、実施例9及び10において、コンタ
クト部におけるバリアメタルとしてTi,Ta等の遷移
金属元素単体、あるいはそれらの窒化物、もしくはそれ
らのシリサイドやアルミニウム窒化物、ゴバルトケイ化
物、さらにはチタンタングステン等の合金膜を用いても
同等の効果が得られる。また、層間絶縁膜として、第1
層目にBPSG、第2層目にプラズマSiO/SOG/
プラズマSiOの重ね膜を用いたが、代わりにPSGあ
るいはポリイミド系耐熱性有機高分子絶縁膜等を用いて
も同様の効果が得られる。
In Examples 9 and 10, the transition metal elements such as Ti and Ta, or their nitrides, or their silicides, aluminum nitrides, gobarto silicides, and titanium tungsten are used as barrier metals in the contact portions. The same effect can be obtained by using an alloy film such as. In addition, as the interlayer insulating film, the first
BPSG as the layer and plasma SiO / SOG / as the second layer
Although the stacked film of plasma SiO is used, the same effect can be obtained by using PSG or a polyimide heat resistant organic polymer insulating film instead.

【0104】[0104]

【発明の効果】本発明によれば、段差被覆性が極めて優
れた、ボロンを含有するSi膜を形成することができ
る。このSi膜は従来より低温の熱処理で不純物の活性
化が完了するので、これを拡散源として用いることによ
り極めて浅い接合が形成できる。また、形成されたSi
膜をW膜に置換することが可能であるので、平坦で信頼
性の高い配線を形成することができ、LSIの高速化と
高集積化が実現される。
According to the present invention, it is possible to form a boron-containing Si film having excellent step coverage. Since activation of impurities is completed in the Si film by heat treatment at a lower temperature than in the conventional case, an extremely shallow junction can be formed by using this Si film as a diffusion source. Also, the formed Si
Since the film can be replaced with the W film, a flat and highly reliable wiring can be formed, and high speed and high integration of the LSI can be realized.

【図面の簡単な説明】[Brief description of drawings]

【図1】Si膜の堆積速度と堆積温度の関係を示す図。FIG. 1 is a diagram showing a relationship between a deposition rate of a Si film and a deposition temperature.

【図2】Si膜の段差被覆率と堆積温度の関係を示す
図。
FIG. 2 is a diagram showing a relationship between a step coverage of a Si film and a deposition temperature.

【図3】本発明を実施するにあたり用いた装置の概略
図。
FIG. 3 is a schematic view of an apparatus used for carrying out the present invention.

【図4】段差試料の作成手順を示す図。FIG. 4 is a diagram showing a procedure for creating a step sample.

【図5】拡散深さの測定に用いた試料の作成手順を示す
図。
FIG. 5 is a view showing a procedure for preparing a sample used for measuring a diffusion depth.

【図6】Si膜表面からの深さと不純物濃度の関係を示
す図。
FIG. 6 is a diagram showing the relationship between the depth from the Si film surface and the impurity concentration.

【図7】非晶質Siを拡散源に用いたMOSトランジス
タの製造工程を示す図。
FIG. 7 is a diagram showing a manufacturing process of a MOS transistor using amorphous Si as a diffusion source.

【図8】多結晶SiMOSトランジスタの製造工程を示
す図。
FIG. 8 is a diagram showing a manufacturing process of a polycrystalline SiMOS transistor.

【図9A】バイポ−ラトランジスタの製造に関する実施
例の前半を示す図。
FIG. 9A is a diagram showing the first half of the example relating to the manufacture of the bipolar transistor.

【図9B】バイポ−ラトランジスタの製造に関する実施
例の後半を示す図。
FIG. 9B is a diagram showing the second half of the example relating to the manufacture of the bipolar transistor.

【図10A】バイポーラトランジスタに関する他の実施
例の前半を示す図。
FIG. 10A is a diagram showing a first half of another example of the bipolar transistor.

【図10B】バイポーラトランジスタに関する他の実施
例の後半を示す図。
FIG. 10B is a diagram showing the latter half of another embodiment of the bipolar transistor.

【図11】DRAMの製造に関する実施例を示す工程
図。
FIG. 11 is a process drawing showing an embodiment relating to manufacturing of a DRAM.

【図12】W膜厚と置換時間の関係を示す図。FIG. 12 is a diagram showing the relationship between W film thickness and replacement time.

【図13A】MOSトランジスタの製造に関する実施例
の初めの工程を示す図。
FIG. 13A is a diagram showing a first step of an example of manufacturing a MOS transistor.

【図13B】MOSトランジスタの製造に関する実施例
の途中の工程を示す図。
FIG. 13B is a diagram showing a step in the middle of an example of manufacturing a MOS transistor.

【図13C】MOSトランジスタの製造に関する実施例
の終りの工程を示す図。
FIG. 13C is a diagram showing a final step of the example relating to the manufacture of the MOS transistor.

【図14A】MOSトランジスタに関する他の実施例の
前半を示す工程図。
FIG. 14A is a process drawing showing the first half of another embodiment of a MOS transistor.

【図14B】MOSトランジスタに関する他の実施例の
後半の工程図。
FIG. 14B is a second-half process drawing of another embodiment of the MOS transistor.

【図15】W−Si合金膜の形成方法を示す図。FIG. 15 is a diagram showing a method for forming a W-Si alloy film.

【図16】レーザービーム照射による回路の短絡を示す
図.
FIG. 16 is a diagram showing a circuit short circuit due to laser beam irradiation.

【符号の説明】[Explanation of symbols]

10…石英管、20…ヒータ、30…治具、40…試料
基板、50、60、70…バルブ、80…排気系、10
1…Si基板、102…熱酸化膜、103…溝、104
…酸化膜、201、201’…Si基板、202、20
2’、202”…酸化膜、203…多結晶Si膜、20
4…ソ−ス・ドレイン領域、205、205’…CVD
SiO2膜、206…BPSG膜、207…TiN膜、
208…非晶質Si膜、209…ホトレジスト膜、21
0…W膜、211…Al膜、212…モリブデンシリサ
イド膜、213…プラズマSiO/SOG/プラズマS
iO膜、214…ボロンを含有した多結晶Si膜、21
5…非晶質Si膜、216…多結晶Si膜、217…層
間絶縁膜、218…絶縁膜、h、h’…コンタクト孔、
301…Si基板、302、302’…三層の絶縁膜、
303、303’…Al膜、304…TiN膜、305
…非晶質Si膜、306…レーザービームスポット、3
07…多結晶Si膜、401…Si基板、402…Si
34膜、403…溝、404…非晶質Si膜、405…
多結晶Si膜、406…BF2イオン、408…多結晶
Si膜、409…拡散層、501…Si基板、502…
アンチモン埋込層、503…エピタキシャル成長層、5
04、504’、504”、504'''…酸化膜、50
5…Si34膜、506…多結晶Si膜、507…コレ
クタ領域、508…外部ベース領域、509…非晶質S
i膜、510…ベース領域、511…多結晶Si膜、5
12…非晶質Si膜、513…エミッタ領域、514…
多結晶Si膜、515…Al膜、516…ボロン埋込
層、601…Si基板、602…酸化膜、603…非晶
質Si膜、604…多結晶Si膜、606…多結晶Si
膜、607…W膜、608…W−Si合金膜、701…
Si基板、702、711…SiO2膜、703、70
7…拡散層、704、706、709…多結晶Si膜、
705…シリコン窒化膜/酸化膜積層膜、708…酸化
膜、710…ソース・ドレイン領域.
10 ... Quartz tube, 20 ... Heater, 30 ... Jig, 40 ... Sample substrate, 50, 60, 70 ... Valve, 80 ... Exhaust system, 10
1 ... Si substrate, 102 ... Thermal oxide film, 103 ... Groove, 104
... oxide film, 201, 201 '... Si substrate, 202, 20
2 ', 202 "... oxide film, 203 ... polycrystalline Si film, 20
4 ... Source / drain region, 205, 205 '... CVD
SiO 2 film, 206 ... BPSG film, 207 ... TiN film,
208 ... Amorphous Si film, 209 ... Photoresist film, 21
0 ... W film, 211 ... Al film, 212 ... Molybdenum silicide film, 213 ... Plasma SiO / SOG / plasma S
iO film, 214 ... Polycrystalline Si film containing boron, 21
5 ... Amorphous Si film, 216 ... Polycrystalline Si film, 217 ... Interlayer insulating film, 218 ... Insulating film, h, h '... Contact hole,
301 ... Si substrate, 302, 302 '... Three-layer insulating film,
303, 303 '... Al film, 304 ... TiN film, 305
... Amorphous Si film, 306 ... Laser beam spot, 3
07 ... Polycrystalline Si film, 401 ... Si substrate, 402 ... Si
3 N 4 film, 403 ... Groove, 404 ... Amorphous Si film, 405 ...
Polycrystalline Si film, 406 ... BF 2 ions, 408 ... Polycrystalline Si film, 409 ... Diffusion layer, 501 ... Si substrate, 502 ...
Antimony buried layer, 503 ... Epitaxial growth layer, 5
04, 504 ', 504 ", 504'" ... oxide film, 50
5 ... Si 3 N 4 film, 506 ... Polycrystalline Si film, 507 ... Collector region, 508 ... External base region, 509 ... Amorphous S
i film, 510 ... Base region, 511 ... Polycrystalline Si film, 5
12 ... Amorphous Si film, 513 ... Emitter region, 514 ...
Polycrystalline Si film, 515 ... Al film, 516 ... Boron buried layer, 601 ... Si substrate, 602 ... Oxide film, 603 ... Amorphous Si film, 604 ... Polycrystalline Si film, 606 ... Polycrystalline Si
Film, 607 ... W film, 608 ... W-Si alloy film, 701 ...
Si substrate, 702, 711 ... SiO 2 film, 703, 70
7 ... Diffusion layer, 704, 706, 709 ... Polycrystalline Si film,
705 ... Silicon nitride film / oxide film laminated film, 708 ... Oxide film, 710 ... Source / drain region.

フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/28 A 7738−4M 301 R 7738−4M 21/82 21/3205 27/04 C 8427−4M 21/331 29/73 21/336 29/784 7377−4M H01L 29/72 8225−4M 29/78 301 P 9056−4M 311 Y (72)発明者 小林 伸好 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 難波 光夫 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 橋本 孝司 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内Continuation of front page (51) Int.Cl. 5 Identification number Office reference number FI Technical indication location H01L 21/28 A 7738-4M 301 R 7738-4M 21/82 21/3205 27/04 C 8427-4M 21 / 331 29/73 21/336 29/784 7377-4M H01L 29/72 8225-4M 29/78 301 P 9056-4M 311 Y (72) Inventor Nobuyoshi Kobayashi 1-280 Higashi Renegakubo, Kokubunji, Tokyo Hitachi, Ltd. Central Research Laboratory (72) Inventor Mitsuo Namba 1-280 Higashi Koikekubo, Kokubunji City, Tokyo Inside Hitachi Central Research Laboratory (72) Inventor Takashi Hashimoto 1-280 Higashi Koikeku, Tokyo Kokubunji City Central Research Laboratory, Hitachi Ltd.

Claims (14)

【特許請求の範囲】[Claims] 【請求項1】ジシランおよびトリシランなる群から選択
された少なくとも一つとジボランを反応容器内に導入
し、圧力1気圧以下、温度200℃以上400℃より低
いという条件の化学気相蒸着法によって、上記反応容器
内に置かれた基板の表面上に、ボロンを含む非晶質シリ
コン膜を形成する工程を含む半導体装置の製造方法。
1. At least one selected from the group consisting of disilane and trisilane and diborane are introduced into a reaction vessel, and a chemical vapor deposition method is performed under the conditions of a pressure of 1 atm or less and a temperature of 200 ° C. or higher and lower than 400 ° C. A method of manufacturing a semiconductor device, comprising the step of forming an amorphous silicon film containing boron on a surface of a substrate placed in a reaction container.
【請求項2】上記ボロンを含む非晶質シリコン膜は、半
導体基板の露出された表面上に形成され、上記ボロンを
含む非晶質シリコン膜を形成した後、熱処理を行なっ
て、上記非晶質シリコン膜から上記半導体領域内へ上記
ボロンを拡散させ、上記半導体基板の表面領域内にp形
領域を形成する工程をさらに有することを特徴とする請
求項1記載の半導体装置の製造方法。
2. The amorphous silicon film containing boron is formed on an exposed surface of a semiconductor substrate, and the amorphous silicon film containing boron is formed and then heat-treated to form the amorphous silicon film. 2. The method of manufacturing a semiconductor device according to claim 1, further comprising the step of diffusing the boron into the semiconductor region from a crystalline silicon film to form a p-type region in the surface region of the semiconductor substrate.
【請求項3】上記p形領域はMOSトランジスタのソー
スもしくはドレイン領域であることを特徴とする請求項
2記載の半導体装置の製造方法。
3. The method of manufacturing a semiconductor device according to claim 2, wherein the p-type region is a source or drain region of a MOS transistor.
【請求項4】上記p形領域はバイポーラトランジスタの
エミッタもしくはベ−スであることを特徴とする請求項
2記載の半導体装置の製造方法。
4. The method of manufacturing a semiconductor device according to claim 2, wherein the p-type region is an emitter or a base of a bipolar transistor.
【請求項5】上記非晶質シリコン膜を不活性雰囲気中に
おいて熱処理して多結晶シリコン膜とする工程をさらに
含んでいることを特徴とする請求項2乃至4のいずれか
に記載の半導体装置の製造方法。
5. The semiconductor device according to claim 2, further comprising a step of heat-treating the amorphous silicon film in an inert atmosphere to form a polycrystalline silicon film. Manufacturing method.
【請求項6】上記熱処理の温度は700℃以下であるこ
とを特徴とする請求項5記載の半導体装置の製造方法。
6. The method of manufacturing a semiconductor device according to claim 5, wherein the temperature of the heat treatment is 700 ° C. or lower.
【請求項7】上記ボロンを含有する非晶質シリコン膜
は、上記半導体基板上に形成された絶縁膜の有する開口
部内に形成され、該開口部内の上記非晶質シリコン膜は
タングステンによって置換されることを特徴とする請求
項1記載の半導体装置の製造方法。
7. The amorphous silicon film containing boron is formed in an opening of an insulating film formed on the semiconductor substrate, and the amorphous silicon film in the opening is replaced with tungsten. The method of manufacturing a semiconductor device according to claim 1, wherein:
【請求項8】上記タングステンによる置換は、上記ボロ
ンを含む非晶質シリコン膜を、タングステンを含むガス
と接触させることによって行なわれることを特徴とする
請求項7記載の半導体装置の製造方法。
8. The method of manufacturing a semiconductor device according to claim 7, wherein the replacement with tungsten is performed by bringing the amorphous silicon film containing boron into contact with a gas containing tungsten.
【請求項9】上記タングステンを含むガスはWF6であ
ることを特徴とする請求項8記載の半導体装置の製造方
法る。
9. The method of manufacturing a semiconductor device according to claim 8, wherein the gas containing tungsten is WF 6 .
【請求項10】上記ボロンを含む非晶質シリコン膜は、
上記開口部内の上記半導体基板の表面上に、あらかじめ
形成された遷移金属膜、遷移金属の密化物もしくはシリ
サイド膜、窒化アルミニウム膜、コバルトシリサイド膜
およびチタン・タングステン合金膜からなる群から選択
された一つの膜の上に形成されることを特徴とする請求
項7乃至9のいずれかに記載の半導体装置の製造方法。
10. The amorphous silicon film containing boron is
One selected from the group consisting of a transition metal film, a transition metal densification or silicide film, an aluminum nitride film, a cobalt silicide film, and a titanium-tungsten alloy film formed on the surface of the semiconductor substrate in the opening. The method for manufacturing a semiconductor device according to claim 7, wherein the method is formed on one film.
【請求項11】上記タングステンによる置換は、上記非
晶質シリコン膜の表面上に、タングステンの析出を防止
するための膜を形成した後に行われることを特徴とする
請求項7乃至10のいずれかに記載の半導体装置の製造
方法。
11. The replacement with tungsten is performed after forming a film for preventing precipitation of tungsten on the surface of the amorphous silicon film. A method of manufacturing a semiconductor device according to item 1.
【請求項12】上記タングステンの析出を防止するため
の膜は二酸化シリコン膜であることを特徴とする請求項
11記載の半導体装置の製造方法。
12. The method of manufacturing a semiconductor device according to claim 11, wherein the film for preventing the precipitation of tungsten is a silicon dioxide film.
【請求項13】上記二酸化シリコン膜の膜厚は1〜3n
mであることを特徴とする請求項12記載の半導体装置
の製造方法。
13. The silicon dioxide film has a thickness of 1 to 3 n.
13. The method for manufacturing a semiconductor device according to claim 12, wherein m is m.
【請求項14】半導体基板上に所定の形状を有する配線
を形成する工程と、上記配線の所望の複数部分を結ぶボ
ロンを含む非晶質シリコン膜を形成する工程と、上記非
晶質シリコン膜の所望部分にエネルギ−ビ−ムを照射し
て、上記配線の所望の複数部分間を導通もしくは開放す
る工程を含み、上記非晶質シリコン膜は、請求項1記載
の上記化学蒸着法によって形成されることを特徴とする
半導体装置の製造方法。
14. A step of forming a wiring having a predetermined shape on a semiconductor substrate, a step of forming an amorphous silicon film containing boron connecting desired plural portions of the wiring, and the amorphous silicon film. 2. Amorphous silicon film is formed by the chemical vapor deposition method according to claim 1, further comprising the step of irradiating a desired portion of the wiring with an energy beam to conduct or open a desired plurality of portions of the wiring. A method for manufacturing a semiconductor device, comprising:
JP20293191A 1990-08-31 1991-08-13 Method for manufacturing semiconductor device Expired - Fee Related JP3193402B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP20293191A JP3193402B2 (en) 1990-08-31 1991-08-13 Method for manufacturing semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP22812490 1990-08-31
JP2-228124 1990-08-31
JP20293191A JP3193402B2 (en) 1990-08-31 1991-08-13 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH0521378A true JPH0521378A (en) 1993-01-29
JP3193402B2 JP3193402B2 (en) 2001-07-30

Family

ID=26513646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20293191A Expired - Fee Related JP3193402B2 (en) 1990-08-31 1991-08-13 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3193402B2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07231091A (en) * 1994-02-17 1995-08-29 Nec Corp Manufacture of semiconductor device
US5677235A (en) * 1993-09-16 1997-10-14 Tokyo Electron Limited Method for forming silicon film
US6716713B2 (en) 2001-02-12 2004-04-06 Asm America, Inc. Dopant precursors and ion implantation processes
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
JP2005536054A (en) * 2002-08-14 2005-11-24 エーエスエム アメリカ インコーポレイテッド Deposition of amorphous silicon-containing films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
JP2006524439A (en) * 2003-04-24 2006-10-26 エーエスエム アメリカ インコーポレイテッド Method for depositing polycrystalline film having highly functional particle structure
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7674726B2 (en) 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
KR20130011926A (en) * 2011-07-22 2013-01-30 도쿄엘렉트론가부시키가이샤 Method and apparatus for forming amorphous silicon film
JP2014192485A (en) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method, substrate processing method and substrate processing apparatus
JP2020537359A (en) * 2017-10-09 2020-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Conformal-doped amorphous silicon as a nucleation layer for metal deposition

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5677235A (en) * 1993-09-16 1997-10-14 Tokyo Electron Limited Method for forming silicon film
JPH07231091A (en) * 1994-02-17 1995-08-29 Nec Corp Manufacture of semiconductor device
US7273799B2 (en) 2001-02-12 2007-09-25 Asm America, Inc. Deposition over mixed substrates
US6962859B2 (en) 2001-02-12 2005-11-08 Asm America, Inc. Thin films and method of making them
US7285500B2 (en) 2001-02-12 2007-10-23 Asm America, Inc. Thin films and methods of making them
US6743738B2 (en) 2001-02-12 2004-06-01 Asm America, Inc. Dopant precursors and processes
US6821825B2 (en) 2001-02-12 2004-11-23 Asm America, Inc. Process for deposition of semiconductor films
US6900115B2 (en) 2001-02-12 2005-05-31 Asm America, Inc. Deposition over mixed substrates
US6958253B2 (en) 2001-02-12 2005-10-25 Asm America, Inc. Process for deposition of semiconductor films
EP1374291B1 (en) * 2001-02-12 2007-11-21 ASM America, Inc. Deposition method over mixed substrates using trisilane
US6716751B2 (en) 2001-02-12 2004-04-06 Asm America, Inc. Dopant precursors and processes
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6716713B2 (en) 2001-02-12 2004-04-06 Asm America, Inc. Dopant precursors and ion implantation processes
US7585752B2 (en) 2001-02-12 2009-09-08 Asm America, Inc. Process for deposition of semiconductor films
US7186582B2 (en) 2001-02-12 2007-03-06 Asm America, Inc. Process for deposition of semiconductor films
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
JP2005536054A (en) * 2002-08-14 2005-11-24 エーエスエム アメリカ インコーポレイテッド Deposition of amorphous silicon-containing films
US8921205B2 (en) 2002-08-14 2014-12-30 Asm America, Inc. Deposition of amorphous silicon-containing films
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
JP2006524439A (en) * 2003-04-24 2006-10-26 エーエスエム アメリカ インコーポレイテッド Method for depositing polycrystalline film having highly functional particle structure
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7732350B2 (en) 2004-09-22 2010-06-08 Asm International N.V. Chemical vapor deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7718518B2 (en) 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
KR20130011926A (en) * 2011-07-22 2013-01-30 도쿄엘렉트론가부시키가이샤 Method and apparatus for forming amorphous silicon film
JP2014192485A (en) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method, substrate processing method and substrate processing apparatus
JP2020537359A (en) * 2017-10-09 2020-12-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Conformal-doped amorphous silicon as a nucleation layer for metal deposition

Also Published As

Publication number Publication date
JP3193402B2 (en) 2001-07-30

Similar Documents

Publication Publication Date Title
US5227329A (en) Method of manufacturing semiconductor device
JP3193402B2 (en) Method for manufacturing semiconductor device
JP3469251B2 (en) Method for manufacturing semiconductor device
US6562730B2 (en) Barrier in gate stack for improved gate dielectric integrity
US5721175A (en) Method of manufacturing a semiconductor device
US6794713B2 (en) Semiconductor device and method of manufacturing the same including a dual layer raised source and drain
US20050130380A1 (en) Semiconductor device structures including metal silicide interconnects and dielectric layers at substantially the same fabrication level
JP2947828B2 (en) Method for manufacturing semiconductor device
US5846869A (en) Method of manufacturing semiconductor integrated circuit device
IE52791B1 (en) Semiconductor devices
US5004702A (en) Preparation method of selective growth silicon layer doped with impurities
JPH0785470B2 (en) Device manufacturing method
US7399701B2 (en) Semiconductor device manufacturing method including forming a metal silicide layer on an indium-containing layer
US5399526A (en) Method of manufacturing semiconductor device by forming barrier metal layer between substrate and wiring layer
JPH06318676A (en) Manufacture of semiconductor device
US6403458B2 (en) Method for fabricating local interconnect structure for integrated circuit devices, source structures
US7037371B1 (en) Method for fabricating semiconductor device
KR0185461B1 (en) Field effect semiconductor device and its manufacture
JPH06151356A (en) Semiconductor device and manufacture of semiconductor device
JP2576373B2 (en) Semiconductor device and manufacturing method thereof
US20010045650A1 (en) Local interconnect structure for integrated circuit devices, source structure for the same, and method for fabricating the same
KR100260520B1 (en) Method of forming a contact of semiconductor device
JPH047094B2 (en)
JP3207551B2 (en) Method for manufacturing semiconductor device
JPH06216153A (en) Manufacture for semiconductor device

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080525

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080525

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090525

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees