JPH01183809A - Photo assisted cvd system - Google Patents

Photo assisted cvd system

Info

Publication number
JPH01183809A
JPH01183809A JP929488A JP929488A JPH01183809A JP H01183809 A JPH01183809 A JP H01183809A JP 929488 A JP929488 A JP 929488A JP 929488 A JP929488 A JP 929488A JP H01183809 A JPH01183809 A JP H01183809A
Authority
JP
Japan
Prior art keywords
gas
light
reaction
chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP929488A
Other languages
Japanese (ja)
Inventor
Hiroshi Yuasa
博司 湯浅
Saburo Adaka
阿高 三郎
Hiroyuki Shichida
七田 弘之
Kotaro Sakoda
佐古田 光太郎
Kenji Shibata
芝田 健二
Atsushi Iwasaki
淳 岩崎
Ryosuke Yamaguchi
良祐 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Power Ltd
Original Assignee
Babcock Hitachi KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Babcock Hitachi KK filed Critical Babcock Hitachi KK
Priority to JP929488A priority Critical patent/JPH01183809A/en
Publication of JPH01183809A publication Critical patent/JPH01183809A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To prevent the blooming of an ultraviolet lamp, a light-transmitting window, etc., and to increase a film formation rate by passing a gas, which generate no solid matter even when it is excited and decomposed, from a region on the light source side, through a gas passage hole, into a reaction region to react the gas. CONSTITUTION:A substrate 10 is set onto a substrate stage 11, and the inside of a reaction vessel 1 is evacuated by an exhauster. Ultraviolet rays from ultraviolet lamps 5 transmits through a cooling preventive pipe 6, passes a region in a light source chamber 3, and transmits light-transmitting windows 2 and reach into a region in a reaction chamber 4. A gas A8 and a gas B13 do not generate solid matters even when they are excited and decomposed by light, but they are reacted with a gas C15, thus depositing a desired film onto the substrate 10. Since the gas A8 is blown off from the light source chamber 3 to the reaction chamber 4, the gas C15 hardly reaches to the light-transmitting windows 2, and a reaction product is difficult to adhere on the light-transmitting windows 2. Accordingly, the blooming of the light-transmitting windows 2 due to the reaction product formed is prevented while a sufficient film formation rate can be acquired.

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、光CV D (Chemical  Vap
□r −Depos i t 1on)法により基板面
上に薄膜を形成する装置に関する。
[Detailed Description of the Invention] [Industrial Application Field] The present invention is directed to optical CVD (Chemical Vap
□Relates to an apparatus for forming a thin film on a substrate surface using a r-Deposit1on method.

〔従来の技術〕[Conventional technology]

CVD法は、気相で化学反応を起こさせ、そのガス温度
で気相とならない分子または原子を生成させ、基板上に
堆積させて膜を形成する方法である。
The CVD method is a method of causing a chemical reaction in a gas phase to generate molecules or atoms that do not become a gas phase at the gas temperature, and depositing them on a substrate to form a film.

従来のCVD法は、温度を1000°C前後まで加熱し
、Si原子を含む反応ガスなどを供給し、熱解離により
、またプラズマ放電中にSi原子などを含む反応ガスを
供給し、プラズマ粒子のエネルギーを用いてSi原子を
含む反応ガスを解離させて基板上にSt、SiO□、5
iiN4などの薄膜を形成している。半導体製造分野な
どにおいてこれらの方法は、熱によるダメージやプラズ
マ中のイオンによるダメージを基板に与えやすいもので
ある。
In the conventional CVD method, the temperature is heated to around 1000°C, a reactive gas containing Si atoms is supplied, and the reactive gas containing Si atoms is supplied through thermal dissociation or during plasma discharge to form plasma particles. Energy is used to dissociate a reactive gas containing Si atoms to form St, SiO□, 5 on the substrate.
A thin film such as iiN4 is formed. In the field of semiconductor manufacturing, etc., these methods tend to cause damage to the substrate due to heat or ions in plasma.

これに代わる方法として光CVD法がある。この方法は
光が照射されたところに反応ガスを供給し、光化学反応
により基板上にSi、Stow、S is N 4など
の薄膜を形成するものである。この方法は1000°C
前後の高温に加熱する必要がなく、またプラズマを用い
ないので、熱によるダメージやプラズマのイオンによる
ダメージがない利点がある。
As an alternative method, there is a photo-CVD method. In this method, a reactive gas is supplied to the area irradiated with light, and a thin film of Si, Stow, Sis N 4, etc. is formed on the substrate by a photochemical reaction. This method uses 1000°C
There is no need for heating to high temperatures before and after, and since plasma is not used, there is an advantage that there is no damage caused by heat or damage caused by plasma ions.

第8図にこの光CVD法による薄膜形成装置の基本構成
を示す。51は高真空状態まで減圧が可能な反応室、5
2は光化学反応に必要な波長を発光する光源、53は光
源からの光を透過することができる光透過窓、54は反
応ガス、55は反応ガス導入口、56はSi原子を含む
反応ガス、57は反応ガス導入口、58は反応ガス排気
口、59は薄膜が形成される基板、60は基板の加熱ヒ
ータ、61は基板載置台である。
FIG. 8 shows the basic configuration of a thin film forming apparatus using this photo-CVD method. 51 is a reaction chamber that can be depressurized to a high vacuum state;
2 is a light source that emits a wavelength necessary for photochemical reaction; 53 is a light transmission window that can transmit light from the light source; 54 is a reactive gas; 55 is a reactive gas inlet; 56 is a reactive gas containing Si atoms; 57 is a reactive gas inlet, 58 is a reactive gas exhaust port, 59 is a substrate on which a thin film is formed, 60 is a heater for the substrate, and 61 is a substrate mounting table.

従来、このような装置でSi原子を含む反応ガス56と
反応ガス54をそれぞれ反応ガス導入口55.57より
反応室51に供給すると、Si原子を含む反応ガス56
と反応ガス54は光源52により照射された光により光
化学反応を起こし、反応生成物を基板59上に堆積させ
、薄膜を形成させる0反応後のガス61は排出口58よ
り排出される。
Conventionally, in such an apparatus, when the reaction gas 56 and the reaction gas 54 containing Si atoms are respectively supplied to the reaction chamber 51 from the reaction gas inlets 55 and 57, the reaction gas 56 containing Si atoms
The reactant gas 54 causes a photochemical reaction by the light irradiated by the light source 52, deposits a reaction product on the substrate 59, and forms a thin film.The gas 61 after the reaction is discharged from the exhaust port 58.

しかしながら、この種の装置では光化学反応により生成
した反応生成物が光透過窓に付着し、光源52からの光
が弱くなり、また反応ガスに光が照射されない問題があ
る。
However, in this type of device, there is a problem that reaction products generated by photochemical reactions adhere to the light transmission window, weakening the light from the light source 52, and preventing the reaction gas from being irradiated with light.

このような問題を解消する光CVD装置として、特開昭
61−196542号公報に記載のものがある。
An optical CVD apparatus that solves this problem is described in Japanese Patent Application Laid-Open No. 196542/1983.

この光CVD装置は、第9図〜第11図に示すように不
活性ガス流115を光化学気相成長装置101内に配置
された光源室102に導入し、光源室102から多数の
開口部105を有する光透過窓104を介して反応室1
03に吹き出させている。そして、光源室102内の低
圧水銀灯106からの光により励起分解されたガスと反
応ガス導入部110の導入口113から供給されるガス
流111との反応により基板台座109に載置された基
板108に薄膜を堆積させるようになっている。なお、
図中、107は光反射板である。したがって、この光C
VD装置では、光透過窓104への反応生成物の付着が
抑制される。
This photo-CVD apparatus introduces an inert gas flow 115 into a light source chamber 102 disposed within a photochemical vapor deposition apparatus 101, as shown in FIGS. reaction chamber 1 through a light-transmitting window 104 having
It is blown out on 03. The substrate 108 placed on the substrate pedestal 109 is caused to react with the gas excited and decomposed by the light from the low-pressure mercury lamp 106 in the light source chamber 102 and the gas flow 111 supplied from the inlet 113 of the reaction gas inlet 110. A thin film is deposited on the surface. In addition,
In the figure, 107 is a light reflecting plate. Therefore, this light C
In the VD device, adhesion of reaction products to the light transmission window 104 is suppressed.

〔発明が解決しようとする問題点〕[Problem that the invention seeks to solve]

しかしながら、この光CVD装置では、成膜速度の低下
及び膜厚分布の劣化という問題点が発生する。
However, this photo-CVD apparatus has problems such as a decrease in the film formation rate and a deterioration in the film thickness distribution.

即ち、光源室102より基板108方向へ不活性ガス1
15、即ち反応に寄与しないガスを吹き付けるため、基
板108の光照射面における反応ガス111の濃度が供
給時よりも低下してしまい、結果的に成膜速度が低下す
る。光透過窓の曇りを防止するために必要とされる不活
性ガス量は通常反応ガスの数倍〜十数倍にもなるため、
特にこの影響が大きい。また、これを改善するために反
応ガス111の流量を増加させると、反応室103内の
全圧が上昇するため、ガス分子の平均自由行程が短くな
り、結果的に基板108に対する膜厚分布が悪くなる。
That is, the inert gas 1 is supplied from the light source chamber 102 toward the substrate 108.
15. In other words, since a gas that does not contribute to the reaction is sprayed, the concentration of the reactive gas 111 on the light irradiated surface of the substrate 108 is lower than when it was supplied, resulting in a decrease in the film formation rate. The amount of inert gas required to prevent fogging of the light-transmitting window is normally several to ten times as large as the amount of reactant gas.
This effect is particularly large. Furthermore, if the flow rate of the reaction gas 111 is increased to improve this, the total pressure inside the reaction chamber 103 will increase, which will shorten the mean free path of the gas molecules, resulting in a change in the film thickness distribution on the substrate 108. Deteriorate.

したがって、反応室103内の分圧の中で不活性ガス1
15が最も高いため、充分な成膜速度を得るのは困難で
あり、その上不活性ガス115を基板108に吹き付け
るため、さらに成膜速度が低下する。
Therefore, in the partial pressure in the reaction chamber 103, the inert gas 1
15 is the highest, it is difficult to obtain a sufficient film formation rate, and in addition, since the inert gas 115 is blown onto the substrate 108, the film formation rate is further reduced.

本発明の目的は、上記した従来技術の問題を解消し、光
化学反応により生成した反応生成物による光透過窓の曇
りを防止すると共に充分な成膜速度を得ることができ、
かつ膜厚分布を均一にすることができる光CVD装置を
提供することにある。
An object of the present invention is to solve the problems of the prior art described above, to prevent fogging of a light transmission window due to reaction products generated by a photochemical reaction, and to obtain a sufficient film formation rate.
Another object of the present invention is to provide a photo-CVD apparatus that can make the film thickness distribution uniform.

〔問題点を解決するための手段〕[Means for solving problems]

上記した目的は、反応容器内をガス流通孔を有する区画
壁により光源から光が照射される光a領域と反応領域と
に区画し、光源領域に不活性ガスを供給することなく、
光により励起分解されるが固形物を生じないガスであっ
て、かつ薄膜を形成するガスを導入し、光により励起分
解し、かつ上記ガスと反応して基板上に薄膜を形成する
ためのガスを反応領域に導入するようにしたものである
The above purpose is to divide the inside of the reaction vessel into a light region A and a reaction region where light is irradiated from the light source by a partition wall having gas distribution holes, and to do so without supplying inert gas to the light source region.
A gas that is excited and decomposed by light but does not produce solid matter and that forms a thin film is introduced, and a gas that is excited and decomposed by light and reacts with the above gas to form a thin film on the substrate. is introduced into the reaction region.

〔作用〕 光化学反応を行わせる圧力(通常100 mtorr〜
数torr)において、光透過窓曇り防止用の不活性ガ
スの分圧をOとすることができ、反応ガス(即ち、光に
より励起分解されて固形物を生じ、かつ光源領域からの
ガスと反応して薄膜を形成するガス)の分圧を大きくす
ることが可能となり、成膜速度が向上する。
[Action] Pressure for photochemical reaction (usually 100 mtorr~
At several torr), the partial pressure of the inert gas for preventing fogging of the light-transmitting window can be O, and the reactive gas (i.e., is excited and decomposed by light to form a solid and reacts with the gas from the light source region). This makes it possible to increase the partial pressure of the gas used to form a thin film, thereby increasing the film formation rate.

または、光化学による反応生成物は光源室側の領域と区
画された領域で生成するので反応生成物は光源室側の領
域に流入しないため、光透過窓の曇りを防止できる。
Alternatively, since the reaction products due to photochemistry are generated in a region separated from the region on the light source chamber side, the reaction products do not flow into the region on the light source chamber side, so that fogging of the light transmission window can be prevented.

〔実施例〕〔Example〕

以下、本発明の実施例を図面に基づいて説明する。 Embodiments of the present invention will be described below based on the drawings.

第1図は本発明の第1実施例を示す概略的断面構成図、
第2図は第1図における光透過窓の平面図である。
FIG. 1 is a schematic cross-sectional configuration diagram showing a first embodiment of the present invention;
FIG. 2 is a plan view of the light transmission window in FIG. 1.

この光CVD装置は、反応容器l内が光透過窓2により
光源領域としての光源室3と反応領域としての反応室4
とに区画されている。光透過窓2は、第2図に示すよう
に合成石英ガラスで作製されると共に等間隔で多数のガ
ス流通孔2aが形成されている。
This optical CVD apparatus includes a light source chamber 3 as a light source area and a reaction chamber 4 as a reaction area, with a light transmitting window 2 inside the reaction vessel l.
It is divided into. As shown in FIG. 2, the light transmission window 2 is made of synthetic quartz glass and has a large number of gas flow holes 2a formed at equal intervals.

光源室3内には複数本の紫外線ランプ5が設置され、こ
れらの紫外線ランプ5は合成石英ガラスからなるととも
に断面楕円形状に形成された紫外線透過可能な冷却防止
管6により囲まれている。
A plurality of ultraviolet lamps 5 are installed in the light source chamber 3, and these ultraviolet lamps 5 are surrounded by a cooling prevention tube 6 made of synthetic quartz glass and having an elliptical cross section and capable of transmitting ultraviolet rays.

紫外線ランプ5の上方には光反射板7が設置さ籾また、
光源室3の側壁にはガスA8を導入するための反応ガス
管A9が配設されている。
A light reflecting plate 7 is installed above the ultraviolet lamp 5.
A reaction gas pipe A9 for introducing gas A8 is arranged on the side wall of the light source chamber 3.

反応室4には基板10を載置するための基板載置台11
が設置され、この基板載置台11の下面から基板@置台
11を介して基板10を加熱するためのヒータ12が設
置されている。反応室4の側壁の光透過窓2に近接した
側にガスB13を導入するための反応ガス管B14が配
設され、この反応ガス管B14よりも下方側にガスC1
5を導入するための反応ガス管C16が配設されている
The reaction chamber 4 includes a substrate mounting table 11 on which a substrate 10 is placed.
is installed, and a heater 12 for heating the substrate 10 from the bottom surface of the substrate mounting table 11 via the substrate @ placement table 11 is installed. A reaction gas pipe B14 for introducing gas B13 is disposed on the side wall of the reaction chamber 4 near the light transmission window 2, and a gas C1 is provided below the reaction gas pipe B14.
A reaction gas pipe C16 for introducing 5 is provided.

また、反応室4の前記反応ガス管B14及び反応ガス管
C16と対応する側の壁面側には排気口17が配置され
ている。
Further, an exhaust port 17 is arranged on the wall surface of the reaction chamber 4 on the side corresponding to the reaction gas pipe B14 and the reaction gas pipe C16.

次の上記のように構成される光CVD装置の作用につい
て説明する。
Next, the operation of the optical CVD apparatus configured as described above will be explained.

まず、基板IOは基板載置台11にセットされれ、図示
していない排気装置により反応容器1内が真空引きされ
る。次にヒータ12に通電し、基板載置台11を介して
基板10が所定の温度まで加熱されると、紫外線ランプ
5が点灯される。
First, the substrate IO is set on the substrate mounting table 11, and the inside of the reaction container 1 is evacuated by an exhaust device (not shown). Next, when the heater 12 is energized and the substrate 10 is heated to a predetermined temperature via the substrate mounting table 11, the ultraviolet lamp 5 is turned on.

紫外線ランプ5からの紫外線は冷却防止管6を透過して
光源室3の領域を通過し1次いで光透過窓2を透過して
反応室4の領域に至る。このとき、反応ガス管A9から
ガスA8が光源室3に導入され、反応ガス管B14から
ガスB13、反応ガス管C16からガスC15がそれぞ
れ反応室4に導入される。
The ultraviolet light from the ultraviolet lamp 5 passes through the anti-cooling tube 6, passes through the area of the light source chamber 3, and then passes through the light transmission window 2 to reach the area of the reaction chamber 4. At this time, gas A8 is introduced into the light source chamber 3 from the reaction gas pipe A9, gas B13 is introduced into the reaction chamber 4 from the reaction gas pipe B14, and gas C15 is introduced from the reaction gas pipe C16, respectively.

ここで、ガスA8及びガスB13は、光により励起分解
されても固形物を生じず、薄膜の原料となるガスである
。またガスC15は光により励起分解され、かつガスA
8又はガスB13と反応して薄膜を生成するガスである
Here, gas A8 and gas B13 are gases that do not produce solid substances even when excited and decomposed by light and serve as raw materials for thin films. Further, gas C15 is excited and decomposed by light, and gas A
8 or gas B13 to form a thin film.

このガスC15は、通常、光に励起分解されて固形物を
生じるガスであるが、反応室4に導入されるガスは、必
ずしも励起分解により固形物を生じる必要はなく、要は
光源室3からのガスと光化学気相反応により所望の膜を
生成するためのガスであればよい。
This gas C15 is normally a gas that produces solid matter when it is decomposed by excitation with light, but the gas introduced into the reaction chamber 4 does not necessarily have to produce solid matter through excitation decomposition; Any gas may be used as long as it is capable of producing a desired film through a photochemical vapor phase reaction with the above gas.

したがって、各ガスの種類は要求される薄膜の材質によ
り任意に選定すべのものであるが、その−例を第1表に
示す。
Therefore, the type of each gas should be arbitrarily selected depending on the required material of the thin film, examples of which are shown in Table 1.

第      1      表 第1表に示すようにガスA8とガスB13は光により励
起分解されても固形物を生じないが、ガスC15と反応
することにより所望の膜が基板10上に堆積される。
Table 1 As shown in Table 1, gas A8 and gas B13 do not produce solid substances even when they are excited and decomposed by light, but a desired film is deposited on the substrate 10 by reacting with gas C15.

通常、この反応圧力は数100 m torr〜数to
rrで行われるが、真空ポンプの容量は一定であるので
、この圧力範囲において流し得る全ガス量は限定される
。第1図に示す第1実施例において、光透過窓2の曇り
防止用に不活性ガスを使用していないので同じ圧力でも
反応ガスをより多く流すことができる。したがって反応
ガスの分圧が高くなり、成膜速度を大きくすることがで
きる。
Usually, this reaction pressure is from several 100 m torr to several torr.
rr, but since the capacity of the vacuum pump is constant, the total amount of gas that can flow in this pressure range is limited. In the first embodiment shown in FIG. 1, since no inert gas is used to prevent fogging of the light transmission window 2, more reaction gas can flow even at the same pressure. Therefore, the partial pressure of the reaction gas becomes high, and the film formation rate can be increased.

また、光透過窓2には第2図に示すように多数のガス流
通孔2aが形成されているため、光源室3から反応室4
ヘガス八8が吹き出すため、光透過窓2ヘガスC15が
到達することが少なく、光透過窓2に反応生成物が付着
しにくい。さらに光透過窓2の下面側に光透過窓2に対
して平行にガスB13を流しているので反応生成物が光
透過窓2に形成されたガス流通孔2aから光源室3側に
流入することをより確実に防止できる。
In addition, since the light transmission window 2 is formed with a large number of gas flow holes 2a as shown in FIG.
Since the gas C15 is blown out, the gas C15 rarely reaches the light transmission window 2, and reaction products are less likely to adhere to the light transmission window 2. Furthermore, since the gas B13 is flowing parallel to the light transmitting window 2 on the lower surface side of the light transmitting window 2, the reaction products flow into the light source chamber 3 side from the gas flow hole 2a formed in the light transmitting window 2. can be more reliably prevented.

次に通常、光CVDに用いられる低圧水銀ランプの発光
波長である254nmと185nmの照射強度は、放電
管の中の水銀蒸気圧で決定される。
Next, the irradiation intensity at 254 nm and 185 nm, which are the emission wavelengths of a low-pressure mercury lamp used for optical CVD, is usually determined by the mercury vapor pressure in the discharge tube.

さらに放電管中の水銀蒸気圧は市販の低圧水銀ランプの
場合、放電管の根元部分の温度を一定(30°C〜40
°C)に維持し、常時適正値を保ように工夫されている
。ところが、放電管の近傍に不活性ガスが流入すると、
ガスにより放電管表面が冷却され、放電管中の水銀濃度
が変化し、第12図に示すように発光波長の照射強度が
低下する。
Furthermore, the mercury vapor pressure in the discharge tube is determined by keeping the temperature at the base of the discharge tube constant (30°C to 40°C) in the case of commercially available low-pressure mercury lamps.
°C) and is devised to keep it at an appropriate value at all times. However, when inert gas flows into the vicinity of the discharge tube,
The surface of the discharge tube is cooled by the gas, the mercury concentration in the discharge tube changes, and the irradiation intensity of the emission wavelength decreases as shown in FIG.

また、不活性ガスを導入すると、照射強度が低下し、反
応ガスを励起分解するのに必要なエネルギーが得られず
、成膜速度が低下する。この関係を第13図に示す。
Furthermore, when an inert gas is introduced, the irradiation intensity decreases, and the energy necessary to excite and decompose the reaction gas cannot be obtained, resulting in a decrease in the film formation rate. This relationship is shown in FIG.

第1実施例において、紫外線ランプ5を覆うように冷却
防止管6が設置されているので、常時、紫外線ランプ5
の表面を所定の温度が維持でき、反応ガスを励起分解す
る必要な最大のエネルギーを反応ガスに付与することが
でき、成膜速度が向上する。
In the first embodiment, since the anti-cooling tube 6 is installed to cover the ultraviolet lamp 5, the ultraviolet lamp 5 is always
The surface of the film can be maintained at a predetermined temperature, the maximum energy required to excite and decompose the reaction gas can be applied to the reaction gas, and the film formation rate can be improved.

なお、冷却防止管6の代わりに光源室3に導入されるガ
スA8が紫外線ランプ5と直接衝突する領域や放電管の
根元部分にのみ冷却防止板を配置してもよい。
Note that instead of the cooling prevention tube 6, a cooling prevention plate may be arranged only in the area where the gas A8 introduced into the light source chamber 3 directly collides with the ultraviolet lamp 5 or in the root portion of the discharge tube.

以上の実施例により成膜した結果を第3図に示す。第3
図から明らかなように反応容器内にガスA8を導入して
も照射強度が低下せず、かつガスC15の分圧も高く、
したがって成膜速度が5〜7倍に向上する。
The results of film formation according to the above embodiments are shown in FIG. Third
As is clear from the figure, the irradiation intensity does not decrease even when gas A8 is introduced into the reaction vessel, and the partial pressure of gas C15 is also high.
Therefore, the film formation rate is improved by 5 to 7 times.

また、本発明は、第1実施例において、光源領域側の反
応容器壁に容器壁の一部として光透過窓を設置し、紫外
線ランプを容器外に配置した場合も含まれる。
The present invention also includes a case in which, in the first embodiment, a light transmission window is installed as a part of the wall of the reaction container on the side of the light source region, and the ultraviolet lamp is arranged outside the container.

第4図は本発明の光CVDq置における光透過窓の他の
実施例を示す断面図である。
FIG. 4 is a sectional view showing another embodiment of the light transmitting window in the optical CVDq apparatus of the present invention.

この光透過窓21においては、ガス流通孔22が光透過
窓21の断面方向に対して光源室3側から反応室4に向
かって次第にガス流路断面積が拡大したテーパ状となっ
ている。
In the light transmission window 21, the gas flow holes 22 have a tapered shape in which the cross-sectional area of the gas passage gradually increases from the light source chamber 3 side toward the reaction chamber 4 in the cross-sectional direction of the light transmission window 21.

光透過窓21の曇りを防止効果を向上させる方法として
、ガスA8の吹き出し速度を大きくすることと、反応室
4側の開口部のガスA8で覆われる面を大きくすること
である。第4図に示す光透過窓21の場合、光源室3側
側と反応室4例の差圧が大きく、ガスA8の吹き出し速
度が大きくなり、かつ反応室4側ではテーパに沿ってガ
スA8が広がりながら吹き出すため、第1図に示すよう
な円柱状のガス流通孔2aを有する光透過窓2と比較し
て反応室4側面のガスA8で覆われる面積が大きくなる
A method for improving the effect of preventing fogging of the light transmission window 21 is to increase the blowing speed of the gas A8 and to increase the surface of the opening on the reaction chamber 4 side that is covered with the gas A8. In the case of the light transmission window 21 shown in FIG. 4, the pressure difference between the light source chamber 3 side and the reaction chamber 4 is large, the blowing speed of the gas A8 is high, and the gas A8 flows along the taper on the reaction chamber 4 side. Since the gas is blown out while spreading, the area covered by the gas A8 on the side surface of the reaction chamber 4 is larger than that of the light transmitting window 2 having a cylindrical gas flow hole 2a as shown in FIG.

第5図は本発明の光CVD装置の第2実施例を示す概略
的断面構成図である。
FIG. 5 is a schematic cross-sectional configuration diagram showing a second embodiment of the optical CVD apparatus of the present invention.

第5図において、反応容器31はその中央部に小口径の
ガス流通孔32が形成された区画壁33により区画され
て光源領域としての励起室(光源室)34と反応領域と
しての形成室(反応室)35に区画されている。励起室
34側の天井面側に光透過窓36が配置され、その光透
過窓36の上方に紫外線ランプ37が配置されている。
In FIG. 5, a reaction vessel 31 is partitioned by a partition wall 33 in which a small-diameter gas flow hole 32 is formed in the center thereof, and an excitation chamber (light source chamber) 34 as a light source region and a formation chamber ( The reaction chamber is divided into 35 sections. A light transmission window 36 is arranged on the ceiling surface side on the side of the excitation chamber 34, and an ultraviolet lamp 37 is arranged above the light transmission window 36.

励起室34の側壁にはガスA38を室内に導入するため
の反応ガス管A39が配設されている。
A reaction gas pipe A39 for introducing gas A38 into the chamber is disposed on the side wall of the excitation chamber 34.

形成室35内に基板40を載置するための基板載置台4
1が設置され、この基板載置台41を介して基板40を
加熱するためのヒータ42が設置されている。また、形
成室35の側壁にはガスC43を室内に導入するための
反応ガス管C44が配設されている。この反応ガス管C
44に対応する形成室35の側壁に排気口45が設けら
れている。
Substrate mounting table 4 for mounting the substrate 40 in the forming chamber 35
1 is installed, and a heater 42 for heating the substrate 40 via this substrate mounting table 41 is installed. Further, a reaction gas pipe C44 for introducing gas C43 into the chamber is disposed on the side wall of the forming chamber 35. This reaction gas pipe C
An exhaust port 45 is provided in the side wall of the forming chamber 35 corresponding to the exhaust port 44 .

次に第2実施例の光CVD装置の作用について説明する
Next, the operation of the optical CVD apparatus of the second embodiment will be explained.

反応ガス管A39から第1表に例示したようなガスA3
Bが励起室34に導入される。このガスA3Bは光透過
窓36を透過してくる紫外線ランプ37からの光により
励起される。励起されたガスA3Bは区画壁33に形成
された小口径のガス流通孔32を通って圧力の低い形成
室35に波板反応ガス管C44から第1表に例示したよ
うなガスC43と化学反応により生成された生成物が基
板40上に堆積し薄膜を形成する。
Gas A3 as exemplified in Table 1 from reaction gas pipe A39
B is introduced into the excitation chamber 34. This gas A3B is excited by the light from the ultraviolet lamp 37 that passes through the light transmission window 36. The excited gas A3B passes through the small-diameter gas flow hole 32 formed in the partition wall 33 and enters the low-pressure forming chamber 35 through the corrugated plate reaction gas pipe C44, where it undergoes a chemical reaction with the gas C43 as exemplified in Table 1. The products generated by this are deposited on the substrate 40 to form a thin film.

この場合、形成室35側の排気口45を介して排気ガス
を排出させ、かつ励起室34と形成室35は小口径のガ
ス流通孔32より連通しているので励起室34の圧力は
形成室35の圧力よりも高(なっており、かつガス流通
孔32からガスA38の励起分解されたガスが噴出する
。このため、形成室35で生成された反応生成物が励起
室34に流入することがほとんどなく、したがって反応
生成物の付着による光透過窓36の曇りが防止され、紫
外線ランプ37からの光の強度は常時一定に保つことが
でき、光透過窓36の洗浄作業等を要しない。
In this case, the exhaust gas is discharged through the exhaust port 45 on the side of the forming chamber 35, and the excitation chamber 34 and the forming chamber 35 are communicated with each other through the small-diameter gas flow hole 32, so that the pressure in the excitation chamber 34 is lower than that in the forming chamber. 35, and the excited and decomposed gas of gas A38 is ejected from the gas flow hole 32. Therefore, the reaction products generated in the formation chamber 35 flow into the excitation chamber 34. Therefore, clouding of the light transmission window 36 due to adhesion of reaction products is prevented, and the intensity of light from the ultraviolet lamp 37 can be kept constant at all times, eliminating the need for cleaning the light transmission window 36.

このような装置で、例えば、SiH4と0□を用いてS
towを基板40に形成する場合、反応ガス管A39か
ら02を供給し180〜300nmの波長を有する紫外
線ランプ37から光透過窓36を介して照射して02を
励起する。0□は180〜300 nmの波長の光によ
り03を形成し、さらに0ラジカルを生成する。生成し
た0ラジカルは励起室34からガス流通孔32を通って
形成室35に流れる。二〇〇ラジカルは反応ガス管44
から形成室35に導入されるSiH4と反応してSin
、を生成し、基板40上に堆積する。
In such a device, for example, S using SiH4 and 0□
When tow is formed on the substrate 40, 02 is supplied from the reaction gas pipe A39, and 02 is excited by irradiation from an ultraviolet lamp 37 having a wavelength of 180 to 300 nm through the light transmission window 36. 0□ forms 03 with light having a wavelength of 180 to 300 nm, and further generates 0 radical. The generated 0 radicals flow from the excitation chamber 34 to the formation chamber 35 through the gas flow hole 32. 200 radicals are reaction gas pipe 44
reacts with SiH4 introduced into the formation chamber 35 from
, and deposited on the substrate 40.

また、第2実施例における装置で、例えば、S i H
aとNH,を用いて5isNaを形成する場合、反応ガ
ス管A39からN Hxを導入し、210nm以下の波
長を発する紫外線ランプ37により光透過窓36を介し
て照射してNH,を励起させる。NH,は210nm以
下の波長の光によりNH,、NH,Nを生成する。励起
したNH,、NH,Nは励起室34からガス流通孔32
を通って形成室35に流れ、反応ガス管C44から供給
される5iHaと反応してSt3Ngを生成し、基板4
0上に堆積する。
Further, in the apparatus in the second embodiment, for example, S i H
When forming 5isNa using a and NH, NHx is introduced from the reaction gas pipe A39 and irradiated through the light transmission window 36 with an ultraviolet lamp 37 that emits a wavelength of 210 nm or less to excite NH. NH, generates NH,, NH,N with light having a wavelength of 210 nm or less. The excited NH,, NH, and N are transferred from the excitation chamber 34 to the gas distribution hole 32.
The gas flows through the formation chamber 35 and reacts with 5iHa supplied from the reaction gas pipe C44 to produce St3Ng, and the substrate 4
Deposit on 0.

第6図は本発明の光CVD装置の第3実施例を示す概略
的断面構成図である。
FIG. 6 is a schematic cross-sectional configuration diagram showing a third embodiment of the optical CVD apparatus of the present invention.

この先CVD装置は、図に示すようにガス流通孔32を
有する区画壁33により区画された励起室34と形成室
35が横置きに配置されている点が第2実施例の装置と
異なっている。したがって、第6図において第5図と同
−又は相当部材は同一符号で示している。第3実施例に
おいても第5図に示す第2実施例と同様の効果を有する
This CVD apparatus differs from the apparatus of the second embodiment in that, as shown in the figure, an excitation chamber 34 and a formation chamber 35, which are partitioned by a partition wall 33 having gas circulation holes 32, are arranged horizontally. . Therefore, in FIG. 6, the same or equivalent members as in FIG. 5 are indicated by the same reference numerals. The third embodiment also has the same effects as the second embodiment shown in FIG.

第7図は本発明の光CVD装置の第4実施例を示す概略
的断面構成図である。
FIG. 7 is a schematic cross-sectional configuration diagram showing a fourth embodiment of the optical CVD apparatus of the present invention.

この光CVD装置において、第1図に示す第2実施例と
異なる点は、紫外線ランプ37が励起室34内に配置さ
れ、光透過窓36が省略されていることである。したが
って、第7図においても第5図に示す第1実施例と同−
又は相当部材は同一符号にて示している。
This optical CVD apparatus differs from the second embodiment shown in FIG. 1 in that an ultraviolet lamp 37 is disposed within an excitation chamber 34 and a light transmission window 36 is omitted. Therefore, FIG. 7 also has the same structure as the first embodiment shown in FIG.
Or equivalent parts are indicated by the same reference numerals.

第4実施例の光CVD装置は、第5図に示す第2実施例
の装置による効果の他に紫外線ランプ37を励起室34
に内蔵し、光透過窓37を有しないので紫外線ランプ3
7からの光の強度を高くすることができ、このため励起
室34で励起される反応ガスA3Bが多くなり、形成室
35で生成される薄膜の成膜速度がより速くなる。
In addition to the effects achieved by the apparatus of the second embodiment shown in FIG.
The ultraviolet lamp 3 is built in and does not have a light transmission window 37.
The intensity of the light from 7 can be increased, so that more reaction gas A3B is excited in the excitation chamber 34, and the deposition rate of the thin film produced in the formation chamber 35 becomes faster.

〔発明の効果〕〔Effect of the invention〕

以上のように本発明によれば、紫外線ランプ等が設置さ
れる光源側の領域に励起分解されても固形物を生じない
ガスを導入し、励起分解により生成されたラジカル等の
励起種をガス流通孔を有する区画壁により区画された反
応領域に導入してここで反応させるものであるから、光
源側に設置される紫外線ランプや光透過窓等の曇りを防
止でき、常時一定の照射強度を得ることができ、成膜速
度が向上すると共に紫外線ランプや光透過窓等の洗浄作
業を要しない。
As described above, according to the present invention, a gas that does not produce solid matter even if it is excited and decomposed is introduced into the region on the light source side where an ultraviolet lamp or the like is installed, and excited species such as radicals generated by the excitation and decomposition are absorbed into the gas. Since it is introduced into a reaction area divided by a partition wall with circulation holes and reacted there, it is possible to prevent fogging of ultraviolet lamps and light transmission windows installed on the light source side, and to maintain a constant irradiation intensity at all times. This improves the film formation rate and eliminates the need for cleaning work such as ultraviolet lamps and light transmission windows.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明の光CVD装置の第1実施例を示す概略
的断面構成図、第2図は第1図の光CVD装置における
光透過窓の平面図、第3図は光の照度と成膜速度との関
係を示すグラフ、第4図は本発明の光CVD装置におけ
る光透過窓の他の実施例を示す断面図、第5図は本発明
の光CVD装置の第2実施例を示す概略的断面構成図、
第6図は本発明の光CVD装置の第3実施例を示す概略
的断面構成図、第7図は本発明の光CVD装置の第4実
施例を示す概略的断面構成図、第8図は従来の光CVD
装置の例を示す概略的断面構成図、第9図は従来の光C
VD装置の他の例を示す概略的断面構成図、第1O図は
第9図の装置における光透過窓の平面図、第11図は第
9図の装置における反応ガス導入部の平面図、第12図
はランプ表面温度とランプ照射強度との関係を示すグラ
フ、第13図は従来の光CVD装置における光の照射と
成膜速度との関係を示すグラフある。 1.31・・・・・・反応容器、2.33・・・・・・
区画壁、2a、32・・・・・・ガス流通孔、3,34
・・・・・・光源室(励起室)、4.35・・・・・・
反応室(形成室)、5゜37・・・・・・紫外線ランプ
、6・・・・・・冷却防止管、7・・・・・・光反射板
、8.38・・・・・・ガスA、9.39・・・・・・
反応ガス管A、10.40・・・・・・基板、11.4
1・・・・・・基板載置台、12.42・・・・・・ヒ
ータ、13・・・・・・ガスB、14・・・・・・反応
ガス管B、15.43・・・・・・ガスC,16,44
・・・・・・反応ガス管C,17゜45・・・・・・排
気口。 代理人 弁理士 西 元 勝 − 第1図 第2図 2:光透過E3ニアIi源!4:β応官5゛象゛ダトイ
泉う〉プ 6°7ぐf−1’防を菅 9・7Q昆カ°ス
好A10: X m       +4二f:1Ejf
 ス¥−B   E’!l;:=n7 菅C第3図 時間 第4図 第5図 第6図 第9図 第10図 第11図 第12図 う〉ブ、没MJ温度 第13図 縛間
FIG. 1 is a schematic cross-sectional configuration diagram showing a first embodiment of the optical CVD apparatus of the present invention, FIG. 2 is a plan view of a light transmission window in the optical CVD apparatus of FIG. 1, and FIG. A graph showing the relationship with the film formation rate, FIG. 4 is a cross-sectional view showing another embodiment of the light transmission window in the optical CVD apparatus of the present invention, and FIG. 5 is a graph showing the second embodiment of the optical CVD apparatus of the present invention. A schematic cross-sectional configuration diagram showing
FIG. 6 is a schematic cross-sectional configuration diagram showing a third embodiment of the optical CVD apparatus of the present invention, FIG. 7 is a schematic cross-sectional configuration diagram showing a fourth embodiment of the optical CVD apparatus of the present invention, and FIG. Conventional optical CVD
A schematic cross-sectional configuration diagram showing an example of the device, FIG. 9 is a conventional optical C
A schematic cross-sectional configuration diagram showing another example of the VD device, FIG. 1O is a plan view of the light transmission window in the device of FIG. 9, and FIG. FIG. 12 is a graph showing the relationship between lamp surface temperature and lamp irradiation intensity, and FIG. 13 is a graph showing the relationship between light irradiation and film formation rate in a conventional photo-CVD apparatus. 1.31... Reaction vessel, 2.33...
Partition wall, 2a, 32... Gas distribution hole, 3, 34
・・・・・・Light source chamber (excitation chamber), 4.35・・・・・・
Reaction chamber (formation chamber), 5゜37... Ultraviolet lamp, 6... Cooling prevention tube, 7... Light reflecting plate, 8.38... Gas A, 9.39...
Reaction gas tube A, 10.40...Substrate, 11.4
1...Substrate mounting table, 12.42...Heater, 13...Gas B, 14...Reaction gas pipe B, 15.43... ...Gas C, 16, 44
...Reaction gas pipe C, 17°45...Exhaust port. Agent Masaru Nishimoto, Patent Attorney - Figure 1 Figure 2 Figure 2: Light transmission E3 near Ii source! 4: β response official 5゛ elephant datoi izumi u〉pu 6°7gf-1' defense suga 9.7Q Kunkasu good A10: X m +42f:1Ejf
S¥-B E'! l;:=n7 Kan C Figure 3 Time Figure 4 Figure 5 Figure 6 Figure 9 Figure 10 Figure 11 Figure 12

Claims (1)

【特許請求の範囲】[Claims] (1)内部で光のエネルギーを利用した気相化学反応を
行わせる反応容器内をガス流通孔を有する区画壁によっ
て光源領域と反応領域とに区画し、前記光源領域に光に
より励起分解されても固形物を生じず、かつ薄膜の原料
となるガスを導入するためのガス導入口を設け、前記反
応領域に光により励起分解され、かつ上記ガスと反応し
て反応領域内に配置された基板面に薄膜を堆積させるた
めのガス導入口と排気口とを設けたことを特徴とする光
CVD装置。
(1) A reaction vessel in which a gas phase chemical reaction using light energy is carried out is divided into a light source region and a reaction region by a partition wall having gas circulation holes, and the light source region is provided with a reaction vessel that is excited and decomposed by light. A substrate is provided with a gas inlet for introducing a gas that does not produce solid matter and is a raw material for a thin film, is excited and decomposed by light in the reaction region, and is placed in the reaction region to react with the gas. An optical CVD apparatus characterized by being provided with a gas inlet and an exhaust port for depositing a thin film on a surface.
JP929488A 1988-01-19 1988-01-19 Photo assisted cvd system Pending JPH01183809A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP929488A JPH01183809A (en) 1988-01-19 1988-01-19 Photo assisted cvd system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP929488A JPH01183809A (en) 1988-01-19 1988-01-19 Photo assisted cvd system

Publications (1)

Publication Number Publication Date
JPH01183809A true JPH01183809A (en) 1989-07-21

Family

ID=11716452

Family Applications (1)

Application Number Title Priority Date Filing Date
JP929488A Pending JPH01183809A (en) 1988-01-19 1988-01-19 Photo assisted cvd system

Country Status (1)

Country Link
JP (1) JPH01183809A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043240A (en) * 2000-07-27 2002-02-08 Japan Storage Battery Co Ltd Ultraviolet processing system
JP2002305153A (en) * 2001-04-06 2002-10-18 Tokyo Electron Ltd Device and method for processing
KR20120011878A (en) * 2009-04-20 2012-02-08 어플라이드 머티어리얼스, 인코포레이티드 Quartz window having gas feed and processing equipment incorporating same
CN102969227A (en) * 2012-11-15 2013-03-13 上海交通大学 Vacuum device capable of integrating ultraviolet light chemistry and chemical vapor dry surface treatment
GB2500281A (en) * 2012-03-15 2013-09-18 Johnson & Allen Ltd Magnetic particle inspection device
WO2014071769A1 (en) * 2012-11-07 2014-05-15 上海交通大学 Vacuum equipment system for surface cleaning and oxidative modification by ultraviolet light/ozone
JP2014516475A (en) * 2011-04-22 2014-07-10 アプライド マテリアルズ インコーポレイテッド Apparatus for depositing material on a substrate
CN107546100A (en) * 2016-06-24 2018-01-05 上海交通大学 Xenon lamp Excimer UV photooxidation vacuum equipment and its application method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002043240A (en) * 2000-07-27 2002-02-08 Japan Storage Battery Co Ltd Ultraviolet processing system
JP2002305153A (en) * 2001-04-06 2002-10-18 Tokyo Electron Ltd Device and method for processing
KR20120011878A (en) * 2009-04-20 2012-02-08 어플라이드 머티어리얼스, 인코포레이티드 Quartz window having gas feed and processing equipment incorporating same
JP2012524416A (en) * 2009-04-20 2012-10-11 アプライド マテリアルズ インコーポレイテッド Quartz window having gas supply mechanism and processing apparatus including the quartz window
JP2014516475A (en) * 2011-04-22 2014-07-10 アプライド マテリアルズ インコーポレイテッド Apparatus for depositing material on a substrate
GB2500281A (en) * 2012-03-15 2013-09-18 Johnson & Allen Ltd Magnetic particle inspection device
WO2014071769A1 (en) * 2012-11-07 2014-05-15 上海交通大学 Vacuum equipment system for surface cleaning and oxidative modification by ultraviolet light/ozone
CN102969227A (en) * 2012-11-15 2013-03-13 上海交通大学 Vacuum device capable of integrating ultraviolet light chemistry and chemical vapor dry surface treatment
CN107546100A (en) * 2016-06-24 2018-01-05 上海交通大学 Xenon lamp Excimer UV photooxidation vacuum equipment and its application method

Similar Documents

Publication Publication Date Title
US5215588A (en) Photo-CVD system
US5433787A (en) Apparatus for forming deposited film including light transmissive diffusion plate
US5527417A (en) Photo-assisted CVD apparatus
EP0252667B1 (en) Chemical vapour deposition methods
EP0251764B1 (en) Chemical vapour deposition methods and apparatus
JPH01183809A (en) Photo assisted cvd system
JPS60128265A (en) Device for forming thin film in vapor phase
JP3456933B2 (en) Semiconductor processing apparatus cleaning method and semiconductor processing apparatus
JP4712240B2 (en) Photo-excited film forming apparatus and photo-excited film forming method
JP2723053B2 (en) Method and apparatus for forming thin film
JPH0621234Y2 (en) Semiconductor manufacturing equipment
JPH0128830B2 (en)
JPS61183921A (en) Apparatus for treating semiconductor or metal with laser beam or light
JPH04163911A (en) Photo assisted cvd equipment
JPH0334538A (en) Optical pumping reaction apparatus
JPH033232A (en) Chemical vapor growth device
JP3174787B2 (en) Optical CVD equipment
JPS59209643A (en) Photochemical vapor phase deposition device
JPH03268320A (en) Optical cvd system
JPH01247573A (en) Photochemical vapor deposition device
JPH01183811A (en) Photo assisted cvd system
JPS6064426A (en) Method and device for forming vapor-phase reaction thin- film
JPS61119028A (en) Photo-chemical vapor deposition equipment
JPS63126229A (en) Processor
JPH01214115A (en) Semiconductor manufacturing device