JP7345600B2 - Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools - Google Patents

Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools Download PDF

Info

Publication number
JP7345600B2
JP7345600B2 JP2022093643A JP2022093643A JP7345600B2 JP 7345600 B2 JP7345600 B2 JP 7345600B2 JP 2022093643 A JP2022093643 A JP 2022093643A JP 2022093643 A JP2022093643 A JP 2022093643A JP 7345600 B2 JP7345600 B2 JP 7345600B2
Authority
JP
Japan
Prior art keywords
electrode
feed
plasma source
source assembly
powered electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022093643A
Other languages
Japanese (ja)
Other versions
JP2022153353A (en
Inventor
ジョゼフ クデラ,
努 田中
アレキサンダー ヴィー. ガラチチェンコ,
ドミートリイ エー. ジルノ,
アヴィナッシュ シェルヴェガー,
カロル ベラ,
シアオプー リー,
アナンタ ケー. スブラマニ,
ジョン シー. フォスター,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022153353A publication Critical patent/JP2022153353A/en
Application granted granted Critical
Publication of JP7345600B2 publication Critical patent/JP7345600B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32247Resonators
    • H01J37/32256Tuning means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

[0001]本開示の実施形態は、概して、プラズマ基板処理のための装置に関する。より具体的には、本開示の実施形態は、空間原子層堆積バッチプロセッサのような処理チャンバで使用するためのモジュール式マイクロ波プラズマ源に関する。 [0001] Embodiments of the present disclosure generally relate to apparatus for plasma substrate processing. More specifically, embodiments of the present disclosure relate to modular microwave plasma sources for use in processing chambers such as spatial atomic layer deposition batch processors.

[0002]原子層堆積(ALD)とプラズマALD(PEALD)は、高アスペクト比の構造における膜厚とコンフォマリティ(共形性)の制御を提供する堆積技法である。半導体業界ではデバイスの寸法が縮小し続けているため、ALD/PEALDを使用することへの関心および応用が増加している。場合によっては、PEALDのみが所望の膜厚とコンフォマリティの仕様を満たし得る。 [0002] Atomic layer deposition (ALD) and plasma ALD (PEALD) are deposition techniques that provide control of film thickness and conformality in high aspect ratio structures. As device dimensions continue to shrink in the semiconductor industry, interest and applications in using ALD/PEALD are increasing. In some cases, only PEALD can meet the desired film thickness and conformality specifications.

[0003]半導体デバイスの形成は、通常、複数のチャンバを含む基板処理プラットフォームで行われる。場合によっては、マルチチャンバ処理プラットフォームまたはクラスタツールの目的は、制御された環境で基板に2つ以上のプロセスを順次実施することである。しかしながら、マルチチャンバ処理プラットフォームは、基板上で単一の処理ステップしか実施し得ない場合があり、追加のチャンバにより、基板がプラットフォームによって処理される速度を最大化することが意図される。後者の場合、基板に対して実施されるプロセスは通常バッチプロセスであり、25または50などの比較的多数の基板が所定のチャンバで同時に処理される。バッチ処理は、原子層堆積(ALD)プロセスおよび一部の化学気相堆積(CVD)プロセスなどの、経済的に実行可能な方法で個々の基板に対して実施するには時間がかかりすぎるプロセスにおいて、特に有益である。 [0003] The formation of semiconductor devices typically occurs in a substrate processing platform that includes multiple chambers. In some cases, the purpose of a multi-chamber processing platform or cluster tool is to sequentially perform two or more processes on a substrate in a controlled environment. However, a multi-chamber processing platform may only be able to perform a single processing step on a substrate, and the additional chambers are intended to maximize the speed at which the substrate is processed by the platform. In the latter case, the process performed on the substrates is typically a batch process, where a relatively large number of substrates, such as 25 or 50, are processed simultaneously in a given chamber. Batch processing is used in processes that are too time consuming to perform on individual substrates in an economically viable manner, such as atomic layer deposition (ALD) processes and some chemical vapor deposition (CVD) processes. , is particularly useful.

[0004]通常、PEALDツールは、数十MHzまでのRF/VHF周波数帯の容量性プラズマ源を使用する。これらのプラズマは適度な密度を有し、比較的高いイオンエネルギーを有し得る。代わりに、特定の共振または波動伝播電磁モードにおいてGHz範囲の周波数でマイクロ波フィールドを使用すると、非常に高い電荷とラジカル密度および非常に低いイオンエネルギーのプラズマが生成され得る。プラズマ密度は1012/cm以上の範囲であり得、イオンエネルギーは約5~10eVの低さであり得る。上記プラズマ特徴は、最新のシリコンデバイスの損傷のない処理においてますます重要になりつつある。 [0004] PEALD tools typically use capacitive plasma sources in the RF/VHF frequency range up to tens of MHz. These plasmas have moderate densities and can have relatively high ion energies. Alternatively, using microwave fields at frequencies in the GHz range in certain resonant or wave-propagating electromagnetic modes, plasmas of very high charge and radical density and very low ion energies can be generated. Plasma densities can range from 10 12 /cm 3 or higher, and ion energies can be as low as about 5-10 eV. The above plasma characteristics are becoming increasingly important in damage-free processing of modern silicon devices.

[0005]マイクロ波プラズマの課題の1つは、放電の安定性と均一性の制御である。マイクロ波帯では、通常、電磁(EM)場の波長は処理中の基板よりも短く、波とプラズマの相互作用は非常に強くなり得る。このため、マイクロ波プラズマは不安定になりやすく、空間的に非常に不均一であり、電源入力(複数可)のみで局所化もあり得、より大きい処理ウエハ/基板では容易には広がらない。 [0005] One of the challenges of microwave plasma is controlling the stability and uniformity of the discharge. In the microwave range, the wavelength of the electromagnetic (EM) field is typically shorter than the substrate being processed, and the wave-plasma interaction can be very strong. For this reason, microwave plasmas are prone to instability, are highly spatially non-uniform, can be localized with power input(s) alone, and do not easily spread over larger processing wafers/substrates.

[0006]したがって、当技術分野において、マイクロ波プラズマを形成する改善された装置および方法が必要である。 [0006] Accordingly, there is a need in the art for improved apparatus and methods for forming microwave plasmas.

[0007]本開示の1または複数の実施形態は、長さを画定する第1の端部および第2の端部を有し、給電電極の長さに沿って延在する軸を有する給電電極を備えるプラズマ源アセンブリを対象とする。給電電極は幅を有する。接地電極は給電電極の第1の側面にある。接地電極は、給電電極からある距離だけ離間している。誘電体は、給電電極の第2の側面にある。誘電体および接地電極は給電電極を囲む。誘電体は、給電電極に隣接する内面および内面の反対側の外面を有する。第1のマイクロ波ジェネレータは、第1のフィード(feed)を介して給電電極の第1の端部に電気的に結合される。第2のマイクロ波ジェネレータは、第2のフィードを介して給電電極の第2の端部に電気的に結合される。 [0007] One or more embodiments of the present disclosure provide a powered electrode having a first end and a second end defining a length and having an axis extending along the length of the powered electrode. A plasma source assembly comprising: The power supply electrode has a width. A ground electrode is on the first side of the power supply electrode. The ground electrode is spaced a distance from the power supply electrode. A dielectric is on the second side of the feed electrode. A dielectric and a ground electrode surround the feed electrode. The dielectric has an inner surface adjacent to the feed electrode and an outer surface opposite the inner surface. A first microwave generator is electrically coupled to the first end of the powered electrode via a first feed. A second microwave generator is electrically coupled to the second end of the powered electrode via a second feed.

[0008]本開示の追加の実施形態は、第1の端部および第2の端部を有し、プラズマ源アセンブリの長軸に沿って延在する軸を有する平坦な給電電極を備えるプラズマ源アセンブリを対象とする。給電電極は幅を有する。接地電極は、給電電極の第1の側面にある。接地電極は、第2の誘電体によって給電電極から離間し、ガス入口を含む。誘電体は、給電電極の第2の側面にある。誘電体および第2の誘電体は給電電極を囲み、給電電極と接地電極との間の電気接触を防止する。誘電体は、プラズマ源アセンブリの長軸に沿って延在するガスチャネルを有する。ガス入口は、長軸に沿って延在する1または複数のプレナムと流体連結している。1または複数のプレナムは、1または複数のガス導管を介してガスチャネルと流体連結している。第1のマイクロ波ジェネレータは、第1のフィードを介して給電電極の第1の端部に電気的に結合される。第1のマイクロ波ジェネレータは第1の周波数で動作する。第2のマイクロ波ジェネレータは、第2のフィードを介して給電電極の第2の端部に電気的に結合される。第2のマイクロ波ジェネレータは第2の周波数で動作する。第1の周波数および第2の周波数は、約900MHzから約930MHzの範囲または約2.4GHzから約2.5GHzの範囲であり、第1の周波数および第2の周波数は異なる。 [0008] Additional embodiments of the present disclosure provide a plasma source comprising a flat powered electrode having a first end and a second end and having an axis extending along a longitudinal axis of the plasma source assembly. Target assembly. The power supply electrode has a width. A ground electrode is on the first side of the power supply electrode. The ground electrode is spaced from the powered electrode by a second dielectric and includes a gas inlet. A dielectric is on the second side of the feed electrode. A dielectric and a second dielectric surround the feed electrode and prevent electrical contact between the feed electrode and the ground electrode. The dielectric has a gas channel extending along a longitudinal axis of the plasma source assembly. The gas inlet is in fluid communication with one or more plenums extending along the longitudinal axis. The one or more plenums are in fluid communication with the gas channels via one or more gas conduits. A first microwave generator is electrically coupled to the first end of the powered electrode via the first feed. The first microwave generator operates at a first frequency. A second microwave generator is electrically coupled to the second end of the powered electrode via a second feed. A second microwave generator operates at a second frequency. The first frequency and the second frequency are in a range of about 900 MHz to about 930 MHz or in a range of about 2.4 GHz to about 2.5 GHz, and the first frequency and the second frequency are different.

[0009]本開示のさらなる実施形態は、プラズマを提供する方法を対象とする。第1のマイクロ波ジェネレータから給電電極の第1の端部へ第1のマイクロ波電力が提供される。第2のマイクロ波ジェネレータから給電電極の第2の端部へ第2のマイクロ波電力が提供される。第1のマイクロ波電力および第2のマイクロ波電力は、約900MHzから約930MHzの範囲または約2.4GHzから約2.5GHzの範囲の周波数で動作する。給電電極は、誘電体と、給電電極の第1の側面の接地電極とに囲まれる。プラズマは、第1の側面とは異なる給電電極の第2の側面の誘電体に隣接して形成される。 [0009] Further embodiments of the present disclosure are directed to methods of providing plasma. First microwave power is provided from a first microwave generator to a first end of the powered electrode. A second microwave power is provided from a second microwave generator to a second end of the powered electrode. The first microwave power and the second microwave power operate at frequencies in the range of about 900 MHz to about 930 MHz or in the range of about 2.4 GHz to about 2.5 GHz. The feed electrode is surrounded by a dielectric and a ground electrode on a first side of the feed electrode. A plasma is formed adjacent to the dielectric on a second side of the feed electrode that is different from the first side.

[0010]本開示の追加の実施形態は、長さを画定する第1の端部および第2の端部を有する給電電極を備えるプラズマ源アセンブリを対象とする。給電電極は、給電電極の長さに沿って延在する軸を有する。給電電極は幅を有する。接地電極は給電電極の第1の側面にある。接地電極は、給電電極からある距離だけ離間している。誘電体は、給電電極の第2の側面にある。誘電体および接地電極は給電電極を囲む。誘電体は、給電電極に隣接する内面および内面の反対側の外面を有する。第1のフィードは給電電極に電気的に結合され、第2のフィードは給電電極に電気的に結合される。第1のフィードは第1のマイクロ波ジェネレータに電気的に結合され、第2のフィードは疑似負荷に電気的に結合される。 [0010] Additional embodiments of the present disclosure are directed to a plasma source assembly that includes a powered electrode having a first end and a second end defining a length. The feed electrode has an axis extending along the length of the feed electrode. The power supply electrode has a width. A ground electrode is on the first side of the power supply electrode. The ground electrode is spaced a distance from the power supply electrode. A dielectric is on the second side of the feed electrode. A dielectric and a ground electrode surround the feed electrode. The dielectric has an inner surface adjacent to the feed electrode and an outer surface opposite the inner surface. The first feed is electrically coupled to the powered electrode and the second feed is electrically coupled to the powered electrode. The first feed is electrically coupled to the first microwave generator and the second feed is electrically coupled to the dummy load.

[0011]上述した本開示の実施形態の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示の実施形態をより具体的に説明する。しかし、添付の図面は本開示の典型的な実施形態のみを示すものであり、したがって、実施形態の範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうることに留意されたい。 [0011] In order that the features of the embodiments of the present disclosure described above may be understood in detail, the embodiments of the present disclosure summarized above will be described more specifically with reference to the embodiments, some of which are illustrated in the accompanying drawings. Explain in detail. However, the accompanying drawings depict only typical embodiments of the disclosure and therefore should not be considered as limiting the scope of the embodiments; the disclosure may also tolerate other equally valid embodiments. Please note that

本開示の1または複数の実施形態に係る、基板処理システムを示す概略断面図である。1 is a schematic cross-sectional view of a substrate processing system according to one or more embodiments of the present disclosure. FIG. 本開示の1または複数の実施形態に係る、基板処理システムを示す斜視図である。1 is a perspective view of a substrate processing system according to one or more embodiments of the present disclosure. FIG. 本開示の1または複数の実施形態に係る、基板処理システムを示す概略図である。1 is a schematic diagram illustrating a substrate processing system according to one or more embodiments of the present disclosure. FIG. 本開示の1または複数の実施形態に係る、ガス分配アセンブリの前部を示す概略図である。1 is a schematic illustration of a front portion of a gas distribution assembly according to one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、処理チャンバを示す概略図である。1 is a schematic diagram illustrating a processing chamber in accordance with one or more embodiments of the present disclosure. FIG. ストリップライン型給電電極プラズマ源における電気的結合を示す概略図である。FIG. 2 is a schematic diagram showing electrical coupling in a stripline fed electrode plasma source. ストリップライン型給電電極プラズマ源における電気的結合を示す概略図である。FIG. 2 is a schematic diagram showing electrical coupling in a stripline fed electrode plasma source. ストリップライン型給電電極プラズマ源における電気的結合を示す概略図である。FIG. 2 is a schematic diagram showing electrical coupling in a stripline fed electrode plasma source. 給電電極と設置電極と分離させる機能として、ストリップライン型給電電極プラズマ源において結合された電気的結合を示す概略図である。FIG. 2 is a schematic diagram illustrating the electrical coupling in a stripline type feed electrode plasma source as a function of separating the feed electrode and the installed electrode. 給電電極と設置電極と分離させる機能として、ストリップライン型給電電極プラズマ源において結合された電気的結合を示す概略図である。FIG. 2 is a schematic diagram illustrating the electrical coupling in a stripline type feed electrode plasma source as a function of separating the feed electrode and the installed electrode. 給電電極の断面幅の機能として、ストリップライン型給電電極プラズマ源において電気的結合を示す概略図である。1 is a schematic diagram illustrating the electrical coupling in a stripline fed electrode plasma source as a function of the cross-sectional width of the fed electrode; FIG. 給電電極の断面幅の機能として、ストリップライン型給電電極プラズマ源において電気的結合を示す概略図である。1 is a schematic diagram illustrating the electrical coupling in a stripline fed electrode plasma source as a function of the cross-sectional width of the fed electrode; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す等角図である。1 is an isometric view of a plasma source assembly according to one or more embodiments of the present disclosure. FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. ライン10-10’に沿った図8のプラズマ源アセンブリを示す断面図である。9 is a cross-sectional view of the plasma source assembly of FIG. 8 taken along line 10-10'; FIG. 本開示の1または複数の実施形態に係るプラズマ源アセンブリを示す概略底面図である。1 is a schematic bottom view of a plasma source assembly according to one or more embodiments of the present disclosure. FIG. 図10の領域11を示す詳細図である。11 is a detailed diagram showing region 11 in FIG. 10. FIG. 図10の領域12を示す詳細図である。11 is a detailed view showing region 12 of FIG. 10. FIG. 図10の領域13を示す詳細図である。11 is a detailed diagram showing region 13 of FIG. 10. FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリのガス入口プレートと凹部とを示す部分概略図である。2 is a partial schematic diagram illustrating a gas inlet plate and recess of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. ライン15-15’に沿って切り取った、図8のプラズマ源アセンブリを示す断面図である。9 is a cross-sectional view of the plasma source assembly of FIG. 8 taken along line 15-15'; FIG. ライン16-16’に沿って切り取った、図8のプラズマ源アセンブリを示す断面図である。9 is a cross-sectional view of the plasma source assembly of FIG. 8 taken along line 16-16'; FIG. 1または複数の実施形態に係る、ライン16-16’に沿って切り取った、図8のプラズマ源アセンブリを示す断面図である。9 is a cross-sectional view of the plasma source assembly of FIG. 8 taken along line 16-16' in accordance with one or more embodiments. FIG. ライン17-17’に沿って切り取った、図8のプラズマ源アセンブリを示す断面図である。9 is a cross-sectional view of the plasma source assembly of FIG. 8 taken along line 17-17'; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを通るガス流路を示す概略図である。2 is a schematic diagram illustrating gas flow paths through a plasma source assembly in accordance with one or more embodiments of the present disclosure. FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、給電電極の長さの中心に向かってフィードが移動している、図22Aのプラズマ源アセンブリを示す概略断面図である。22B is a schematic cross-sectional view of the plasma source assembly of FIG. 22A with the feed moving toward the center of the length of the powered electrode, according to one or more embodiments of the present disclosure. FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、給電電極の長さの中心に向かってフィードが移動している、図23Aのプラズマ源アセンブリを示す概略断面図である。23B is a schematic cross-sectional view of the plasma source assembly of FIG. 23A with the feed moving toward the center of the length of the powered electrode in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、プラズマ源アセンブリを示す断面図である。1 is a cross-sectional view of a plasma source assembly according to one or more embodiments of the present disclosure. FIG. 一方の端部から電力供給される給電電極の軸位置の関数としての電力を示すグラフである。2 is a graph showing power as a function of axial position of a feed electrode powered from one end; 両方の端部から電力供給される給電電極の軸位置の関数としての電力を示すグラフである。2 is a graph showing power as a function of axial position of a feed electrode powered from both ends; 給電電極の両方の端部に加えられた電力の関数として生成されたプラズマを示す概略図である。FIG. 2 is a schematic diagram showing the plasma generated as a function of power applied to both ends of a powered electrode. 給電電極の両方の端部に加えられた電力の関数として生成されたプラズマを示す概略図である。FIG. 2 is a schematic diagram showing the plasma generated as a function of power applied to both ends of a powered electrode. 給電電極の両方の端部に加えられた電力の関数として生成されたプラズマを示す概略図である。FIG. 2 is a schematic diagram showing the plasma generated as a function of power applied to both ends of a powered electrode. 本開示の1または複数の実施形態に係る、異なるプラズマ結合を有するプラズマ源アセンブリを示す概略断面側面図である。1 is a schematic cross-sectional side view of a plasma source assembly with different plasma couplings according to one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、異なるプラズマ結合を有するプラズマ源アセンブリを示す概略断面側面図である。1 is a schematic cross-sectional side view of a plasma source assembly with different plasma couplings according to one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、異なるプラズマ結合を有するプラズマ源アセンブリを示す概略断面側面図である。1 is a schematic cross-sectional side view of a plasma source assembly with different plasma couplings according to one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、異なるプラズマ結合を有するプラズマ源アセンブリを示す概略断面側面図である。1 is a schematic cross-sectional side view of a plasma source assembly with different plasma couplings according to one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、様々な幅の給電電極を有するプラズマ源アセンブリを示す概略前面図である。1 is a schematic front view of a plasma source assembly having power electrodes of varying widths in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、1つのフィードが疑似負荷と電気的に接続しているプラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly with one feed electrically connected to a dummy load in accordance with one or more embodiments of the present disclosure; FIG. 本開示の1または複数の実施形態に係る、2を上回るフィードを有するプラズマ源アセンブリを示す概略断面図である。1 is a schematic cross-sectional view of a plasma source assembly with more than two feeds in accordance with one or more embodiments of the present disclosure; FIG.

[0049]本開示の実施形態は、スループットを最大化して処理効率を改善する連続基板堆積のための基板処理システムを提供する。本開示の1または複数の実施形態は、空間原子層堆積チャンバに関して説明される。しかしながら、当業者は、これが単に1つの可能な構成であり、他の処理チャンバおよびプラズマ源モジュールが使用可能であることを認識するであろう。 [0049] Embodiments of the present disclosure provide a substrate processing system for continuous substrate deposition that maximizes throughput and improves processing efficiency. One or more embodiments of the present disclosure are described with respect to a spatial atomic layer deposition chamber. However, those skilled in the art will recognize that this is just one possible configuration and that other processing chambers and plasma source modules can be used.

[0050]本明細書および添付の特許請求の範囲で使用される「基板」および「ウエハ」という用語は互換的に使用され、両方ともプロセスが作用する表面または表面の一部を指す。また、文脈が明らかにそうでない場合を除き、基板についての言及は基板の一部のみを指し得ることも当業者には理解されよう。さらに、基板上への堆積についての言及は、ベア基板と、その上に堆積または形成された1または複数の膜または特徴を有する基板の両方を意味し得る。 [0050] As used herein and in the appended claims, the terms "substrate" and "wafer" are used interchangeably and both refer to a surface or portion of a surface on which a process operates. Those skilled in the art will also understand that references to a substrate may refer only to a portion of the substrate, unless the context clearly dictates otherwise. Further, references to deposition on a substrate can refer to both bare substrates and substrates having one or more films or features deposited or formed thereon.

[0051]本明細書および添付の特許請求の範囲で使用される「反応性ガス」、「前駆体」、「反応物」などの用語は、基板表面と反応する種を含むガスを意味するように互換的に使用される。例えば、第1の「反応性ガス」は、単に基板の表面に吸着し、第2の反応性ガスとのさらなる化学反応に利用可能であり得る。 [0051] As used herein and in the appended claims, terms such as "reactive gas," "precursor," and "reactant" are used to mean a gas that includes a species that reacts with a substrate surface. used interchangeably. For example, a first "reactive gas" may simply adsorb to the surface of the substrate and be available for further chemical reaction with a second reactive gas.

[0052]本明細書および添付の特許請求の範囲で使用される「パイ形」および「くさび形」という用語は、円のセクタである本体を説明するために互換的に使用される。たとえば、くさび形のセグメントは円または円盤形構造の小部分であってよく、複数のくさび形のセグメントを接続して円形の本体が形成され得る。セクタは、円の2つの半径および交差する円弧で囲まれた円の一部として定義され得る。パイ形セグメントの内側エッジは、尖っていてよい、または先端を切った形の平坦なエッジであってよい、あるいは丸い形であってよい。いくつかの実施形態では、セクタは、リングまたは環の一部として定義され得る。 [0052] As used herein and in the appended claims, the terms "pie-shaped" and "wedge-shaped" are used interchangeably to describe a body that is a sector of a circle. For example, a wedge-shaped segment can be a small portion of a circular or disc-shaped structure, and multiple wedge-shaped segments can be connected to form a circular body. A sector may be defined as a portion of a circle bounded by two radii of the circle and an intersecting arc. The inner edge of the pie-shaped segment may be pointed or a truncated flat edge, or it may be rounded. In some embodiments, a sector may be defined as a ring or part of a ring.

[0053]基板の経路は、ガスポートに垂直であり得る。いくつかの実施形態では、ガスインジェクタアセンブリのそれぞれは、基板が横断する経路に実質的に垂直な方向に延在する複数の細長いガスポートを備え、ガス分配アセンブリの前面はプラテンに実質的に平行である。本明細書および添付の特許請求の範囲で使用される「実質的に垂直」という用語は、基板の移動の一般的な方向がガスポートの軸にほぼ垂直な(例:約45oから90oの)平面に沿っていることを意味する。くさび形のガスポートの場合、ガスポートの軸は、ポートの長さに沿って延在するポートの幅の中点として定義される線とみなされ得る。 [0053] The path of the substrate may be perpendicular to the gas port. In some embodiments, each of the gas injector assemblies includes a plurality of elongated gas ports extending in a direction substantially perpendicular to the path traversed by the substrate, and the front surface of the gas distribution assembly is substantially parallel to the platen. It is. As used herein and in the appended claims, the term "substantially perpendicular" means that the general direction of substrate movement is approximately perpendicular to the axis of the gas port (e.g., from approximately 45° to 90°) means along a plane. For wedge-shaped gas ports, the axis of the gas port may be considered a line defined as the midpoint of the width of the port extending along the length of the port.

[0054]図1に、インジェクタまたはインジェクタアセンブリとも称されるガス分配アセンブリ120、およびサセプタアセンブリ140を含む処理チャンバ100の断面を示す。ガス分配アセンブリ120は、処理チャンバ内で使用されるいずれかの種類のガス送達装置である。ガス分配アセンブリ120は、サセプタアセンブリ140に面する前面121を含む。前面121は、ガスの流れをサセプタアセンブリ140に向けて送達するために、任意の数または様々な開口部を有し得る。ガス分配アセンブリ120はまた、図示した実施形態では、実質的に丸い外周エッジ124も含む。 [0054] FIG. 1 shows a cross-section of a processing chamber 100 that includes a gas distribution assembly 120, also referred to as an injector or injector assembly, and a susceptor assembly 140. Gas distribution assembly 120 is any type of gas delivery device used within a processing chamber. Gas distribution assembly 120 includes a front surface 121 facing susceptor assembly 140 . Front surface 121 may have any number or variety of openings for delivering gas flow toward susceptor assembly 140. Gas distribution assembly 120 also includes a substantially rounded peripheral edge 124 in the illustrated embodiment.

[0055]使用される特定の種類のガス分配アセンブリ120は、使用される特定のプロセスに応じて変化し得る。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される任意の種類の処理システムで使用され得る。様々な種類のガス分配アセンブリ(例えば、シャワーヘッド)を使用することができるが、本開示の実施形態は、複数の実質的に平行なガスチャネルを有する空間ALDガス分配アセンブリで特に有用であり得る。本明細書および添付の特許請求の範囲で使用される用語「実質的に平行」とは、ガスチャネルの長軸が同じ一般的な方向に延在することを意味する。ガスチャネルの平行性には若干の欠陥がある場合がある。複数の実質的に平行なガスチャネルは、少なくとも1つの第1の反応性ガスAチャネル、少なくとも1つの第2の反応性ガスBチャネル、少なくとも1つのパージガスPチャネル、および/または少なくとも1つの真空Vチャネルを含み得る。第1の反応性ガスAチャネル、第2の反応性ガスBチャネル、およびパージガスPチャネルから流れるガスは、ウエハの上面に向けて方向づけされる。一部のガス流は、ウエハの表面を横切って水平に移動し、パージガスPチャネルを通じて処理領域外に出る。ガス分配アセンブリの一方の端部からもう一方の端部に移動する基板は順に各処理ガスに曝露され、基板表面に層が形成される。 [0055] The particular type of gas distribution assembly 120 used may vary depending on the particular process used. Embodiments of the present disclosure may be used in any type of processing system where the gap between the susceptor and the gas distribution assembly is controlled. Although various types of gas distribution assemblies (e.g., showerheads) may be used, embodiments of the present disclosure may be particularly useful in spatial ALD gas distribution assemblies having multiple substantially parallel gas channels. . The term "substantially parallel" as used herein and in the appended claims means that the long axes of the gas channels extend in the same general direction. There may be some imperfections in the parallelism of the gas channels. The plurality of substantially parallel gas channels include at least one first reactive gas A channel, at least one second reactive gas B channel, at least one purge gas P channel, and/or at least one vacuum V channel. may include channels. Gases flowing from the first reactive gas A channel, the second reactive gas B channel, and the purge gas P channel are directed toward the top surface of the wafer. Some of the gas flow moves horizontally across the surface of the wafer and exits the processing region through the purge gas P channel. The substrate moving from one end of the gas distribution assembly to the other is exposed to each process gas in turn, forming a layer on the substrate surface.

[0056]いくつかの実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットでできた剛性の静止した本体である。1または複数の実施形態では、ガス分配アセンブリ120は、図2に示すように、複数の個々のセクタ(たとえば、インジェクタユニット122)で構成される。単一部品本体またはマルチセクタ本体のいずれかが、記載される本開示の様々な実施形態とともに使用され得る。 [0056] In some embodiments, gas distribution assembly 120 is a rigid, stationary body made of a single injector unit. In one or more embodiments, gas distribution assembly 120 is comprised of a plurality of individual sectors (eg, injector units 122), as shown in FIG. Either single-piece bodies or multi-sector bodies may be used with the various embodiments of the present disclosure described.

[0057]サセプタアセンブリ140は、ガス分配アセンブリ120の下に位置づけされる。サセプタアセンブリ140は、上面141と、上面141内の少なくとも1つの凹部142とを含む。サセプタアセンブリ140は、底面143とエッジ144も有する。凹部142は、処理される基板60の形状およびサイズに応じて、任意の適切な形状およびサイズとすることができる。図1に示す実施形態では、凹部142は、ウエハの底部を支持する平坦な底部を有するが、凹部の底は変化し得る。いくつかの実施形態では、凹部は、凹部の外周エッジの周りに、ウエハの外周エッジを支持するサイズのステップ領域を有する。ステップによって支持されるウエハの外周エッジの量は、例えば、ウエハの厚さおよびウエハの裏面にすでに存在する特徴の存在に応じて変化し得る。 [0057] Susceptor assembly 140 is positioned below gas distribution assembly 120. Susceptor assembly 140 includes a top surface 141 and at least one recess 142 in top surface 141. Susceptor assembly 140 also has a bottom surface 143 and an edge 144. Recess 142 may have any suitable shape and size depending on the shape and size of substrate 60 being processed. In the embodiment shown in FIG. 1, the recess 142 has a flat bottom that supports the bottom of the wafer, but the bottom of the recess can vary. In some embodiments, the recess has a step area around a peripheral edge of the recess that is sized to support a peripheral edge of the wafer. The amount of the peripheral edge of the wafer supported by the step may vary depending on, for example, the thickness of the wafer and the presence of features already present on the backside of the wafer.

[0058]いくつかの実施形態では、図1に示すように、サセプタアセンブリ140の上面141の凹部142は、凹部142に支持される基板60がサセプタ140の上面141と実質的に同一平面にある上面61を有するようなサイズである。本明細書および添付の特許請求の範囲で使用される「実質的に同一平面」という用語は、ウエハの上面とサセプタアセンブリの上面が±0.2mm以内で同一平面にあることを意味する。幾つかの実施形態では、上面は±0.15mm、±0.10mm、または±0.05mm以内で同一平面にある。幾つかの実施形態の凹部142は、ウエハの内径(ID)がサセプタの中心(回転軸)から約170mmから約185mmの範囲内に位置するようにウエハを支持する。いくつかの実施形態では、凹部142は、ウエハの外径(OD)がサセプタの中心(回転軸)から約470mmから約485mmの範囲に位置するようにウエハを支持する。 [0058] In some embodiments, as shown in FIG. 1, the recess 142 in the top surface 141 of the susceptor assembly 140 is such that the substrate 60 supported in the recess 142 is substantially coplanar with the top surface 141 of the susceptor 140. The size is such that it has an upper surface 61. As used herein and in the appended claims, the term "substantially coplanar" means that the top surface of the wafer and the top surface of the susceptor assembly are coplanar to within ±0.2 mm. In some embodiments, the top surfaces are coplanar to within ±0.15 mm, ±0.10 mm, or ±0.05 mm. The recess 142 of some embodiments supports the wafer such that the inner diameter (ID) of the wafer is located within a range of about 170 mm to about 185 mm from the center (axis of rotation) of the susceptor. In some embodiments, the recess 142 supports the wafer such that the outer diameter (OD) of the wafer is located in a range of about 470 mm to about 485 mm from the center (axis of rotation) of the susceptor.

[0059]図1のサセプタアセンブリ140は、サセプタアセンブリ140を持ち上げ、下げ、回転させ得る支柱160を含む。サセプタアセンブリは、支柱160の中心内にヒータ、またはガスライン、または電気部品を含み得る。支柱160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を増加または減少させ、サセプタアセンブリ140を適切な位置に移動させる主要な手段であり得る。サセプタアセンブリ140はまた、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170ができるようにサセプタアセンブリ140への微調整ができるようにする微調整アクチュエータ162も含み得る。いくつかの実施形態では、間隙170の距離は、約0.1mmから約5.0mmの範囲、または約0.1mmから約3.0mmの範囲、または約0.1mmから約2.0mmの範囲、または約0.2mmから約1.8mmの範囲、または約0.3mmから約1.7mmの範囲、または約0.4mmから約1.6mmの範囲、または約0.5mmから約1.5mmの範囲、または約0.6mmから約1.4mmの範囲、または約0.7mmから約1.3mmの範囲、または約0.8mmから約1.2mmの範囲、または約0.9mmから約1.1mmの範囲、または約1mmである。 [0059] The susceptor assembly 140 of FIG. 1 includes a post 160 that allows the susceptor assembly 140 to be raised, lowered, and rotated. The susceptor assembly may include a heater, or gas line, or electrical components within the center of the post 160. Post 160 may be the primary means of increasing or decreasing the gap between susceptor assembly 140 and gas distribution assembly 120 and moving susceptor assembly 140 into position. Susceptor assembly 140 may also include a fine adjustment actuator 162 that allows for fine adjustments to susceptor assembly 140 to create a predetermined gap 170 between susceptor assembly 140 and gas distribution assembly 120. In some embodiments, the distance of gap 170 ranges from about 0.1 mm to about 5.0 mm, or from about 0.1 mm to about 3.0 mm, or from about 0.1 mm to about 2.0 mm. , or in a range of about 0.2 mm to about 1.8 mm, or in a range of about 0.3 mm to about 1.7 mm, or in a range of about 0.4 mm to about 1.6 mm, or in a range of about 0.5 mm to about 1.5 mm. or from about 0.6 mm to about 1.4 mm, or from about 0.7 mm to about 1.3 mm, or from about 0.8 mm to about 1.2 mm, or from about 0.9 mm to about 1 .1 mm range, or about 1 mm.

[0060]図示した処理チャンバ100は、サセプタアセンブリ140が複数の基板60を保持し得るカルーセルタイプのチャンバである。図2に示すように、ガス分配アセンブリ120は、ウエハがインジェクタユニットの下に移動したときに、各インジェクタユニット122がウエハ上に膜を堆積させ得る複数の個別のインジェクタユニット122を含み得る。図示した2つのパイ形インジェクタユニット122は、サセプタアセンブリ140のほぼ反対側およびその上に位置づけされている。この数のインジェクタユニット122は、単なる例示目的のために示されている。それより多いまたは少ないインジェクタユニット122が含まれ得ることを理解すべきである。いくつかの実施形態では、サセプタアセンブリ140の形状に一致する形状を形成するのに十分な数のパイ形インジェクタユニット122が存在する。いくつかの実施形態では、個々のパイ形インジェクタユニット122のそれぞれは、他のインジェクタユニット122のいずれにも影響を与えることなく、独立して移動、除去、および/または交換することができる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして基板60をロード/アンロードすることを可能にするために、1つのセグメントが持ち上げられ得る。 [0060] The illustrated processing chamber 100 is a carousel-type chamber in which a susceptor assembly 140 may hold a plurality of substrates 60. As shown in FIG. 2, gas distribution assembly 120 may include a plurality of individual injector units 122, each injector unit 122 capable of depositing a film on a wafer as the wafer is moved beneath the injector units. The illustrated two pie-shaped injector units 122 are positioned on generally opposite sides of and above the susceptor assembly 140. This number of injector units 122 is shown for illustrative purposes only. It should be understood that more or fewer injector units 122 may be included. In some embodiments, there are a sufficient number of pie-shaped injector units 122 to form a shape that matches the shape of susceptor assembly 140. In some embodiments, each individual pie injector unit 122 can be independently moved, removed, and/or replaced without affecting any of the other injector units 122. For example, one segment may be lifted to allow a robot to access the area between susceptor assembly 140 and gas distribution assembly 120 to load/unload substrate 60.

[0061]複数のガスインジェクタを有する処理チャンバを使用して、複数のウエハを同時に処理し、ウエハが同じプロセスフローを受けるようにすることが可能である。たとえば、図3に示すように、処理チャンバ100には4つのガスインジェクタアセンブリと4つの基板60がある。処理の際、基板60は、インジェクタアセンブリ30の間に位置づけされ得る。サセプタアセンブリ140を45°回転17させると、ガス分配アセンブリ120の間にある各基板60が、ガス分配アセンブリ120の下の点線の円で示すように、膜堆積のためにガス分配アセンブリ120に移動する。さらに45°回転すると、基板60がインジェクタアセンブリ30から離れるように移動する。空間ALDインジェクタを用いて、インジェクタアセンブリに対するウエハの移動中に膜がウエハ上に堆積される。いくつかの実施形態では、サセプタアセンブリ140は増分で回転し、基板60がガス分配アセンブリ120の下で停止するのを防ぐ。基板60とガス分配アセンブリ120の数は、同じでも異なっていてもよい。いくつかの実施形態では、ガス分配アセンブリがあるのと同じ数のウエハが処理される。1または複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数または整数倍である。たとえば、4つのガス分配アセンブリがある場合、4xウエハが処理され、ここで、xは1以上の整数値である。 [0061] A processing chamber with multiple gas injectors can be used to process multiple wafers simultaneously so that the wafers undergo the same process flow. For example, as shown in FIG. 3, processing chamber 100 has four gas injector assemblies and four substrates 60. During processing, substrate 60 may be positioned between injector assemblies 30. A 45° rotation 17 of the susceptor assembly 140 moves each substrate 60 between the gas distribution assemblies 120 into the gas distribution assembly 120 for film deposition, as shown by the dotted circle below the gas distribution assembly 120. do. An additional 45° rotation moves substrate 60 away from injector assembly 30. Using a spatial ALD injector, a film is deposited on the wafer during movement of the wafer relative to the injector assembly. In some embodiments, susceptor assembly 140 rotates in increments to prevent substrate 60 from coming to rest beneath gas distribution assembly 120. The number of substrates 60 and gas distribution assemblies 120 may be the same or different. In some embodiments, as many wafers are processed as there are gas distribution assemblies. In one or more embodiments, the number of wafers processed is a fraction or an integer multiple of the number of gas distribution assemblies. For example, if there are four gas distribution assemblies, 4x wafers are processed, where x is an integer value greater than or equal to one.

[0062]図3に示す処理チャンバ100は、単に1つの可能な構成の描写であり、本開示の範囲を限定するものとして解釈されるべきではない。ここで、処理チャンバ100は、複数のガス分配アセンブリ120を含む。図示した実施形態では、処理チャンバ100の周りに等間隔に配置された4つのガス分配アセンブリ(インジェクタアセンブリ30とも称される)がある。図示した処理チャンバ100は八角形であるが、当業者は、これが1つの可能な形状であり、本開示の範囲を限定するものとして解釈されるべきではないことを理解するであろう。図示したガス分配アセンブリ120は台形であるが、単一の円形構成要素であり得る、または、図2に示すように、複数のパイ形セグメントで構成され得る。 [0062] The processing chamber 100 shown in FIG. 3 is merely a depiction of one possible configuration and should not be construed as limiting the scope of the present disclosure. Here, processing chamber 100 includes a plurality of gas distribution assemblies 120. In the illustrated embodiment, there are four gas distribution assemblies (also referred to as injector assemblies 30) evenly spaced around the processing chamber 100. Although the illustrated processing chamber 100 is octagonal, those skilled in the art will appreciate that this is one possible shape and should not be construed as limiting the scope of the present disclosure. Although the illustrated gas distribution assembly 120 is trapezoidal, it may be a single circular component or, as shown in FIG. 2, may be constructed of multiple pie-shaped segments.

[0063]図3に示す実施形態は、ロードロックチャンバ180、または緩衝ステーションのような補助チャンバを含む。このチャンバ180は、例えば基板(基板60とも称される)の処理チャンバ100からのロード/アンロードを可能にするために処理チャンバ100の側面に接続される。ウエハロボットは、基板をサセプタ上に移動するために、チャンバ180内に位置づけされ得る。 [0063] The embodiment shown in FIG. 3 includes an auxiliary chamber, such as a load lock chamber 180 or a buffer station. This chamber 180 is connected to a side of the processing chamber 100, for example to allow loading/unloading of a substrate (also referred to as substrate 60) from the processing chamber 100. A wafer robot may be positioned within chamber 180 to transfer the substrate onto the susceptor.

[0064]カルーセル(たとえば、サセプタアセンブリ140)の回転は、連続的または非連続的であり得る。連続処理では、ウエハは常に回転しているため、各インジェクタに順に曝露される。非連続処理では、ウエハがインジェクタ領域へ移動して停止し、その後にインジェクタ間の領域84へ移動して停止し得る。例えば、ウエハがインジェクタを横切って(またはインジェクタに隣接して停止して)インジェクタ内領域から次のインジェクタ内領域上へ移動するようにカルーセルが回転し、そしてそこでカルーセルが再び一時停止し得る。インジェクタ間の一時停止により、各層の堆積間の追加の処理ステップ(たとえば、プラズマへの曝露)のための時間が得られる。 [0064] Rotation of the carousel (eg, susceptor assembly 140) may be continuous or discontinuous. In continuous processing, the wafer is constantly rotating and is therefore exposed to each injector in turn. In discontinuous processing, the wafer may move to the injector area and stop, then move to the area 84 between the injectors and stop. For example, the carousel may be rotated such that the wafer moves across the injector (or stops adjacent to the injector) from one in-injector area onto the next in-injector area, and the carousel may pause there again. Pauses between injectors allow time for additional processing steps (eg, plasma exposure) between the deposition of each layer.

[0065]図4に、インジェクタユニット122とも称され得るガス分配アセンブリ220のセクタまたは一部を示す。インジェクタユニット122は、個々に、または他のインジェクタユニットと組み合わせて使用することができる。例えば、図5に示すように、図4のインジェクタユニット122のうちの4つが組み合わされて、単一のガス分配アセンブリ220を形成する。(4つのインジェクタユニットを分離する線は、明確にするために示されていない。)図4のインジェクタユニット122は、パージガスポート155および真空ポート145に加えて、第1の反応性ガスポート125と第2の反応性ガスポート135の両方を有するが、インジェクタユニット122は、これらの構成要素をすべて必要とするわけではない。 [0065] FIG. 4 shows a sector or portion of a gas distribution assembly 220, which may also be referred to as an injector unit 122. Injector unit 122 can be used individually or in combination with other injector units. For example, as shown in FIG. 5, four of the injector units 122 of FIG. 4 are combined to form a single gas distribution assembly 220. (The lines separating the four injector units are not shown for clarity.) The injector unit 122 of FIG. Although having both second reactive gas ports 135, injector unit 122 does not require all of these components.

[0066]図4および図5の両方を参照すると、1または複数の実施形態に係るガス分配アセンブリ220は、各セクタが同一または異なる複数のセクタ(またはインジェクタユニット122)を備え得る。ガス分配アセンブリ220は、処理チャンバ内に位置づけされ、ガス分配アセンブリ220の前面121に複数の細長いガスポート125、135、145を備える。複数の細長いガスポート125、135、145および真空ポート155は、内周エッジ123に隣接するエリアからガス分配アセンブリ220の外周エッジ124に隣接するエリアに向かって延在する。図示した複数のガスポートは、第1の反応性ガスポート125、第2の反応性ガスポート135、第1の反応性ガスポートのそれぞれを囲む真空ポート145および第2の反応性ガスポートおよびパージガスポート155を含む。 [0066] Referring to both FIGS. 4 and 5, a gas distribution assembly 220 according to one or more embodiments may include multiple sectors (or injector units 122), each sector being the same or different. Gas distribution assembly 220 is positioned within the processing chamber and includes a plurality of elongated gas ports 125, 135, 145 on the front side 121 of gas distribution assembly 220. A plurality of elongate gas ports 125 , 135 , 145 and a vacuum port 155 extend from an area adjacent the inner circumferential edge 123 to an area adjacent the outer circumferential edge 124 of the gas distribution assembly 220 . The illustrated plurality of gas ports include a first reactive gas port 125, a second reactive gas port 135, a vacuum port 145 surrounding each of the first reactive gas ports, and a second reactive gas port and a purge gas port. Includes port 155.

[0067]図4または図5に示す実施形態を参照すると、ポートが少なくともおおよそ内周領域から少なくともおおよそ外周領域まで延在すると記載されるが、ポートは、内領域から外領域まで半径方向以外にも延在し得る。真空ポート145が反応性ガスポート125および反応性ガスポート135を取り囲むので、ポートは接線方向に延在し得る。図4および図5に示す実施形態では、くさび形反応性ガスポート125、135は、真空ポート145によって、内周領域および外周領域に隣接するものを含むすべてのエッジにおいて取り囲まれる。 [0067] With reference to the embodiments shown in FIG. 4 or FIG. 5, the ports are described as extending from at least approximately the inner circumferential region to at least approximately the outer circumferential region, but the ports extend from the inner region to the outer region in a non-radial direction. can also be extended. Because vacuum port 145 surrounds reactive gas port 125 and reactive gas port 135, the ports may extend tangentially. In the embodiment shown in FIGS. 4 and 5, the wedge-shaped reactive gas ports 125, 135 are surrounded on all edges, including adjacent to the inner and outer circumferential regions, by vacuum ports 145.

[0068]図4を参照すると、基板が経路127に沿って移動すると、基板表面の各部分が様々な反応性ガスに曝される。経路127をたどるために、基板はパージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2の反応性ガスポート135および真空ポート145に曝される、すなわちそれらを「見る」。したがって、図4に示す経路127の端部において、基板は、第1の反応性ガスポート125および第2の反応性ガスポート135からのガス流に曝されて層を形成している。図示のインジェクタユニット122は四分円であるが、それより大きくても小さくてもよい。図5に示すガス分配アセンブリ220は、直列に接続された図4の4つのインジェクタユニット122の組み合わせと考えることができる。 [0068] Referring to FIG. 4, as the substrate moves along path 127, each portion of the substrate surface is exposed to a different reactive gas. To follow path 127 , the substrate connects purge gas port 155 , vacuum port 145 , first reactive gas port 125 , vacuum port 145 , purge gas port 155 , vacuum port 145 , second reactive gas port 135 , and vacuum port 145 be exposed to, or "see" them. Thus, at the end of path 127 shown in FIG. 4, the substrate is exposed to gas flow from first reactive gas port 125 and second reactive gas port 135 to form a layer. Although the illustrated injector unit 122 is a quadrant, it may be larger or smaller. The gas distribution assembly 220 shown in FIG. 5 can be thought of as a combination of the four injector units 122 of FIG. 4 connected in series.

[0069]図4のインジェクタユニット122は、反応性ガスを分離するガスカーテン150を示す。用語「ガスカーテン」は、反応性ガスを混合から分離するガス流または真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、第1の反応性ガスポート125の隣の真空ポート145の一部、中央のパージガスポート155、および第2の反応性ガスポート135の隣の真空ポート145の一部を備える。このガス流と真空の組み合わせは、第1の反応性ガスと第2の反応性ガスの気相反応を防止または最小化するために使用され得る。 [0069] Injector unit 122 of FIG. 4 shows a gas curtain 150 that separates reactive gases. The term "gas curtain" is used to describe any combination of gas flow or vacuum that separates reactive gases from a mixture. The gas curtain 150 shown in FIG. Department. This combination of gas flow and vacuum may be used to prevent or minimize gas phase reactions between the first and second reactive gases.

[0070]図5を参照すると、ガス流とガス分配アセンブリ220からの真空との組み合わせにより、複数の処理領域250への分離が形成される。処理領域は、ガスカーテン150が間にある個々の反応性ガスポート125、135の周りに大まかに画定される。図5に示す実施形態は、間に8つの分離したガスカーテン150を有する8つの分離した処理領域250を構成する。処理チャンバは、少なくとも2つの処理領域を有し得る。幾つかの実施形態では、少なくとも3、4、5、6、7、8、9、10、11または12個の処理領域がある。 [0070] Referring to FIG. 5, the combination of gas flow and vacuum from gas distribution assembly 220 creates separation into multiple processing regions 250. The processing area is roughly defined around the individual reactive gas ports 125, 135 with a gas curtain 150 between them. The embodiment shown in FIG. 5 constitutes eight separate processing regions 250 with eight separate gas curtains 150 between them. The processing chamber may have at least two processing regions. In some embodiments, there are at least 3, 4, 5, 6, 7, 8, 9, 10, 11 or 12 processing regions.

[0071]処理中に、基板はいずれかの所定の時点で複数の処理領域250に曝露され得る。しかしながら、異なる処理領域に曝露された部分には、2つを分離するガスカーテンがある。例えば、基板の前縁が第2の反応性ガスポート135を含む処理領域に入る場合、基板の中央部分はガスカーテン150の下にあり、基板の後縁は第1の反応性ガスポート125を含む処理領域にある。 [0071] During processing, the substrate may be exposed to multiple processing regions 250 at any given time. However, in the parts exposed to different processing areas there is a gas curtain separating the two. For example, if the leading edge of the substrate enters the processing region containing the second reactive gas port 135, the central portion of the substrate will be under the gas curtain 150 and the trailing edge of the substrate will enter the processing region containing the second reactive gas port 125. In the processing area containing.

[0072]例えばロードロックチャンバであり得るファクトリインターフェース280は、処理チャンバ100に接続されて示される。基板60は、基準フレームを提供するためにガス分配アセンブリ220の上に重ねて示される。多くの場合、基板60は、ガス分配アセンブリ120(ガス分配プレートとも称される)の前面121の近くに保持されるサセプタアセンブリ上に位置し得る。基板60は、ファクトリインターフェース280を介して処理チャンバ100の基板支持体またはサセプタアセンブリ上(図3を参照)にロードされる。基板が第1の反応性ガスポート125に隣接し、2つのガスカーテン150a、150bの間にあるため、基板60を処理領域内に位置づけして示すことができる。経路127に沿って基板60を回転させると、基板が処理チャンバ100の周りを反時計回りに移動する。したがって、基板60は、その間のすべての処理領域を含む、第1の処理領域250aから第8の処理領域250hに曝露される。図示のガス分配アセンブリを使用して、処理チャンバの周りの各サイクルにおいて、基板60は、第1の反応性ガスおよび第2の反応性ガスの4つのALDサイクルに曝露される。 [0072] A factory interface 280, which may be, for example, a load lock chamber, is shown connected to the processing chamber 100. Substrate 60 is shown overlying gas distribution assembly 220 to provide a frame of reference. In many cases, substrate 60 may be located on a susceptor assembly that is held near a front surface 121 of gas distribution assembly 120 (also referred to as a gas distribution plate). Substrate 60 is loaded onto a substrate support or susceptor assembly (see FIG. 3) of processing chamber 100 via factory interface 280. The substrate 60 can be shown positioned within the processing region as it is adjacent to the first reactive gas port 125 and between the two gas curtains 150a, 150b. Rotating substrate 60 along path 127 moves the substrate counterclockwise around processing chamber 100 . Thus, the substrate 60 is exposed from the first processing region 250a to the eighth processing region 250h, including all processing regions therebetween. Using the illustrated gas distribution assembly, in each cycle around the processing chamber, substrate 60 is exposed to four ALD cycles of a first reactive gas and a second reactive gas.

[0073]図5のようなバッチプロセッサの従来のALDシーケンスは、ポンプ/パージセクションを間に置いて、空間的に分離されたインジェクタからの化学物質AとBの流れをそれぞれ維持する。従来のALDシーケンスには、堆積膜の不均一性をもたらす可能性のある開始パターンと終了パターンとがある。発明者らは、驚くべきことに、空間ALDバッチ処理チャンバで実施される時間ベースのALDプロセスが、より高い均一性の膜を提供することを発見した。ガスA、反応性ガスなし、ガスB、反応性ガスなしへの曝露の基本プロセスは、インジェクタの下で基板をスイープして、表面を化学物質AとBでそれぞれ飽和させ、膜に開始パターンと終了パターンが形成されないようにする。発明者らは、驚くべきことに、時間ベースのアプローチが、ターゲットの膜厚が薄い(たとえば、20ALDサイクル未満)場合に特に有益であり、開始および終了パターンがウエハ内均一性性能に大きな影響を与えることを発見した。本発明者らはまた、本書に記載したように、SiCN、SiCO、およびSiCON膜を生成する反応プロセスが、時間領域プロセスでは達成できないことを発見した。処理チャンバのパージに使用される時間により、基板表面から材料が剥離してしまう。ガスカーテン下の時間が短いため、記載の空間ALDプロセスでは剥離は発生しない。 [0073] A conventional ALD sequence for a batch processor such as that of FIG. 5 maintains flows of chemicals A and B, respectively, from spatially separated injectors with a pump/purge section in between. Conventional ALD sequences have starting and ending patterns that can lead to non-uniformity in the deposited film. The inventors have surprisingly discovered that a time-based ALD process performed in a spatial ALD batch processing chamber provides films with higher uniformity. The basic process for exposure to Gas A, no reactive gases and Gas B, no reactive gases is to sweep the substrate under an injector to saturate the surface with chemicals A and B, respectively, and to create a starting pattern in the film. Prevent termination patterns from forming. The inventors surprisingly found that the time-based approach is particularly beneficial when the target film thickness is small (e.g., less than 20 ALD cycles) and the starting and ending patterns have a large impact on within-wafer uniformity performance. I discovered giving. The inventors have also discovered that the reactive processes that produce SiCN, SiCO, and SiCON films, as described herein, cannot be achieved with time-domain processes. The time used to purge the processing chamber causes material to flake off from the substrate surface. Due to the short time under the gas curtain, no delamination occurs in the described spatial ALD process.

[0074]したがって、本開示の実施形態は、各処理領域がガスカーテン150によって隣接領域から分離された複数の処理領域250a~250hを有する処理チャンバ100を含む処理方法を対象とする。例えば、図5に示す処理チャンバ。処理チャンバ内のガスカーテンおよび処理領域の数は、ガス流の配置に応じて任意の適切な数であり得る。図5に示す実施形態は、8つのガスカーテン150および8つの処理領域250a~250hを有する。ガスカーテンの数は一般に、処理領域の数と等しい、またはそれを上回る。たとえば、領域250aに反応性ガスの流れがなく、単にローディングエリアとして機能する場合、処理チャンバには7つの処理領域と8つのガスカーテンがある。 [0074] Embodiments of the present disclosure are therefore directed to a processing method that includes a processing chamber 100 having a plurality of processing regions 250a-250h, each processing region separated from an adjacent region by a gas curtain 150. For example, the processing chamber shown in FIG. The number of gas curtains and processing regions within the processing chamber may be any suitable number depending on the gas flow arrangement. The embodiment shown in FIG. 5 has eight gas curtains 150 and eight processing regions 250a-250h. The number of gas curtains generally equals or exceeds the number of treatment zones. For example, if region 250a has no flow of reactive gas and merely functions as a loading area, there are seven processing regions and eight gas curtains in the processing chamber.

[0075]複数の基板60は、基板支持体、例えば図1および図2に示すサセプタアセンブリ140上に位置づけされる。複数の基板60は、処理のために処理領域の周りを回転する。一般に、反応性ガスがチャンバに流入していない期間を含む処理全体を通してガスカーテン150が係合される(ガス流および真空オン)。 [0075] A plurality of substrates 60 are positioned on a substrate support, such as susceptor assembly 140 shown in FIGS. 1 and 2. A plurality of substrates 60 are rotated around the processing area for processing. Generally, gas curtain 150 is engaged (gas flow and vacuum on) throughout the process, including periods when no reactive gases are flowing into the chamber.

[0076]第1の反応性ガスAは1または複数の処理領域250に流入し、不活性ガスは第1の反応性ガスAが流入していない、いずれかの処理領域250に流入する。例えば、第1の反応性ガスが処理領域250hを通って処理領域250bに流入する場合、不活性ガスは処理領域250aに流入する。不活性ガスは、第1の反応性ガスポート125または第2の反応性ガスポート135を通って流れ得る。 [0076] The first reactive gas A flows into one or more processing regions 250, and the inert gas flows into any processing region 250 to which the first reactive gas A does not flow. For example, when the first reactive gas flows into the processing region 250b through the processing region 250h, the inert gas flows into the processing region 250a. Inert gas may flow through first reactive gas port 125 or second reactive gas port 135.

[0077]処理領域内の不活性ガスの流れは、一定であり得るまたは変化し得る。幾つかの実施形態では、反応性ガスは不活性ガスと一緒に流される。不活性ガスは、キャリアおよび希釈剤として機能する。キャリアガスに対する反応性ガスの量は少ないため、同時に流れることで、隣接する領域間の圧力差を減少させることにより、処理領域間のガス圧力のバランス調整が容易になり得る。 [0077] The flow of inert gas within the processing region may be constant or variable. In some embodiments, the reactive gas is co-flowed with an inert gas. Inert gas acts as a carrier and diluent. Since the amount of reactive gas relative to the carrier gas is small, their simultaneous flow may facilitate gas pressure balancing between processing regions by reducing pressure differences between adjacent regions.

[0078]本開示のいくつかの実施形態は、マイクロ波プラズマ源を対象とする。マイクロ波プラズマ源を空間ALD処理チャンバに関して説明するが、当業者は、モジュールが空間ALDチャンバに限定されず、マイクロ波プラズマが使用可能ないずれかのインジェクタの状況に適用可能であることを理解するであろう。 [0078] Some embodiments of the present disclosure are directed to microwave plasma sources. Although the microwave plasma source is described with respect to a spatial ALD processing chamber, those skilled in the art will understand that the module is not limited to spatial ALD chambers, but is applicable to any injector situation where microwave plasma can be used. Will.

[0079]本開示のいくつかの実施形態は、モジュール式プラズマ源アセンブリ、すなわち、容易に処理システムに挿入および処理システムから取り外すことができる源を有利に提供する。例えば、図5に示すようなマルチパート(多部分)ガス分配アセンブリを変更して、1つのくさび形ガスポートを取り外し、ガスポートをモジュール式プラズマ源アセンブリに交換することができる。 [0079] Some embodiments of the present disclosure advantageously provide a modular plasma source assembly, ie, a source that can be easily inserted into and removed from a processing system. For example, a multi-part gas distribution assembly such as that shown in FIG. 5 can be modified to remove one wedge-shaped gas port and replace the gas port with a modular plasma source assembly.

[0080]本開示のいくつかの実施形態は、プラズマを「電力吸収媒体」としてだけでなく、「導波媒体」の一部としても使用する進行波型プラズマアプリケータを有利に提供する。本開示のいくつかの実施形態は、空間的に拡張されたマイクロ波プラズマを付与するプラズマ給電電極を有利に提供する。プラズマ給電電極の概念は、「表面波プラズマ技術」とも称される。本開示のいくつかの実施形態は、プラズマアプリケータ(またはストリップライン型給電電極)内の反射電力を最小化または除去して、不均一性を引き起こす定在波を最小化または除去する。 [0080] Some embodiments of the present disclosure advantageously provide traveling wave plasma applicators that use the plasma not only as a "power absorbing medium" but also as part of a "waveguiding medium." Some embodiments of the present disclosure advantageously provide plasma-powered electrodes that provide spatially extended microwave plasma. The concept of plasma-powered electrodes is also referred to as "surface wave plasma technology." Some embodiments of the present disclosure minimize or eliminate reflected power within the plasma applicator (or stripline feed electrode) to minimize or eliminate standing waves that cause non-uniformity.

[0081]本開示のいくつかの実施形態は、プラズマがストリップライン型給電電極の2つの「接地電極」の1つとして機能する「ストリップライン型給電電極」を組み込む。例えば、図6Aは、接地電極310から離間しているストリップライン型給電電極350を示す。電界線352は、単一の接地電極が存在する場合の給電電極350と接地電極310との間の電子的結合を示すために示されている。図6Bは、接地電極310と接地電極310aとの間にあり、それらから離間しているストリップライン型給電電極350を示す。電介線352は、給電電極350と接地電極310との間の電子的結合を示し、電界線352aは、給電電極350と接地電極310aとの間の電子的結合を示す。図6Cは、プラズマ353が反対側にある、接地電極310から離間した給電電極350を示す。プラズマ353は、接地電極310の代わりとして機能し得る。給電電極350の寸法、給電電極350と接地電極310との間の間隔、給電電極350とプラズマ353との間の間隔、および誘電体材料354の組成および寸法は、伝送線路伝搬の定数に影響を及ぼし得る。いくつかの実施形態では、電極の幅は、電極の長さよりも短い。図示した力線は、説明を目的としたものであり、使用中の特定の電界を表すものではなく、本開示の範囲を限定するものと見なされるべきではない。 [0081] Some embodiments of the present disclosure incorporate a "stripline powered electrode" in which the plasma functions as one of the two "ground electrodes" of the stripline powered electrode. For example, FIG. 6A shows a stripline feed electrode 350 spaced apart from the ground electrode 310. Electric field lines 352 are shown to illustrate the electronic coupling between feed electrode 350 and ground electrode 310 when a single ground electrode is present. FIG. 6B shows a stripline feed electrode 350 between and spaced apart from ground electrode 310 and ground electrode 310a. Electric field lines 352 indicate electronic coupling between power supply electrode 350 and ground electrode 310, and electric field lines 352a indicate electronic coupling between power supply electrode 350 and ground electrode 310a. FIG. 6C shows the feed electrode 350 spaced apart from the ground electrode 310 with the plasma 353 on the opposite side. Plasma 353 may act as a replacement for ground electrode 310. The dimensions of the feed electrode 350, the spacing between the feed electrode 350 and the ground electrode 310, the spacing between the feed electrode 350 and the plasma 353, and the composition and dimensions of the dielectric material 354 affect the constants of transmission line propagation. can be affected. In some embodiments, the width of the electrode is less than the length of the electrode. The illustrated field lines are for illustrative purposes and are not representative of the particular electric field in use and should not be considered as limiting the scope of the present disclosure.

[0082]給電電極に沿った波の伝播(および減衰)は、ストリップラインの形状寸法とプラズマの関数である。図6Dおよび図6Eは、給電電極350と接地電極310との間の距離の影響を示す図である。図6Dでは、給電電極(給電電極350)は、図6Eのプラズマと比較して、プラズマ353に比較的近い。プラズマへの電力結合(電力損失)は、図6Eよりも図6Dでより強い(すなわち、波はより速く減衰し、軸方向にそれほど伝播しない)。ストリップが金属接地に近い場合(損失のない電極の場合)、ストリップラインの電圧は低くなり、プラズマへの結合は弱くなる、すなわち、軸方向の電力損失(波の減衰)が弱まり、波はさらに伝播する。 [0082] Wave propagation (and attenuation) along the feed electrode is a function of the stripline geometry and the plasma. 6D and 6E are diagrams illustrating the effect of the distance between power supply electrode 350 and ground electrode 310. In FIG. 6D, the feed electrode (feed electrode 350) is relatively close to plasma 353 compared to the plasma in FIG. 6E. The power coupling (power loss) to the plasma is stronger in Fig. 6D than in Fig. 6E (i.e., the waves decay faster and propagate less axially). If the strip is close to metal ground (for a lossless electrode), the voltage on the stripline will be lower and the coupling to the plasma will be weaker, i.e. the axial power losses (wave attenuation) will be weaker and the waves will be further propagate.

[0083]さらに、ストリップ(給電電極350)の幅は、波の伝播(減衰)定数に影響を与える可能性がある、すなわち、軸方向プラズマプロファイルに影響を与える可能性がある。図6Fに、図6Gの給電電極350よりも狭い幅を有する給電電極350を示す。他の考慮事項が等しい場合、図6Fのプラズマ353は、図6Gのプラズマよりも狭い幅に制限される。 [0083] Furthermore, the width of the strip (feed electrode 350) may affect the wave propagation (attenuation) constant, ie, the axial plasma profile. FIG. 6F shows a feed electrode 350 having a narrower width than feed electrode 350 of FIG. 6G. Other considerations being equal, the plasma 353 of FIG. 6F is confined to a narrower width than the plasma of FIG. 6G.

[0084]図7~図29を参照すると、本開示の1または複数の実施形態は、モジュール式マイクロ波プラズマ源300を対象とする。本明細書および添付の特許請求の範囲で使用される「モジュール式」という用語は、プラズマ源300が処理チャンバに取り付けまたは処理チャンバから取り外し可能であることを意味する。モジュール式源は、通常、1人で移動、取り外し、または取り付けが可能である。 [0084] Referring to FIGS. 7-29, one or more embodiments of the present disclosure are directed to a modular microwave plasma source 300. As used herein and in the appended claims, the term "modular" means that the plasma source 300 is removable from or attached to the processing chamber. Modular sources typically can be moved, removed, or installed by one person.

[0085]いくつかの実施形態のプラズマアプリケータ(ストリップライン型給電電極350、ストリップライン型電極または高温電極とも称される)は、図7に示すように、2つのマイクロ波(MW)ジェネレータ(プラズマアプリケータの各端部に1つのMWジェネレータが電気的に結合されている)によって給電される線形プラズマ源である。第1のMWジェネレータ361と第2のMWジェネレータ362をわずかに異なる周波数に調整して、定在波の問題を最小限に抑えることができる。特定の動作理論に縛られることなく、2つのジェネレータを使用すれば、2つのプラズマアプリケータの端部間の電力バランスと、両端間のプラズマスキューの制御も可能になると考えられる。ストリップライン型給電電極350は、様々な形状寸法(例えば、プラズマ353/接地電極310までのストリップ型電極の幅/形状および/または距離)を有して、プラズマプロファイルを制御し得る。図7に示すストリップライン型給電電極350は、誘電体320により、接地電極310およびプラズマから分離されている。 [0085] The plasma applicator (stripline powered electrode 350, also referred to as a stripline electrode or hot electrode) of some embodiments includes two microwave (MW) generators ( A linear plasma source powered by one MW generator electrically coupled to each end of the plasma applicator. The first MW generator 361 and the second MW generator 362 can be tuned to slightly different frequencies to minimize standing wave problems. Without being bound by any particular theory of operation, it is believed that the use of two generators also allows for power balance between the two plasma applicator ends and control of plasma skew between the ends. The stripline feed electrode 350 may have various geometries (eg, the width/shape and/or distance of the stripline electrode to the plasma 353/ground electrode 310) to control the plasma profile. The stripline feed electrode 350 shown in FIG. 7 is separated from the ground electrode 310 and the plasma by a dielectric 320.

[0086]図8を参照すると、本開示の1または複数の実施形態は、接地電極310および誘電体320を含むプラズマ源アセンブリ300を対象とする。図示されたプラズマ源アセンブリ300は、図5のものと同様に、ガス分配アセンブリで使用可能であり得るくさび形構成要素である。図示したプラズマ源アセンブリ300は、長軸の境界を形成する内周エッジ301および外周エッジ302を有する。 [0086] Referring to FIG. 8, one or more embodiments of the present disclosure are directed to a plasma source assembly 300 that includes a ground electrode 310 and a dielectric 320. The illustrated plasma source assembly 300 is a wedge-shaped component that may be used in a gas distribution assembly, similar to that of FIG. The illustrated plasma source assembly 300 has an inner circumferential edge 301 and an outer circumferential edge 302 defining a longitudinal axis.

[0087]接地電極310および誘電体320は、ハウジング(図示せず)内に囲まれ得る、またはアセンブリ300の外面を形成し得る。図8に示す実施形態では、誘電体320は、上部322から差し込まれて段状外面を形成する下部321を有する。段状外面は、ガス分配アセンブリ内に位置づけされたときにアセンブリ300を支持し得る(上部322の露出した底部上の)支持面を提供し得る。これは、アセンブリ300がそれ自体の重量を支持することを可能にする1つの可能な構成の描写であり、他の構成は本開示の範囲内である。 [0087] Ground electrode 310 and dielectric 320 may be enclosed within a housing (not shown) or may form an exterior surface of assembly 300. In the embodiment shown in FIG. 8, dielectric 320 has a lower portion 321 inserted from an upper portion 322 to form a stepped outer surface. The stepped outer surface may provide a support surface (on the exposed bottom of the top 322) that may support the assembly 300 when positioned within the gas distribution assembly. This is a depiction of one possible configuration that allows assembly 300 to support its own weight; other configurations are within the scope of this disclosure.

[0088]図9は、接地電極310およびハウジング307が段状になっているプラズマ源アセンブリ300の1または複数の実施形態を示す断面図である。接地電極310は、Oリング313とは分離した構成要素である下部311および上部312として示されている。下部311と上部312は、取り外し可能なハードウェア(例:ボルト)または永久結合(例:はんだ結合)を含むがこれらに限定されない任意の適切な構成要素で接続され得る。図示した実施形態は、アセンブリ300がガス分配アセンブリ120内に支持され得る2つの領域を提供する。図示した段状ハウジング307は、ガス分配アセンブリ120内に形成されたレッジ128上にあり、接地電極310の上部312は、ガス分配アセンブリ120の上面126上にある。図示した実施形態では、アセンブリ300は、上部312を通過してガス分配アセンブリ120に入るボルト317によって適所に保持される。 [0088] FIG. 9 is a cross-sectional view of one or more embodiments of a plasma source assembly 300 in which the ground electrode 310 and housing 307 are stepped. Ground electrode 310 is shown as a lower portion 311 and upper portion 312 that are separate components from O-ring 313. The lower portion 311 and the upper portion 312 may be connected with any suitable components including, but not limited to, removable hardware (eg, bolts) or permanent connections (eg, solder bonds). The illustrated embodiment provides two areas in which assembly 300 may be supported within gas distribution assembly 120. The illustrated stepped housing 307 rests on a ledge 128 formed within the gas distribution assembly 120 and the top 312 of the ground electrode 310 rests on the top surface 126 of the gas distribution assembly 120. In the illustrated embodiment, assembly 300 is held in place by bolts 317 that pass through top 312 and enter gas distribution assembly 120.

[0089]図9に示す誘電体320は、ストリップライン型給電電極350を含む内部にアクセスするために誘電体320を開くことを可能にする複数の部分を有する。接地電極310および誘電体320は、Oリング323と接続して、以下に説明するように、ガス経路330の気密シールを形成し得る。説明を簡単にするために、様々なOリングは他の図面および図示した実施形態には示されていない。しかしながら、当業者は、Oリングの一般的な有用性およびOリングが使用され得る適切な位置を認識するであろう。 [0089] The dielectric 320 shown in FIG. 9 has multiple sections that allow the dielectric 320 to be opened to access the interior, including the stripline feed electrode 350. Ground electrode 310 and dielectric 320 may be connected with O-ring 323 to form a hermetic seal of gas path 330, as described below. For ease of explanation, various O-rings are not shown in the other figures and illustrated embodiments. However, those skilled in the art will recognize the general utility of O-rings and suitable locations in which they may be used.

[0090]図10に、線10-10´に沿って切り取った図8のプラズマ源アセンブリ300の断面図を示す。プラズマ源アセンブリ300は、第1の端部355および第2の端部357を備えた給電電極350を有する。給電電極350は、プラズマ源アセンブリ300の長軸に沿って延在し、その結果、第1の端部355は内周エッジ301に隣接し、第2の端部357は外周エッジ302に隣接している。ここで使用する「隣接する」という用語は、第1の構成要素が第2の構成要素の近くにまたは隣に位置づけされることを意味する。 [0090] FIG. 10 shows a cross-sectional view of the plasma source assembly 300 of FIG. 8 taken along line 10-10'. Plasma source assembly 300 has a powered electrode 350 with a first end 355 and a second end 357. Feed electrode 350 extends along the longitudinal axis of plasma source assembly 300 such that first end 355 is adjacent inner peripheral edge 301 and second end 357 is adjacent outer peripheral edge 302. ing. As used herein, the term "adjacent" means that a first component is positioned near or next to a second component.

[0091]図10Aは、内周エッジ301および外周エッジ302を有するくさび形プラズマアセンブリ300を示す概略図である。アセンブリ300の長軸303は、内周エッジ301および外周エッジ302を通って延在する点線でマークされ、第1のエッジ304と第2のエッジ305の真ん中にある。給電電極350は、長さLおよび幅Wを有する。長さLは、第1の端部355から第2の端部357まで測定される。幅Wは、図9に示すように、アセンブリ300の前面324によって形成される平面と同様の平面において、長軸303に垂直に測定される。給電電極350は、給電電極の第1の端部から第2の端部までの長さに沿って延びる軸を有する。いくつかの実施形態では、給電電極350は、実質的に平行な側面を有する。図10Aを参照すると、側面は、給電電極の端部355、357の間に延在する。「実質的に平行」という用語は、一方の側面によって形成される主平面が、もう一方の側面によって形成される主平面の±10°以内にあることを意味する。いくつかの実施形態では、給電電極350の幅Wは、電極350の長さLにわたって実質的に同じまま(例えば、平均の10%以内)である。いくつかの実施形態では、電極350の側面は、電極の上部または下部のいずれかで内側に傾斜し、台形の断面を形成する。 [0091] FIG. 10A is a schematic diagram illustrating a wedge-shaped plasma assembly 300 having an inner circumferential edge 301 and an outer circumferential edge 302. A longitudinal axis 303 of the assembly 300 is marked by a dotted line extending through the inner circumferential edge 301 and the outer circumferential edge 302 and is midway between the first edge 304 and the second edge 305. Power supply electrode 350 has a length L and a width W. Length L is measured from first end 355 to second end 357. Width W is measured perpendicular to long axis 303 in a plane similar to that formed by front surface 324 of assembly 300, as shown in FIG. Feed electrode 350 has an axis extending along a length from a first end to a second end of the feed electrode. In some embodiments, the powered electrodes 350 have substantially parallel sides. Referring to FIG. 10A, the side surface extends between the ends 355, 357 of the feed electrode. The term "substantially parallel" means that the major plane formed by one side is within ±10° of the major plane formed by the other side. In some embodiments, the width W of the powered electrode 350 remains substantially the same (eg, within 10% of the average) over the length L of the electrode 350. In some embodiments, the sides of electrode 350 are sloped inward at either the top or bottom of the electrode, forming a trapezoidal cross section.

[0092]給電電極350は、動作温度に耐え得る任意の適切な材料でできていてよい。いくつかの実施形態では、給電電極350は、タングステン(W)、モリブデン(Mo)、またはタンタル(Ta)の1または複数を含む。幾つかの実施形態では、給電電極350は、タングステンを含む、本質的にタングステンからなる、またはタングステンからなる。ここで使用する用語「本質的に~からなる」は、給電電極350が、原子ベースで、記載された材料の約95%、98%または99%以上であることを意味する。いくつかの実施形態では、給電電極350は、モリブデンを含む、本質的にモリブデンからなる、またはモリブデンからなる。いくつかの実施形態では、給電電極350は、タンタルを含む、本質的にタンタルからなる、またはタンタルからなる。 [0092] Powering electrode 350 may be made of any suitable material that can withstand operating temperatures. In some embodiments, powered electrode 350 includes one or more of tungsten (W), molybdenum (Mo), or tantalum (Ta). In some embodiments, the powered electrode 350 comprises, consists essentially of, or consists of tungsten. As used herein, the term "consisting essentially of" means that the powered electrode 350 is, on an atomic basis, about 95%, 98%, or 99% or more of the recited material. In some embodiments, the powered electrode 350 comprises, consists essentially of, or consists of molybdenum. In some embodiments, the powered electrode 350 comprises, consists essentially of, or consists of tantalum.

[0093]給電電極350の幅Wは、任意の適切な幅であり得る。いくつかの実施形態では、給電電極350は、約2mmから約50mmの範囲、または約4mmから約40mmの範囲、または約5mmから約30mmの範囲、または約7mmから約20mmの範囲、または約8mmから約15mmの範囲の幅Wを有する。幾つかの実施形態では、給電電極350の幅Wは約10mmである。 [0093] The width W of the power supply electrode 350 may be any suitable width. In some embodiments, the powered electrode 350 ranges from about 2 mm to about 50 mm, or about 4 mm to about 40 mm, or about 5 mm to about 30 mm, or about 7 mm to about 20 mm, or about 8 mm. It has a width W in the range of about 15 mm. In some embodiments, the width W of the feed electrode 350 is about 10 mm.

[0094]いくつかの実施形態では、給電電極350の幅Wは、第1の端部355から第2の端部357に変化する。いくつかの実施形態では、給電電極350の幅Wの形状は、アセンブリ300の形状に一致する。例えば、くさび形アセンブリ300は、外側エッジにおける幅と内側エッジにおける幅との比率が類似しているくさび形給電電極350を有し得る。 [0094] In some embodiments, the width W of the feed electrode 350 varies from the first end 355 to the second end 357. In some embodiments, the shape of the width W of the feed electrode 350 matches the shape of the assembly 300. For example, the wedge-shaped assembly 300 may have a wedge-shaped feed electrode 350 with a similar ratio of width at the outer edge to width at the inner edge.

[0095]接地電極310は、給電電極350の第1の側面に位置づけされる。接地電極310の位置は、給電電極350の上と称され得る。ただし、「上」、「下」等のような相対的用語の使用は特定の物理的関係を表すものではなく、相対的な関係を意図するものである。たとえば、図10の座標軸は、接地電極310がZ軸において給電電極350よりも上に位置づけられていることを示す。幾つかの実施形態では、給電電極350の第1の側面は、給電電極350の第2の側面とはZ軸において異なる給電電極350の側面である。 [0095] Ground electrode 310 is positioned on a first side of power supply electrode 350. The location of ground electrode 310 may be referred to as above power supply electrode 350. However, the use of relative terms such as "above," "below," etc. does not imply a specific physical relationship, but rather a relative relationship is intended. For example, the coordinate axes in FIG. 10 indicate that ground electrode 310 is positioned above power supply electrode 350 in the Z-axis. In some embodiments, the first side of the powered electrode 350 is a different side of the powered electrode 350 in the Z axis than the second side of the powered electrode 350.

[0096]接地電極310は、アルミニウム、ステンレス鋼、および銅を含むがこれらに限定されない任意の適切な材料でできていてよい。接地電極310は、任意の適切な電気的特性を有し得る。いくつかの実施形態では、接地電極は、電気的接地と電気的に接触する導電性材料である。 [0096] Ground electrode 310 may be made of any suitable material including, but not limited to, aluminum, stainless steel, and copper. Ground electrode 310 may have any suitable electrical characteristics. In some embodiments, the ground electrode is a conductive material in electrical contact with an electrical ground.

[0097]図10に示すように、接地電極310は、給電電極350から距離Dだけ離間させることができる。距離Dは、接地電極310を給電電極350から分離して、それらの間の直接の電気的接触を防ぐための任意の適切な距離であってよい。いくつかの実施形態では、接地電極310は、第2の誘電体325によって給電電極350から離間している。第2の誘電体325は、誘電体320と同じであり得るか、または異なる材料であり得る。誘電体320および/または第2の誘電体325は、酸化アルミニウム、酸化ケイ素、窒化ケイ素、セラミック、石英、空気を含むがこれらに限定されない任意の適切な材料でできていてよい。いくつかの実施形態では、誘電体320および/または第2の誘電体325は、誘電体材料と空隙の組み合わせを含む。給電電極350の第2の側面の誘電体320は、給電電極350に隣接するかまたは対向する内面326と、内面326の反対側の外面327とを有する。 [0097] As shown in FIG. 10, ground electrode 310 may be spaced a distance D 1 from power supply electrode 350. Distance D 1 may be any suitable distance to separate ground electrode 310 from powered electrode 350 to prevent direct electrical contact therebetween. In some embodiments, ground electrode 310 is spaced from powered electrode 350 by second dielectric 325. Second dielectric 325 may be the same as dielectric 320 or may be a different material. Dielectric 320 and/or second dielectric 325 may be made of any suitable material including, but not limited to, aluminum oxide, silicon oxide, silicon nitride, ceramic, quartz, and air. In some embodiments, dielectric 320 and/or second dielectric 325 include a combination of dielectric material and air gaps. Dielectric 320 on the second side of feed electrode 350 has an inner surface 326 adjacent or opposite feed electrode 350 and an outer surface 327 opposite inner surface 326 .

[0098]図10に示す実施形態では、誘電体320は、支持されるおよび/またはハウジング307内にある。誘電体320および第2の誘電体325は、給電電極350を囲み、接地電極310、または接地電極310の反対側の給電電極350の側面のガスまたは構成要素のいずれかとの直接の電気的接触を防止する。図示した実施形態では、誘電体320により、ガスチャネル370内のガスから給電電極350が分離される。 [0098] In the embodiment shown in FIG. 10, dielectric 320 is supported and/or within housing 307. A dielectric 320 and a second dielectric 325 surround the feed electrode 350 and provide direct electrical contact with the ground electrode 310 or with any gas or components on the side of the feed electrode 350 opposite the ground electrode 310. To prevent. In the illustrated embodiment, dielectric 320 separates powered electrode 350 from the gas within gas channel 370 .

[0099]第1のマイクロ波ジェネレータ361(図7を参照)は、第1のフィード381を介して給電電極350の第1の端部355に電気的に結合される。第1のフィード381は、第1のマイクロ波ジェネレータ361から給電電極350に電力を伝送することができる任意の適切な導電性材料でできている。図10および図11の詳細図に示す実施形態では、第1のフィード381は、接地電極310と電気的に接触することなく、開口部314を通って接地電極310を通過する。 [0099] A first microwave generator 361 (see FIG. 7) is electrically coupled to the first end 355 of the powered electrode 350 via a first feed 381. First feed 381 is made of any suitable electrically conductive material capable of transmitting power from first microwave generator 361 to powered electrode 350. In the embodiment shown in the detailed views of FIGS. 10 and 11, the first feed 381 passes through the ground electrode 310 through the opening 314 without making electrical contact with the ground electrode 310.

[00100]第2のマイクロ波ジェネレータ362(図7を参照)は、第2のフィード382を介して給電電極の第2の端部357に電気的に結合される。第2のフィード382は、第2のマイクロ波ジェネレータ362から給電電極へ電力を伝送し得る任意の適切な導電性材料でできている。図10に示す実施形態および図12の詳細図では、第2のフィード382は、接地電極310と電気的に接触することなく、開口部315を通って接地電極310を通過する。 [00100] A second microwave generator 362 (see FIG. 7) is electrically coupled to the second end 357 of the powered electrode via a second feed 382. Second feed 382 is made of any suitable electrically conductive material capable of transmitting power from second microwave generator 362 to the powered electrode. In the embodiment shown in FIG. 10 and the detailed view in FIG. 12, the second feed 382 passes through the ground electrode 310 through the opening 315 without making electrical contact with the ground electrode 310.

[00101]第1のフィード381および第2のフィード382は、任意の適切な技術によって接地電極310との電気的接触から絶縁することができる。図9を再び参照すると、第1のフィード381は、同軸フィードライン383として示されている。同軸フィードライン383は、絶縁体384を備えた内部導体(第1のフィード381)と、同軸構成で配置された外部導体385とを含む。外部導体385は完全な電気回路を形成するために接地電極310と電気的に接触している。例示の実施形態では、絶縁体384は、第2の誘電体325で終端する。しかしながら、絶縁体384は、給電電極350を含むがこれに限定されない任意の適切な点で終端し得る。いくつかの実施形態の第2のフィード382は、第1のフィード381と同じ構成要素を含む。 [00101] First feed 381 and second feed 382 may be isolated from electrical contact with ground electrode 310 by any suitable technique. Referring again to FIG. 9, first feed 381 is shown as coaxial feed line 383. Coaxial feed line 383 includes an inner conductor (first feed 381) with an insulator 384 and an outer conductor 385 arranged in a coaxial configuration. Outer conductor 385 is in electrical contact with ground electrode 310 to form a complete electrical circuit. In the illustrated embodiment, insulator 384 terminates in second dielectric 325 . However, insulator 384 may terminate at any suitable point, including but not limited to feed electrode 350. The second feed 382 of some embodiments includes the same components as the first feed 381.

[00102]図11の詳細図を参照すると、給電電極350は、接地電極310から距離Dだけ分離され、ガスチャネル370から距離Dだけ分離され得る。距離Dおよび距離Dは、同じまたは異なる寸法であり得る。幾つかの実施形態では、距離Dおよび距離Dは、約4mmから約15mmの範囲、または約5mmから約14mmの範囲、または約7mmから約13mmの範囲、または約9mmから約12mmの範囲、または約11mmである。 [00102] Referring to the detailed view of FIG. 11, the powered electrode 350 may be separated from the ground electrode 310 by a distance D 1 and from the gas channel 370 by a distance D 2 . Distance D 1 and distance D 2 may be of the same or different dimensions. In some embodiments, distance D 1 and distance D 2 range from about 4 mm to about 15 mm, or from about 5 mm to about 14 mm, or from about 7 mm to about 13 mm, or from about 9 mm to about 12 mm. , or about 11 mm.

[00103]いくつかの実施形態では、距離Dは、第1の端部355と第2の端部357との間で実質的に同じままである。ここで使用する「実質的に同じ」という用語は、第1の端部355から第2の端部357までの平均厚さに対して厚さが10%、5%、2%または1%を超えて変動しないことを意味する。いくつかの実施形態では、距離Dは、第1の端部355と第2の端部357との間で変化する。例えば、幾つかの実施形態では、第2の誘電体325は、第1の端部355よりも第2の端部357の近くの方が厚くなっているので、距離Dは第1の端部355よりも第2の端部357の方が大きい。いくつかの実施形態では、第2の誘電体325は第1の端部355よりも第2の端部357の近くの方が薄くなっている。 [00103] In some embodiments, distance D 1 remains substantially the same between first end 355 and second end 357. As used herein, the term "substantially the same" means that the thickness is 10%, 5%, 2%, or 1% of the average thickness from the first end 355 to the second end 357. This means that it will not fluctuate beyond the specified value. In some embodiments, distance D 1 varies between first end 355 and second end 357. For example, in some embodiments, the second dielectric 325 is thicker near the second end 357 than the first end 355, so that the distance D 1 The second end portion 357 is larger than the portion 355 . In some embodiments, second dielectric 325 is thinner near second end 357 than first end 355.

[00104]いくつかの実施形態では、距離Dは、第1の端部355と第2の端部357との間で実質的に同じままである。いくつかの実施形態では、距離Dは、第1の端部355と第2の端部357との間で変化する。例えば、幾つかの実施形態では、第2の誘電体325は、第1の端部355よりも第2の端部357の近くの方が厚いので、距離Dは第1の端部355よりも第2の端部357の方が大きくなっている。いくつかの実施形態では、第2の誘電体325は、第1の端部355よりも第2の端部357の近くの方が薄くなっている。 [00104] In some embodiments, distance D2 remains substantially the same between first end 355 and second end 357. In some embodiments, distance D 2 varies between first end 355 and second end 357. For example, in some embodiments, the second dielectric 325 is thicker near the second end 357 than the first end 355, so that the distance D 2 is thicker near the first end 355. The second end 357 is also larger. In some embodiments, second dielectric 325 is thinner near second end 357 than first end 355.

[00105]図10および詳細図13を参照すると、プラズマ源アセンブリ300のいくつかの実施形態は、接地電極310の上部にガス入口410を含む。ここで使用する接地電極310の「上部」は、給電電極350から最も遠い接地電極310の表面であり、物理的配向を意味しない。いくつかの実施形態のガス入口410は、接地電極310の上部の反対側に位置するアセンブリ300の底部でガスチャネル370と流体連結しているため、ガスがアセンブリ300の上部からアセンブリの本体を通って、アセンブリ300の下に位置する処理チャンバのプロセス領域内に流れることができる。 [00105] Referring to FIG. 10 and detailed FIG. 13, some embodiments of the plasma source assembly 300 include a gas inlet 410 on top of the ground electrode 310. As used herein, the "top" of ground electrode 310 is the surface of ground electrode 310 furthest from feed electrode 350 and does not imply any physical orientation. The gas inlet 410 of some embodiments is in fluid communication with the gas channel 370 at the bottom of the assembly 300 opposite the top of the ground electrode 310 so that gas can flow from the top of the assembly 300 through the body of the assembly. and can flow into a process region of a processing chamber located below assembly 300.

[00106]図13~図17を参照すると、いくつかの実施形態のガス流路405が示されている。図15は、図8の線15-15´に沿って切り取った断面図であり、給電電極350の第1の端部355におけるアセンブリ300の端部の一部を示す。図16は、給電電極350の第1の端部355と中央に位置するガス入口410との間の図8の線16-16´に沿って切り取った断面図である。図17は、中央に位置するガス入口410における図8の線17-17´に沿って切り取った断面図である。図示した実施形態は、給電電極350の長さの中心にガス入口410を有するが、これは単に1つの可能な構成の描写であることが理解されるであろう。 [00106] Referring to FIGS. 13-17, some embodiments of gas flow passages 405 are shown. 15 is a cross-sectional view taken along line 15-15' of FIG. 8, showing a portion of the end of assembly 300 at first end 355 of feed electrode 350. FIG. FIG. 16 is a cross-sectional view taken along line 16-16' of FIG. 8 between the first end 355 of the powered electrode 350 and the centrally located gas inlet 410. FIG. 17 is a cross-sectional view taken along line 17-17' of FIG. 8 at the centrally located gas inlet 410. Although the illustrated embodiment has a gas inlet 410 in the center of the length of the powered electrode 350, it will be appreciated that this is merely a depiction of one possible configuration.

[00107]図13および図14に示すように、いくつかの実施形態は、接地電極310の凹部319、または接地電極310から分離されている場合はハウジングに適合し得るガス入口プレート440を含む。ガス挿入プレート440は、任意の適切な形状またはサイズであり得る。図示した実施形態では、ガス挿入プレート440は、中央桁441および2つの端桁442を備えたIビームのような形状である。ガス入口410は、中央桁441の中心に位置しているため、ガス入口410を通って流れるガスのコンダクタンスは、各端桁442でほぼ同じである。 [00107] As shown in FIGS. 13 and 14, some embodiments include a gas inlet plate 440 that can fit into the recess 319 of the ground electrode 310 or into the housing if separated from the ground electrode 310. Gas insertion plate 440 may be any suitable shape or size. In the illustrated embodiment, gas insertion plate 440 is shaped like an I-beam with a center spar 441 and two end spar 442. Because the gas inlet 410 is centrally located in the center spar 441, the conductance of gas flowing through the gas inlet 410 is approximately the same on each end spar 442.

[00108]ガス挿入プレート440は、接地電極310の上部の下のレッジ421上にある。レッジ421の幅は、ガス挿入プレート440のエッジを支持するための任意の適切な幅であり得る。ガス入口410を通って流れるガスは、端桁442の端部の下の凹部319の底部423とガス挿入プレート440と貫通孔424とによって画定されるガス空間420内に流れる。 [00108] Gas insertion plate 440 is on ledge 421 below the top of ground electrode 310. The width of ledge 421 may be any suitable width to support the edge of gas insertion plate 440. Gas flowing through the gas inlet 410 flows into a gas space 420 defined by the bottom 423 of the recess 319 under the end of the end spar 442, the gas insertion plate 440, and the through hole 424.

[00109]ガス流路405は、図18の概略図に示されている。孔424を通って流れるガスは、チューブ426を通過して、長軸に沿って延在する1または複数のプレナム428に入る。1または複数のプレナム428は、1または複数のガス導管430と流体連結して、ガス入口プレート440から接地電極310および誘電体320を通ってプラズマ源アセンブリ300の長軸に沿って延在するガスチャネル370に流れるガス流を提供する。ガスチャネル370は、ハウジング307の前面324または誘電体320から測定して、任意の適切な深さとすることができる。いくつかの実施形態では、ガスチャネル370は、約5mmから約30mmの範囲、または約10mmから約25mmの範囲、または約15mmから約20mmの範囲の深さを有する。 [00109] Gas flow path 405 is shown in the schematic diagram of FIG. 18. Gas flowing through holes 424 passes through tubes 426 and into one or more plenums 428 extending along the longitudinal axis. One or more plenums 428 are in fluid communication with one or more gas conduits 430 to provide gas that extends from gas inlet plate 440 through ground electrode 310 and dielectric 320 along the longitudinal axis of plasma source assembly 300. A gas flow is provided through channel 370. Gas channel 370 may be any suitable depth, measured from front surface 324 of housing 307 or dielectric 320. In some embodiments, gas channel 370 has a depth in a range of about 5 mm to about 30 mm, or in a range of about 10 mm to about 25 mm, or in a range of about 15 mm to about 20 mm.

[00110]ガス空間420およびガス挿入プレート440は、図17の断面図に見ることができる。2つのプレナム428および導管430は、図16の断面図に示されている。導管430は、ガスチャネル370と流体連結している。図15~図17の断面図では、ハウジング307および誘電体320は、導管430への境界を形成する。いくつかの実施形態では、導管430は、完全に誘電体320内に形成される。幾つかの実施形態では、図16Aに示すように、導管430、およびオプションのプレナム428は、完全に金属ハウジング307内に形成される。当業者は、開示された構成のいずれかが完全に金属ハウジング307内にある導管430を有し得ることを認識するであろう。 [00110] Gas space 420 and gas insertion plate 440 can be seen in the cross-sectional view of FIG. 17. Two plenums 428 and conduits 430 are shown in cross-section in FIG. Conduit 430 is in fluid communication with gas channel 370. In the cross-sectional views of FIGS. 15-17, housing 307 and dielectric 320 form a boundary to conduit 430. In the cross-sectional views of FIGS. In some embodiments, conduit 430 is formed entirely within dielectric 320. In some embodiments, conduit 430 and optional plenum 428 are formed entirely within metal housing 307, as shown in FIG. 16A. Those skilled in the art will recognize that any of the disclosed configurations may have the conduit 430 entirely within the metal housing 307.

[00111]図17を参照すると、給電電極の断面形状が長方形として示されている。給電電極350の断面形状は、任意の適切な形状であり得る。例えば、給電電極350は、第1の端部から第2の端部まで延在する円筒形であり得、断面形状は、円形または楕円形である。いくつかの実施形態では、給電電極は、平坦な導体である。ここで使用する「平坦な導体」という用語は、図17のように、断面が長方形である直角プリズム形状の導電性材料を意味する。平坦な導体は、高さまたは厚さTを有する。厚さTは、例えば、給電電極350の材料に応じた任意の適切な厚さであり得る。いくつかの実施形態では、給電電極350は、約5μmから約5mm、0.1mmから約5mmの範囲、または約0.2mmから約4mmの範囲、または約0.3mmから約3mmの範囲、または約0.5mmから約2.5mmの範囲、または約1mmから約2mmの範囲の厚さを有する。 [00111] Referring to FIG. 17, the cross-sectional shape of the power supply electrode is shown as a rectangle. The cross-sectional shape of power supply electrode 350 may be any suitable shape. For example, the feeding electrode 350 can be cylindrical, extending from a first end to a second end, and have a circular or elliptical cross-sectional shape. In some embodiments, the powered electrode is a flat conductor. As used herein, the term "flat conductor" refers to a conductive material in the shape of a right prism with a rectangular cross section, as shown in FIG. A flat conductor has a height or thickness T. The thickness T may be any suitable thickness depending on the material of the power supply electrode 350, for example. In some embodiments, the powered electrode 350 is in the range of about 5 μm to about 5 mm, in the range of 0.1 mm to about 5 mm, or in the range of about 0.2 mm to about 4 mm, or in the range of about 0.3 mm to about 3 mm, or The thickness ranges from about 0.5 mm to about 2.5 mm, or from about 1 mm to about 2 mm.

[00112]いくつかの実施形態では、誘電体320および/または第2の誘電体325の幅Wは、同じままであるか、または電極の長さに沿って変化し得る。いくつかの実施形態では、誘電体320(オプションとして第2の誘電体325を含む)は、給電電極350の第1の端部355から第2の端部357まで均一な幅Wを有する。いくつかの実施形態では、誘電体320は、(図9に示すような)実質的に平行な側面を有する。側面は、給電電極の端部355、357の間に延在する。「実質的に平行」という用語は、一方の側面によって形成される主平面が他方の側面によって形成される主平面の±10°であることを意味する。図15に示すように、主平面は、湾曲した側面の部分を除外する。いくつかの実施形態では、誘電体320の幅Wは、電極350の長さLにわたって実質的に同じまま(例えば、平均の10%以内)である。いくつかの実施形態では、誘電体320の幅Wはハウジング307の幅とともに変化し、その結果、誘電体320の幅とハウジング307の幅との比は、ハウジングの内側端部から外側端部までほぼ同じままである。いくつかの実施形態では、誘電体320の幅Wは、λ/2を超えず、ラムダ(λ)はマイクロ波波長である。 [00112] In some embodiments, the width W d of dielectric 320 and/or second dielectric 325 may remain the same or vary along the length of the electrode. In some embodiments, dielectric 320 (optionally including second dielectric 325) has a uniform width W d from first end 355 to second end 357 of feed electrode 350. In some embodiments, dielectric 320 has substantially parallel sides (as shown in FIG. 9). The side surface extends between the ends 355, 357 of the feed electrode. The term "substantially parallel" means that the major plane formed by one side is ±10° of the major plane formed by the other side. As shown in FIG. 15, the main plane excludes the curved side portions. In some embodiments, the width W d of the dielectric 320 remains substantially the same (eg, within 10% of the average) over the length L of the electrode 350. In some embodiments, the width W d of the dielectric 320 varies with the width of the housing 307 such that the ratio of the width of the dielectric 320 to the width of the housing 307 varies from the inner end of the housing to the outer end of the housing. remains almost the same. In some embodiments, the width W d of dielectric 320 does not exceed λ/2 and lambda (λ) is a microwave wavelength.

[00113]図7を参照すると、第1のマイクロ波ジェネレータ361は、第1のフィード381を介して給電電極350の第1の端部355に電気的に結合され、第2のマイクロ波ジェネレータ362は、第2のフィード382を介して給電電極350の第2の端部357に電気的に結合される。第1のフィード381および第2のフィード382は、図9に関して上記に説明されている。第1のマイクロ波ジェネレータ361は、第1の周波数f1で動作し、第2のマイクロ波ジェネレータ362は、第2の周波数f2で動作する。幾つかの実施形態では、第1の周波数f1および第2の周波数f2は、約300MHzから約300GHzの範囲、または約900MHzから約930MHzの範囲、または約1GHzから約10GHzの範囲、または約1.5GHzから約5GHzの範囲、または約2GHzから約3GHzの範囲、または約2.4GHzから約2.5GHzの範囲、または約2.44GHzから約2.47GHzの範囲、または約2.45GHzから約2.46GHzの範囲である。幾つかの実施形態では、周波数f1および周波数f2は、それぞれ約915MHz±15%、または915MHz±10%である。幾つかの実施形態では、周波数f1は周波数f2の0.05GHz以内である。幾つかの実施形態では、周波数f1は周波数f2とは異なる(すなわち、約900MHzから約930MHzの範囲では周波数における差が5MHzを上回る、あるいは1GHzから10GHzの範囲では周波数における差が0.05GHzを上回る)。幾つかの実施形態では、周波数f1は周波数f2とは異なり、それぞれが約900MHzから約930MHzの範囲、約2.4GHzから約2.5GHzの範囲、または2.45GHz±10%、または2.45GHz±5%、または915MHz±15%、または915MHz±10%の範囲である。 [00113] Referring to FIG. 7, a first microwave generator 361 is electrically coupled to the first end 355 of the powered electrode 350 via a first feed 381 and a second microwave generator 362 is electrically coupled to second end 357 of powered electrode 350 via second feed 382 . First feed 381 and second feed 382 are described above with respect to FIG. The first microwave generator 361 operates at a first frequency f1, and the second microwave generator 362 operates at a second frequency f2. In some embodiments, the first frequency f1 and the second frequency f2 are in the range of about 300 MHz to about 300 GHz, or in the range of about 900 MHz to about 930 MHz, or in the range of about 1 GHz to about 10 GHz, or in the range of about 1. 5 GHz to about 5 GHz, or about 2 GHz to about 3 GHz, or about 2.4 GHz to about 2.5 GHz, or about 2.44 GHz to about 2.47 GHz, or about 2.45 GHz to about 2 The range is .46GHz. In some embodiments, frequency f1 and frequency f2 are each approximately 915 MHz ± 15%, or 915 MHz ± 10%. In some embodiments, frequency f1 is within 0.05 GHz of frequency f2. In some embodiments, frequency f1 is different from frequency f2 (i.e., from about 900 MHz to about 930 MHz, the difference in frequency is more than 5 MHz, or from 1 GHz to 10 GHz, the difference in frequency is more than 0.05 GHz. ). In some embodiments, frequency f1 is different from frequency f2, each in a range of about 900 MHz to about 930 MHz, about 2.4 GHz to about 2.5 GHz, or 2.45 GHz ± 10%, or 2.45 GHz. The range is ±5%, or 915MHz ±15%, or 915MHz ±10%.

[00114]第1のマイクロ波ジェネレータ361および第2のマイクロ波ジェネレータ362は、任意の適切な電力で動作し得る。マイクロ波ジェネレータの電力は、プラズマパラメータを調整するために独立して制御され得る。いくつかの実施形態では、マイクロ波ジェネレータの電力は、約100Wから約5kWの範囲、または約500Wから約2kWの範囲、または約1kWである。 [00114] First microwave generator 361 and second microwave generator 362 may operate with any suitable power. The power of the microwave generator can be independently controlled to adjust plasma parameters. In some embodiments, the power of the microwave generator ranges from about 100 W to about 5 kW, or about 500 W to about 2 kW, or about 1 kW.

[00115]使用時は、第1のマイクロ波ジェネレータ361と第2のマイクロ波ジェネレータ362を使用して、給電電極350の両端部にマイクロ波電力が加えられ得る。プラズマ353によって電力が吸収されない場合、電力はマイクロ波ジェネレータの出力においてサーキュレータを介して疑似負荷(「整合終端負荷」とも称される)にルーティングされ得る。これは、内蔵または外部サーキュレータを介して行われ得る。幾つかの実施形態では、第2のマイクロ波ジェネレータ362は、第1のマイクロ波ジェネレータ361の整合終端負荷であるため、1つのジェネレータが第1のフィード381と第2のフィード382の両方に電力を供給し得る。幾つかの実施形態では、第2のマイクロ波ジェネレータ362は疑似負荷である。 [00115] In use, microwave power may be applied to both ends of the powered electrode 350 using a first microwave generator 361 and a second microwave generator 362. If no power is absorbed by the plasma 353, the power may be routed through a circulator to a pseudo load (also referred to as a "matched termination load") at the output of the microwave generator. This can be done via an internal or external circulator. In some embodiments, the second microwave generator 362 is a matched termination load of the first microwave generator 361 so that one generator powers both the first feed 381 and the second feed 382. can be supplied. In some embodiments, second microwave generator 362 is a dummy load.

[00116]図19に、第1のスライド短絡部461が第1のフィード381に隣接して位置づけされ、第2のスライド短絡部462が第2のフィード382に隣接して位置づけされる、本開示の1または複数の実施形態の概略図を示す。いくつかの実施形態のスライド短絡部461、462は、同軸のフィードの周りに位置づけされた同軸のスライド短絡タイプのチューナである。幾つかの実施形態では、第1の可動短絡部463および第2の可動短絡部464は、第1のスライド短絡部461および第2のスライド短絡部462と共に使用され、電源入力において「Lタイプ」のマッチングネットワークを形成する。同調セクション(スリーブと短絡部が位置づけされた部分)は、電源接続の大気側に位置し得る。 [00116] The present disclosure is shown in FIG. 19, in which a first slide short 461 is positioned adjacent a first feed 381 and a second slide short 462 is positioned adjacent a second feed 382. 1 shows a schematic diagram of one or more embodiments of. The slide shorts 461, 462 of some embodiments are coaxial slide short type tuners positioned around a coaxial feed. In some embodiments, the first movable short 463 and the second movable short 464 are used in conjunction with the first slide short 461 and the second slide short 462 to provide an "L type" at the power input. form a matching network. The tuning section (where the sleeve and short are located) may be located on the atmospheric side of the power supply connection.

[00117]図20は、同軸スライド短絡タイプのチューナ471、472が、第1のフィード381に隣接する第1の脚部391の第1の端部355、および第2のフィード382に隣接する第2の脚部392の第2の端部357に位置づけされている、開示の1または複数の実施形態を示す概略図である。第1の脚部391と第2の脚部392は、調整可能な長さの短絡された同軸線であってよい。スライド金属短絡部は、可変伝送線同調要素を形成し得る。図示したマイクロ波ジェネレータは、給電電極350とほぼ同軸に位置づけされ、脚部391、392が給電電極350の軸に対してある角度をなしている。 [00117] FIG. 20 shows that the coaxial slide short circuit type tuners 471, 472 are connected to the first end 355 of the first leg 391 adjacent to the first feed 381 and the first end 355 of the first leg 391 adjacent to the second feed 382. 2 is a schematic diagram illustrating one or more embodiments of the disclosure positioned at a second end 357 of leg 392 of FIG. The first leg 391 and the second leg 392 may be shorted coaxial wires of adjustable length. The sliding metal short may form a variable transmission line tuning element. The illustrated microwave generator is positioned substantially coaxially with the feed electrode 350, with legs 391, 392 at an angle to the axis of the feed electrode 350.

[00118]図21に、第1のスタブチューナ481が給電電極350の第1の端部355で第1のフィード381に隣接して位置づけされ、第2のスタブチューナ482が給電電極350の第2の端部357で第2のフィード382に隣接して位置づけされている、本開示の1または複数の実施形態の概略図を示す。スタブチューナ481、482は、給電電極350の長さに沿った任意の点に位置づけされ得、給電電極350に近づけたり、給電電極350から遠ざけたりすることができる。例えば、第2のスタブチューナ482は、第1のスタブチューナ481よりも給電電極350の近くに示されている。第1のマイクロ波ジェネレータ361および第2のマイクロ波ジェネレータ362は、ほぼ同軸配置で給電電極350に電気的に結合される。幾つかの実施形態では、1または複数のスタブチューナは、電力反射を最小限に抑えるために、約20オームから約80オームの範囲、または約40オームから約60オームの範囲、または約50オームの抵抗を有する。 [00118] In FIG. 21 , a first stub tuner 481 is positioned adjacent the first feed 381 at the first end 355 of the powered electrode 350 and a second stub tuner 482 is positioned adjacent the first feed 381 at the first end 355 of the powered electrode 350 . FIG. 3A shows a schematic diagram of one or more embodiments of the present disclosure positioned adjacent a second feed 382 at an end 357 of the FIG. The stub tuners 481, 482 can be positioned at any point along the length of the feed electrode 350 and can be closer to or farther from the feed electrode 350. For example, second stub tuner 482 is shown closer to feed electrode 350 than first stub tuner 481 . First microwave generator 361 and second microwave generator 362 are electrically coupled to feeding electrode 350 in a substantially coaxial arrangement. In some embodiments, the one or more stub tuners are in a range of about 20 ohms to about 80 ohms, or in a range of about 40 ohms to about 60 ohms, or in a range of about 50 ohms to minimize power reflections. has a resistance of

[00119]図22Aは、図20の構成と同様の構成を有する本開示の1または複数の実施形態を示す概略図である。ここで、脚部391、392は、給電電極350とほぼ同軸に示され、同軸のスライド短絡タイプのチューナ471、472は同軸配向である。第1のフィード381および第2のフィード382は、給電電極350の軸に対して角度をなしている。図22Bは、図22Aの実施形態を示す概略図であり、第1のフィード381および第2のフィード382は、給電電極350の長さの中心に向かって移動している。フィードを電極の長さの中心に移動することにより、チューナが給電電極の端部でプラズマプロファイルを制御することが可能になる一方で、プラズマを生成するために利用可能な電力が増加し得る。 [00119] FIG. 22A is a schematic diagram illustrating one or more embodiments of the present disclosure having a configuration similar to that of FIG. 20. Here, the legs 391, 392 are shown substantially coaxial with the feed electrode 350, and the coaxial slide short-circuit type tuners 471, 472 are coaxially oriented. The first feed 381 and the second feed 382 are at an angle to the axis of the power supply electrode 350. FIG. 22B is a schematic diagram illustrating the embodiment of FIG. 22A, with first feed 381 and second feed 382 moving toward the center of the length of powered electrode 350. Moving the feed to the center of the length of the electrode may increase the power available to generate the plasma while allowing the tuner to control the plasma profile at the ends of the fed electrode.

[00120]図23Aに、図21の構成と同様の構成を有する本開示の1または複数の実施形態の概略図を示す。ここで、脚部391、392は、給電電極350とほぼ同軸として示され、スタブチューナ481、482は第1のフィード381と第2のフィード382の外側の脚部391、392に隣接して位置している。図23Bは、図23Aの実施形態を示す概略図であり、図22Aおよび図22Bの違いと同様に、第1のフィード381および第2のフィード382は、給電電極350の長さの中心に向かって移動している。図23Aおよび図23Bに示すチューナは、図示した垂直配向だけでなく水平配向もあり得る。 [00120] FIG. 23A depicts a schematic diagram of one or more embodiments of the present disclosure having a configuration similar to that of FIG. 21. Here, the legs 391, 392 are shown as being generally coaxial with the feed electrode 350, and the stub tuners 481, 482 are positioned adjacent to the outer legs 391, 392 of the first feed 381 and second feed 382. are doing. FIG. 23B is a schematic diagram illustrating the embodiment of FIG. 23A, similar to the difference between FIGS. and moving. The tuner shown in FIGS. 23A and 23B can have a horizontal orientation as well as the vertical orientation shown.

[00121]いくつかの実施形態では、図23Aと同様であるがスタブチューナ481、482がない場合、給電電極350は、第1のフィード381および第2のフィード382のそれぞれを約1/16λ、1/8λまたは1/4λだけ越えて延在する。幾つかの実施形態では、給電電極350は、約1/16λ、1/8λまたは1/4λ以下の量だけ、第1のフィード381および第2のフィード382のそれぞれを越えて延在する。例えば、図23Aに示す実施形態は、第1のフィード381と第2のフィード382のそれぞれの外側に脚部391、392を有する。フィード間にない給電電極350のこれらの部分は、脚部、延在部、またはスタブと称され得る。幾つかの実施形態では、最も近いフィードに対する給電電極350の端部の距離は、約0.1mmから約10mmの範囲、または約0.5mmから約8mmの範囲、または約1mmから約7.5mmの範囲、または約2mmから約6mmの範囲、または約3mmから約4.5mmの範囲である。幾つかの実施形態では、脚部391、392の長さは、プラズマの均一性を高めるための同調要素として使用され得る。 [00121] In some embodiments, similar to FIG. 23A but without the stub tuners 481, 482, the feed electrode 350 has a diameter of approximately 1/16λ, Extends beyond 1/8λ or 1/4λ. In some embodiments, the feed electrode 350 extends beyond each of the first feed 381 and the second feed 382 by an amount that is less than or equal to about 1/16λ, 1/8λ, or 1/4λ. For example, the embodiment shown in FIG. 23A has legs 391, 392 on the outside of each of first feed 381 and second feed 382. Those portions of powered electrode 350 that are not between feeds may be referred to as legs, extensions, or stubs. In some embodiments, the distance of the end of the powered electrode 350 to the nearest feed ranges from about 0.1 mm to about 10 mm, or from about 0.5 mm to about 8 mm, or from about 1 mm to about 7.5 mm. or from about 2 mm to about 6 mm, or from about 3 mm to about 4.5 mm. In some embodiments, the length of legs 391, 392 may be used as a tuning element to enhance plasma uniformity.

[00122]図24は、本開示の1または複数の実施形態に係るプラズマアセンブリ300を示す概略断面図である。ここで、ハウジング307は、誘電体320と接地電極310の両方の周りにある。ハウジング307は、導電性または非導電性であり得る。例示の実施形態は、誘電体320の長さD、ガスチャネル370内に形成されたプラズマ353の長さP、給電電極350の長さW、および電力入力間の距離Dの測定値を示す。幾つかの実施形態では、長さDは、約150mmから約500mmの範囲、または約200mmから約450mmの範囲、または約250mmから約400mmの範囲、または約300mmから約350mmの範囲である。いくつかの実施形態では、プラズマの長さPは、長さD以下である。いくつかの実施形態では、プラズマの長さPは、長さDよりも約10mm短い。給電電極350の長さWは、ほぼプラズマPの長さである。幾つかの実施形態では、給電電極350の長さWは、誘電体の長さD以下である。入力間の長さDは、給電電極350の長さW以下である。 [00122] FIG. 24 is a schematic cross-sectional view of a plasma assembly 300 according to one or more embodiments of the present disclosure. Here, housing 307 is around both dielectric 320 and ground electrode 310. Housing 307 can be electrically conductive or non-conductive. The exemplary embodiment includes measurements of the length D L of the dielectric 320, the length P L of the plasma 353 formed within the gas channel 370, the length W L of the powered electrode 350, and the distance D I between the power inputs. Show value. In some embodiments, the length D L ranges from about 150 mm to about 500 mm, or from about 200 mm to about 450 mm, or from about 250 mm to about 400 mm, or from about 300 mm to about 350 mm. In some embodiments, the plasma length P L is less than or equal to the length D L . In some embodiments, the plasma length P L is about 10 mm less than the length D L. The length WL of the power supply electrode 350 is approximately the length of the plasma PL . In some embodiments, the length W L of the feed electrode 350 is less than or equal to the length D L of the dielectric. The length D 1 between the inputs is less than or equal to the length W L of the feeding electrode 350 .

[00123]本開示の追加の実施形態は、プラズマを生成または提供する方法を対象とする。第1のマイクロ波電力は、第1のマイクロ波ジェネレータから給電電極の第1の端部に加えられ、第2のマイクロ波電力は、第2のマイクロ波ジェネレータから給電電極の第2の端部に加えられる。第1のマイクロ波電力と第2のマイクロ波電力は、約2.4GHzから約2.5GHzの範囲の周波数で動作する。給電電極は、給電電極の第1の側面に接地電極がある誘電体に囲まれている。プラズマは、第1の側面とは異なる給電電極の第2の側面の誘電体に隣接して形成される。 [00123] Additional embodiments of the present disclosure are directed to methods of generating or providing plasma. A first microwave power is applied from the first microwave generator to the first end of the powered electrode, and a second microwave power is applied from the second microwave generator to the second end of the powered electrode. added to. The first microwave power and the second microwave power operate at frequencies ranging from about 2.4 GHz to about 2.5 GHz. The feed electrode is surrounded by a dielectric with a ground electrode on a first side of the feed electrode. A plasma is formed adjacent to the dielectric on a second side of the feed electrode that is different from the first side.

[00124]プラズマ生成中、プロセスチャンバまたはチャネル370内の圧力は、任意の適切な温度であり得る。いくつかの実施形態では、チャネル370内の圧力は、約1mTorrから約100Torrの範囲であるか、または、約10mTorrから約10Torrの範囲、または約50mTである。 [00124] During plasma generation, the pressure within the process chamber or channel 370 may be at any suitable temperature. In some embodiments, the pressure within channel 370 ranges from about 1 mTorr to about 100 Torr, or about 10 mTorr to about 10 Torr, or about 50 mT.

[00125]実施例
[00126]デュアル(2つの)マイクロ波フィードとストリップライン型給電電極を有するプラズマ源アセンブリが構築され、2.4~2.5GHzで動作する2つの1kWジェネレータによって電力供給された。ストリップラインは、アルミニウムの本体、銅のストリップ、誘電体としての石英を有した。形状寸法は、回路で約50オームの特性インピーダンスを維持して、電力反射を最小限に抑えるように構成された。アプリケータの両端部に2つのスタブチューナが装備された。プラズマは、NとAr/Nを使用して、トール範囲のガス圧で340x75mmのプラズマエリアに生成された。
[00125] EXAMPLE [00126] A plasma source assembly with dual microwave feeds and stripline powered electrodes was constructed and powered by two 1 kW generators operating at 2.4-2.5 GHz. . The stripline had an aluminum body, a copper strip, and quartz as the dielectric. The geometry was configured to maintain a characteristic impedance of approximately 50 ohms in the circuit to minimize power reflections. Two stub tuners were equipped at each end of the applicator. The plasma was generated using N 2 and Ar/N 2 with gas pressures in the Torr range in a plasma area of 340x75 mm.

[00127]くさび形プラズマ源アセンブリは、くさび形誘電体で構築された。マイクロ波フィードはアセンブリの上部に対してまっすぐであり、スタブチューナの代わりに短絡の調整可能な同軸線が使用され、ストリップ材料はモリブデンであった。パイを覆うプラズマは、最大数トールのNおよびAr/Nガス混合物で生成された。 [00127] A wedge-shaped plasma source assembly was constructed with a wedge-shaped dielectric. The microwave feed was straight to the top of the assembly, a shorted adjustable coaxial line was used instead of a stub tuner, and the strip material was molybdenum. The plasma covering the pie was generated with up to several torr of N2 and Ar/ N2 gas mixture.

[00128]図25Aは、さまざまな電力プロファイルの軸位置(給電電極の長さに正規化)の関数としての電力(入力電力に正規化)を示すグラフである。電力は、約800Wで給電電極の片側に加えられた。図25Bは、約800Wが給電電極の一方の端部に加えられ、約600Wが給電電極のもう一方の端部に加えられたデュアル電力給電電極の軸位置(給電電極の長さに正規化)の関数としての電力(入力電力に正規化)を示すグラフである。波によって運ばれたエネルギーがプラズマで消散したため、アンテナの電力は波の発射点から反対側のアンテナ端部(またはプラズマ端部)に向かって減少した。 [00128] FIG. 25A is a graph showing power (normalized to input power) as a function of axial position (normalized to feed electrode length) for various power profiles. Power was applied to one side of the powered electrode at approximately 800W. FIG. 25B shows the axial position (normalized to the length of the feed electrode) of a dual power feed electrode with approximately 800 W applied to one end of the feed electrode and approximately 600 W applied to the other end of the feed electrode. 2 is a graph showing power (normalized to input power) as a function of . The power of the antenna decreased from the wave launch point toward the opposite antenna end (or plasma end) because the energy carried by the waves was dissipated in the plasma.

[00129]図26Aから図26Cに、デュアル給電電極を使用した波の伝播を示す。図26Aでは、給電電極350の端部に供給される電力は、給電電極の全長にわたってプラズマを形成するのに十分ではない。図26Bでは、給電電極に加えられる電力は、図26Aにおけるよりも大きいが、全長にわたってプラズマを形成するにはまだ不十分である。図26Cに、両端部に十分な電力が加えられて給電電極の長さにわたって完全なプラズマを形成する給電電極を示す。いくつかの実施形態では、形成されるプラズマは過剰密度である(電子密度ρは限界プラズマ密度ρよりも高い)。さらに、形成されるプラズマは、定在波カットオフ密度よりも大きい電子密度ρを有し得る。たとえば、2.45GHzでは、限界プラズマ密度はρ=7×1010cm-3であり、例えば比誘電率が4(石英)である誘電体に沿った定在波伝搬のカットオフ密度は~3×1011cm-3である。 [00129] FIGS. 26A-26C illustrate wave propagation using dual powered electrodes. In FIG. 26A, the power supplied to the end of the powered electrode 350 is not sufficient to form a plasma over the entire length of the powered electrode. In FIG. 26B, the power applied to the powered electrode is greater than in FIG. 26A, but still insufficient to form a plasma over its entire length. FIG. 26C shows a powered electrode with sufficient power applied to both ends to form a complete plasma over the length of the powered electrode. In some embodiments, the plasma that is formed is overdense (electron density ρ e is higher than the critical plasma density ρ c ). Furthermore, the plasma formed may have an electron density ρ e that is greater than the standing wave cutoff density. For example, at 2.45 GHz, the critical plasma density is ρ c =7×10 10 cm −3 , and the cutoff density for standing wave propagation along a dielectric material with a relative permittivity of 4 (quartz), for example, is ~ It is 3×10 11 cm −3 .

[00130]当業者は、図26Cのプラズマが、給電電極の全長にわたって生成されるが、プラズマは均一ではない可能性があることを認識するであろう。給電電極の端部に加えられる電力は、給電電極のプラズマへの電子的結合の完全性および均一性、および結果として得られるプラズマ密度(電子密度)に影響を及ぼし得る1つの要因である。 [00130] Those skilled in the art will recognize that although the plasma of FIG. 26C is generated along the entire length of the powered electrode, the plasma may not be uniform. The power applied to the end of the powered electrode is one factor that can affect the integrity and uniformity of the electronic coupling of the powered electrode to the plasma and the resulting plasma density (electron density).

[00131]使用される導電性媒体(すなわち、プラズマが点火されるガス源)は、プラズマの均一性と電子密度に影響を与え得る。幾つかの実施形態では、プラズマの電子密度は、アルゴンをプラズマガスに追加することによって調整可能である。例えば、プラズマが窒素プラズマを使用して点火された場合、チャンバ壁への電力損失、イオン化の損失をもたらす原子衝突(すなわち、イオンではない励起原子の生成)、原子の振動状態または回転状態に変化を与えるエネルギーからの損失などにより、電子密度の不均一が起こり得る。窒素にアルゴンの流れを追加すると、アルゴンは窒素ほどの損失を受けないため、均一性を高めることができる。 [00131] The conductive medium used (ie, the gas source from which the plasma is ignited) can affect the uniformity and electron density of the plasma. In some embodiments, the electron density of the plasma can be adjusted by adding argon to the plasma gas. For example, if the plasma is ignited using a nitrogen plasma, there will be power loss to the chamber walls, atomic collisions resulting in loss of ionization (i.e., production of excited atoms that are not ions), and changes in the vibrational or rotational state of the atoms. Non-uniformity in electron density can occur due to loss from the energy that provides . Adding a flow of argon to the nitrogen can increase uniformity because argon does not suffer as much loss as nitrogen.

[00132]プラズマの電子密度および/または均一性を変更するために、さまざまな要因が変更可能である。図27Aに、接地電極310と誘電体320の外面327との間に均一な距離を有する直線状の給電電極350を示す。プラズマ353は、給電電極350の長さにわたって不完全であるように示されている。図の下に示す曲線は、図27Aの実施形態の軸方向電力密度(プラズマ)プロファイルを示し、電極の中央付近でのプラズマ密度の減少を示している。 [00132] Various factors can be changed to change the electron density and/or uniformity of the plasma. FIG. 27A shows a straight feed electrode 350 with a uniform distance between the ground electrode 310 and the outer surface 327 of the dielectric 320. Plasma 353 is shown to be incomplete over the length of powered electrode 350. The curve shown at the bottom of the figure shows the axial power density (plasma) profile for the embodiment of FIG. 27A, showing a decrease in plasma density near the center of the electrode.

[00133]図27Bは、給電電極350が給電電極350の長さの中央近辺でプラズマ353により近くなるように、誘電体320の外面327との間の給電電極の距離を変化させた実施形態を示す。誘電体320の外面327に対する給電電極350の距離が短くなると、プラズマ353への電子的結合が増加する。給電電極350の幅または厚さは、電極の長さにわたってほぼ同じままである。図の下に示す曲線は、図27Aの軸方向電力密度(プラズマ)プロファイルよりも給電電極の長さにわたってより均一である、図27Bの実施形態の軸方向電力密度(プラズマ)プロファイルを示している。 [00133] FIG. 27B illustrates an embodiment in which the distance of the feed electrode 350 from the outer surface 327 of the dielectric 320 is varied such that the feed electrode 350 is closer to the plasma 353 near the center of the length of the feed electrode 350. show. As the distance of the feed electrode 350 to the outer surface 327 of the dielectric 320 decreases, electronic coupling to the plasma 353 increases. The width or thickness of the feed electrode 350 remains approximately the same over the length of the electrode. The curve shown at the bottom of the figure shows an axial power density (plasma) profile for the embodiment of FIG. 27B that is more uniform over the length of the feeding electrode than the axial power density (plasma) profile of FIG. 27A. .

[00134]図示した実施形態はまた、接地電極310に対する給電電極の距離を電極の長さにわたって変化させることも考えられ得る。接地電極310に対する距離が短くなると、接地への電子的結合が増加することによってプラズマ353への電子的結合が減少し得る。この実施形態は、図6Dおよび図6Eに関して説明したものと同様である。 [00134] The illustrated embodiment may also be contemplated to vary the distance of the powered electrode to the ground electrode 310 over the length of the electrode. A reduced distance to ground electrode 310 may reduce electronic coupling to plasma 353 by increasing electronic coupling to ground. This embodiment is similar to that described with respect to FIGS. 6D and 6E.

[00135]図27Cに、外面327が電極の長さの中央付近で給電電極350により近くなるように誘電体320の形状が変更された実施形態を示す。この実施形態の給電電極350は、平坦な電極であり、プラズマ353への電子的結合は、誘電体320の厚さによって調整される。 [00135] FIG. 27C illustrates an embodiment in which the shape of the dielectric 320 is modified such that the outer surface 327 is closer to the feed electrode 350 near the center of the length of the electrode. The feed electrode 350 in this embodiment is a flat electrode, and the electronic coupling to the plasma 353 is adjusted by the thickness of the dielectric 320.

[00136]図27Dに、給電電極350の形状が電極の長さに沿って変化する実施形態を示す。この実施形態では、給電電極350は、中央付近よりも電極の端部付近の方が厚い。電極の厚さを変更することにより、接地電極310および/または誘電体320のうちの1つまたは複数への電気的結合が変化し得る。 [00136] FIG. 27D illustrates an embodiment in which the shape of the feeding electrode 350 varies along the length of the electrode. In this embodiment, the feed electrode 350 is thicker near the ends of the electrode than near the center. By changing the thickness of the electrodes, the electrical coupling to one or more of ground electrode 310 and/or dielectric 320 may be changed.

[00137]図27Eは、給電電極350の幅が電極の長さに沿って変化する実施形態を示す上面図である。ここで、給電電極の幅は、電極の中央部分で最大である。第1のフィード381および第2のフィード382は、例示の目的のために想像線で示されている。給電電極の長さに沿ったフィードの位置は変更可能である。 [00137] FIG. 27E is a top view illustrating an embodiment in which the width of the feed electrode 350 varies along the length of the electrode. Here, the width of the feeding electrode is greatest at the central portion of the electrode. First feed 381 and second feed 382 are shown in phantom for illustrative purposes. The position of the feed along the length of the powered electrode is variable.

[00138]図28は、給電電極350との2つの接続ポートが存在するプラズマ源アセンブリの実施形態を示す図であり、1つはマイクロ波源フィードを有し、もう1つは疑似および/または無効負荷で終端されている。第1のフィード381と第2のフィード382は、給電電極350に電気的に結合されている。マイクロ波ジェネレータ361は、第1のフィード381に電気的に接続され、第2のフィード382は、疑似負荷397と電気的に結合される。マイクロ波ジェネレータは、固定または可変周波数ジェネレータで、一方のポートを通して電極に電力を供給し、もう一方のポートは「終端」されていてよい。一端または両端部に電力制御とオプションのチューナが含まれ得る。いくつかの実施形態では、チューナを給電電極の両端間に分布させて、変更可能なエンドツーエンドの電力/プラズマ軸方向分布プロファイル制御を得ることができる。いくつかの実施形態では、例えば、図27Aから図27Eに示すように、軸方向に変化するアプリケータの形状寸法(ストリップラインの:幅/形状/位置および/または誘電体の寸法または誘電体材料の誘電率)を使用した追加の固定軸方向プラズマ/膜プロファイル制御が含まれる。 [00138] FIG. 28 is a diagram illustrating an embodiment of a plasma source assembly in which there are two connection ports with a powered electrode 350, one with a microwave source feed and one with a pseudo and/or disabled terminated at the load. First feed 381 and second feed 382 are electrically coupled to power supply electrode 350. Microwave generator 361 is electrically connected to first feed 381 and second feed 382 is electrically coupled to pseudo load 397 . The microwave generator may be a fixed or variable frequency generator that powers the electrode through one port and the other port may be "terminated." Power control and an optional tuner may be included at one or both ends. In some embodiments, tuners can be distributed across the powered electrodes to provide variable end-to-end power/plasma axial distribution profile control. In some embodiments, the axially varying applicator geometry (of the stripline: width/shape/position and/or dielectric dimensions or dielectric material) may vary in the axial direction, for example as shown in FIGS. Additional fixed axial plasma/film profile control using a dielectric constant of

[00139]疑似負荷397は、整合終端負荷または無効負荷(固定または可動短絡)、または疑似負荷と無効負荷との組み合わせであり得る。いくつかの実施形態では、疑似負荷は、第1のマイクロ波ジェネレータからの整合終端負荷である。 [00139] The dummy load 397 may be a matched termination load or a reactive load (fixed or movable short circuit), or a combination of a dummy load and a reactive load. In some embodiments, the dummy load is a matched termination load from the first microwave generator.

[00140]図29に、給電電極に電気的に結合された少なくとも1つの追加のフィード398が存在するプラズマ源アセンブリの別の実施形態を示す。少なくとも1つの追加のフィード398の位置および数は変更可能である。幾つかの実施形態では、1、2、3、4、5、6、7、8、9、または10の追加のフィード、または1から10の範囲の追加のフィードが存在する。追加のフィード398のそれぞれは、他のどのフィードからも独立して位置づけすることができる。 [00140] FIG. 29 depicts another embodiment of a plasma source assembly in which there is at least one additional feed 398 electrically coupled to the powered electrode. The location and number of at least one additional feed 398 is variable. In some embodiments, there are 1, 2, 3, 4, 5, 6, 7, 8, 9, or 10 additional feeds, or a range of 1 to 10 additional feeds. Each of the additional feeds 398 can be positioned independently of any other feeds.

[00141]マイクロ波ジェネレータの数は、フィードの数に応じて変更可能である。たとえば、図示した実施形態には3つのフィードがあり、給電電極に電力を提供する3つのマイクロ波ジェネレータも有し得る。幾つかの実施形態では、マイクロ波ジェネレータはフィードよりも少ない。例えば、第1のフィード381はマイクロ波ジェネレータに接続され、他のフィード(第2のフィード382および追加のフィード398)は疑似負荷および/または無効負荷に接続され得る。いくつかの実施形態では、少なくとも1つの疑似負荷は、第1のマイクロ波ジェネレータの整合終端負荷である。少なくとも1つのマイクロ波ジェネレータはフィードに接続される。電力制御およびオプションのチューナを各ポートに配置するか、ポート間に分散させて、変更可能なエンドツーエンドの電力/プラズマ軸方向分布プロファイルを得ることができる。追加の(固定)軸方向プラズマ/膜プロファイル制御は、軸方向に変化するアプリケータの形状寸法(給電電極の幅/形状/位置および/または誘電体の寸法または誘電体材料の誘電率)によって可能である。 [00141] The number of microwave generators can vary depending on the number of feeds. For example, the illustrated embodiment has three feeds and may also have three microwave generators providing power to the feeding electrodes. In some embodiments, there are fewer microwave generators than feeds. For example, the first feed 381 may be connected to a microwave generator, and the other feeds (second feed 382 and additional feeds 398) may be connected to dummy and/or reactive loads. In some embodiments, the at least one dummy load is a matched termination load of the first microwave generator. At least one microwave generator is connected to the feed. Power controls and optional tuners can be placed on each port or distributed between ports to provide a variable end-to-end power/plasma axial distribution profile. Additional (fixed) axial plasma/membrane profile control is possible through axially varying applicator geometry (width/shape/position of the feed electrode and/or dielectric dimensions or permittivity of the dielectric material) It is.

[00142]本開示の第1の実施形態は、長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の長さに沿って延在する長軸を有する給電電極と、給電電極の第1の側面の接地電極であって、給電電極からある距離だけ離間している接地電極と、給電電極の第2の側面の誘電体であって、誘電体および接地電極は給電電極を囲み、給電電極に隣接する内面および内面の反対側の外面を有する誘電体と、第1のフィードを介して給電電極の第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、第2のフィードを介して給電電極の第2の端部に電気的に結合された第2のマイクロ波ジェネレータとを備えるプラズマ源アセンブリを対象とする。 [00142] A first embodiment of the present disclosure extends along a length of a powered electrode having a first end and a second end defining a length and having a thickness and a width. a feeding electrode having a long axis; a grounding electrode on a first side of the feeding electrode, the grounding electrode being spaced apart from the feeding electrode by a certain distance; and a dielectric on a second side of the feeding electrode; A dielectric and a ground electrode surround the feed electrode and are electrically coupled to the first end of the feed electrode via the first feed, with the dielectric having an inner surface adjacent to the feed electrode and an outer surface opposite the inner surface. and a second microwave generator electrically coupled to a second end of a powered electrode via a second feed.

[00143]第2の実施形態では、第1の実施形態が変更され、接地電極は、第2の誘電体によって給電電極から離間している。 [00143] In a second embodiment, the first embodiment is modified such that the ground electrode is spaced from the power supply electrode by a second dielectric.

[00144]第3の実施形態では、第1の実施形態または第2の実施形態のいずれかが変更され、給電電極は平坦な導体である。 [00144] In a third embodiment, either the first embodiment or the second embodiment is modified such that the feed electrode is a flat conductor.

[00145]第4の実施形態では、第1から第3の実施形態のいずれかが変更され、給電電極の幅の1または複数が第1の端部から第2の端部で変化し、給電電極から接地電極までの距離が第1の端部から第2の端部で変化する、または、給電電極から誘電体の外面までの距離が第1の端部から第2の端部で変化する。 [00145] In a fourth embodiment, any of the first to third embodiments is modified such that one or more of the widths of the feed electrodes vary from the first end to the second end, and The distance from the electrode to the ground electrode varies from the first end to the second end, or the distance from the feed electrode to the outer surface of the dielectric varies from the first end to the second end. .

[00146]第5の実施形態では、第1から第4の実施形態のいずれかが変更され、給電電極が誘電体の内面からある距離だけ移動して、空隙を形成する。 [00146] In a fifth embodiment, any of the first through fourth embodiments is modified such that the feed electrode is moved a distance from the inner surface of the dielectric to form an air gap.

[00147]第6の実施形態では、第1から第5の実施形態のいずれかは、給電電極の長さに沿った1または複数の位置に位置する1または複数のスタブチューナをさらに備えるように変更される。 [00147] In a sixth embodiment, any of the first through fifth embodiments further comprises one or more stub tuners located at one or more locations along the length of the feeding electrode. Be changed.

[00148]第7の実施形態では、第1から第6の実施形態のいずれかが変更され、スタブチューナは、第1のフィードおよび第2のフィードに隣接して位置付けされたスライド短絡部を備える。 [00148] In a seventh embodiment, any of the first through sixth embodiments is modified, wherein the stub tuner comprises a sliding short positioned adjacent the first feed and the second feed. .

[00149]第8の実施形態では、第1から第7の実施形態のいずれかが変更され、スタブチューナが第1のフィードおよび第2のフィードに隣接して位置づけされる。 [00149] In an eighth embodiment, any of the first through seventh embodiments is modified such that a stub tuner is positioned adjacent the first feed and the second feed.

[00150]第9の実施形態では、第1から第8の実施形態のいずれかが変更され、給電電極は、第1の端部に第1の脚部および第2の端部に第2の脚部をさらに備える。 [00150] In a ninth embodiment, any of the first through eighth embodiments is modified, wherein the powered electrode has a first leg at the first end and a second leg at the second end. It further includes legs.

[00151]第10の実施形態では、第1から第9の実施形態のいずれかが変更され、第1のフィードおよび第2のフィードは給電電極と同軸であり、第1の脚部および第2の脚部は給電電極の軸に対してある角度で延在する。 [00151] In a tenth embodiment, any of the first through ninth embodiments is modified, wherein the first feed and the second feed are coaxial with the powered electrode, and the first leg and the second The legs extend at an angle to the axis of the feeding electrode.

[00152]第11の実施形態では、第1から第10の実施形態のいずれかは、給電電極の長さに沿って位置づけされた1または複数のスタブチューナをさらに備えるように変更される。 [00152] In an eleventh embodiment, any of the first through tenth embodiments is modified to further include one or more stub tuners positioned along the length of the feed electrode.

[00153]第12の実施形態では、第1から第11の実施形態のいずれかが変更され、スタブチューナは、第1の脚部の端部に位置づけされたスライド短絡部および第2の脚部の端部に位置づけされたスライド短絡部を備える。 [00153] In a twelfth embodiment, any of the first to eleventh embodiments is modified, wherein the stub tuner includes a slide short positioned at the end of the first leg and a second leg. and a sliding short located at the end of the.

[00154]第13の実施形態では、第1から第12の実施形態のいずれかが変更され、スタブチューナは第1の脚部に隣接して位置づけされ、1つのスタブチューナは第2の脚部に隣接して位置づけされる。 [00154] In a thirteenth embodiment, any of the first through twelfth embodiments is modified, wherein the stub tuners are positioned adjacent the first leg, and one stub tuner is positioned adjacent the second leg. located adjacent to.

[00155]第14の実施形態では、第1から第13の実施形態のいずれかが変更され、第1のフィードおよび第2のフィードは、給電電極の軸に対してある角度で延在し、第1の脚部および第2の脚部は、給電電極と同軸である。 [00155] In a fourteenth embodiment, any of the first through thirteenth embodiments is modified, wherein the first feed and the second feed extend at an angle to the axis of the powered electrode; The first leg and the second leg are coaxial with the feeding electrode.

[00156]第15の実施形態では、第1から第14の実施形態のいずれかが、第1の脚部の端部および第2の脚部の端部に位置づけされた1または複数のスタブチューナをさらに備えるように変更される。 [00156] In a fifteenth embodiment, any of the first through fourteenth embodiments includes one or more stub tuners positioned at the end of the first leg and the end of the second leg. It will be modified to further include the following.

[00157]第16の実施形態では、第1から第15の実施形態のいずれかが変更され、スタブチューナは、第1の脚部に隣接して位置づけされたスライド短絡部および第2の脚部に隣接して位置づけされたスライド短絡部を備える。 [00157] In a sixteenth embodiment, any of the first through fifteenth embodiments is modified, wherein the stub tuner includes a sliding short positioned adjacent the first leg and a second leg. and a sliding short positioned adjacent to the slide short.

[00158]第17の実施形態では、第1から第16の実施形態のいずれかが変更され、第1のマイクロ波ジェネレータおよび第2のマイクロ波ジェネレータは、約900MHzから約930MHzの範囲または約2.4GHzから約2.5GHzの範囲の周波数で動作する。 [00158] In a seventeenth embodiment, any of the first through sixteenth embodiments is modified, wherein the first microwave generator and the second microwave generator have a frequency range of about 900 MHz to about 930 MHz, or about 2 It operates at frequencies ranging from .4 GHz to approximately 2.5 GHz.

[00159]第18の実施形態では、第1から第17の実施形態のいずれかが変更され、第1のマイクロ波ジェネレータと第2のマイクロ波ジェネレータは異なる周波数で動作する。 [00159] In an eighteenth embodiment, any of the first through seventeenth embodiments is modified such that the first microwave generator and the second microwave generator operate at different frequencies.

[00160]第19の実施形態では、第1から第18の実施形態のいずれかは、接地電極にガス入口をさらに備えるように変更され、ガス入口は、長軸に沿って延在する1または複数のプレナムと流体連結しており、1または複数のガス導管と流体連結している1または複数のプレナムは、ガス入口から、接地電極および誘電体を通ってプラズマ源アセンブリの長軸に沿って延在するガスチャネルまで流れるガスの流れを提供する。 [00160] In a nineteenth embodiment, any of the first through eighteenth embodiments is modified to further include a gas inlet at the ground electrode, the gas inlet extending along the longitudinal axis. one or more plenums in fluid communication with a plurality of plenums, the one or more plenums in fluid communication with one or more gas conduits extending from a gas inlet through a ground electrode and a dielectric along a longitudinal axis of the plasma source assembly; Provides a gas flow that flows to an extending gas channel.

[00161]第20の実施形態では、第1から第19の実施形態のいずれかが変更され、誘電体の外面に対する給電電極の距離が、給電電極の長さにわたって変化する。 [00161] In a twentieth embodiment, any of the first through nineteenth embodiments is modified such that the distance of the feed electrode relative to the outer surface of the dielectric varies over the length of the feed electrode.

[00162]第21の実施形態では、第1から第20の実施形態のいずれかが変更され、接地電極に対する給電電極の距離が、給電電極の長さにわたって変化する。 [00162] In a twenty-first embodiment, any of the first through twentieth embodiments is modified such that the distance of the feed electrode relative to the ground electrode varies over the length of the feed electrode.

[00163]第22の実施形態では、第1から第21の実施形態のいずれかが変更され、給電電極の厚さまたは幅の1または複数が、給電電極の長さに沿って変化する。 [00163] In a twenty-second embodiment, any of the first through twenty-first embodiments is modified such that one or more of the thickness or width of the feed electrode varies along the length of the feed electrode.

[00164]第23の実施形態では、第1から第22の実施形態のいずれかが、第3のフィードを介して給電電極に電気的に結合された第3のマイクロ波ジェネレータをさらに備えるように変更され、第3のフィードは、第1のフィードと第2のフィードとの間の給電電極の長さに沿って位置する。 [00164] In a twenty-third embodiment, any of the first through twenty-second embodiments further comprises a third microwave generator electrically coupled to the powered electrode via a third feed. Modified, the third feed is located along the length of the powered electrode between the first feed and the second feed.

[00165]第24の実施形態では、第1から第23の実施形態のいずれかが、第4のフィードを介して給電電極に電気的に結合された第4のマイクロ波ジェネレータをさらに備えるように変更され、第4のフィードは、第1のフィードと第2のフィードとの間の給電電極の長さに沿って位置する。 [00165] In a twenty-fourth embodiment, any of the first to twenty-third embodiments further comprises a fourth microwave generator electrically coupled to the powered electrode via a fourth feed. Modified, the fourth feed is located along the length of the powered electrode between the first feed and the second feed.

[00166]第25の実施形態では、第1から第24の実施形態のいずれかが、第5のフィードを介して給電電極に電気的に結合された第5のマイクロ波ジェネレータをさらに備えるように変更され、第5のフィードは、第1のフィードと第2のフィードとの間の給電電極の長さに沿って位置する。 [00166] In a twenty-fifth embodiment, any of the first through twenty-fourth embodiments further comprises a fifth microwave generator electrically coupled to the powered electrode via a fifth feed. Modified, the fifth feed is located along the length of the powered electrode between the first feed and the second feed.

[00167]第26の実施形態は、第1の実施形態から第25の実施形態のいずれかのプラズマ源アセンブリを備えるガス分配アセンブリを対象とする。 [00167] A twenty-sixth embodiment is directed to a gas distribution assembly comprising the plasma source assembly of any of the first through twenty-fifth embodiments.

[00168]第27の実施形態は、第1の端部および第2の端部を有し、プラズマ源アセンブリの長軸に沿って延在する軸を有する平坦な給電電極であって、幅を有する給電電極と、給電電極の第1の側面の接地電極であって、第2の誘電体によって給電電極から離間し、ガス入口を含む接地電極と、給電電極の第2の側面の誘電体であって、誘電体および第2の誘電体とは給電電極を囲んで給電電極と接地電極との間の電気的接触を防止し、誘電体はプラズマ源アセンブリの長軸に沿って延在するガスチャネルを有し、ガス入口は、長軸に沿って延在する1または複数のプレナムと流体連結しており、1または複数のプレナムは、1または複数のガス導管を介してガスチャネルと流体連結している、誘電体と、第1のフィードを介して給電電極の第1の端部に電気的に結合され、第1の周波数で動作する第1のマイクロ波ジェネレータと、第2のフィードを介して給電電極の第2の端部に電気的に結合され、第2の周波数で動作する第2のマイクロ波ジェネレータとを備えるプラズマ源アセンブリであって、第1の周波数および第2の周波数は、約900MHzから約930MHzの範囲内、または約2.4GHzから約2.5GHzの範囲内であり、第1の周波数と第2の周波数とは異なる、プラズマ源アセンブリを対象とする。 [00168] A twenty-seventh embodiment is a flat powered electrode having a first end and a second end and an axis extending along a longitudinal axis of a plasma source assembly, the flat powered electrode having a width. a ground electrode on a first side of the feed electrode, the ground electrode spaced from the feed electrode by a second dielectric and including a gas inlet; the dielectric and the second dielectric surround the feed electrode to prevent electrical contact between the feed electrode and the ground electrode, and the dielectric includes a gas that extends along the longitudinal axis of the plasma source assembly. a channel, the gas inlet is in fluid communication with one or more plenums extending along the longitudinal axis, and the one or more plenums are in fluid communication with the gas channel via one or more gas conduits. a first microwave generator electrically coupled to the first end of the powered electrode via a first feed and operating at a first frequency; a second microwave generator electrically coupled to a second end of the powered electrode via a second microwave generator operating at a second frequency, the first frequency and the second frequency being , within a range of about 900 MHz to about 930 MHz, or within a range of about 2.4 GHz to about 2.5 GHz, the first frequency and the second frequency being different.

[00169]第28の実施形態は、プラズマを提供する方法を対象とし、本方法は、第1のマイクロ波ジェネレータから第1のマイクロ波電力を給電電極の第1の端部に、第2のマイクロ波電力を第2のマイクロ波ジェネレータから給電電極の第2の端部に提供することを含み、第1のマイクロ波電力と第2のマイクロ波電力は、約900MHzから約930MHzの範囲、または約2.4GHzから約2.5GHzの範囲の周波数で動作し、給電電極は、誘電体と給電電極の第1の側面の接地電極に囲まれ、給電電極の第1の側面とは異なる第2の側面の誘電体に隣接して形成され、プラズマ源アセンブリは、長さを画定する第1の端部および第2の端部を有し、幅を有する給電電極の長さに沿って延びる軸を有する給電電極と、給電電極の第1の側面の接地電極であって、給電電極からある距離だけ離間している接地電極と、給電電極の第2の側面の誘電体であって、誘電体および接地電極は給電電極を囲み、給電電極に隣接する内面および内面の反対側の外面を有する誘電体と、給電電極に電気的に結合された第1のフィードと、給電電極に電気的に結合された第2のフィードとを備え、第1のフィードは第1のマイクロ波ジェネレータと電気的に結合され、第2のフィードは疑似負荷と電気的に結合される。 [00169] A twenty-eighth embodiment is directed to a method of providing a plasma, the method comprising: applying a first microwave power from a first microwave generator to a first end of a powered electrode; providing microwave power from a second microwave generator to a second end of the powered electrode, wherein the first microwave power and the second microwave power are in a range of about 900 MHz to about 930 MHz, or The feed electrode operates at a frequency in the range of about 2.4 GHz to about 2.5 GHz, and the feed electrode is surrounded by a dielectric and a ground electrode on a first side of the feed electrode, and a ground electrode on a second side different from the first side of the feed electrode. an axis extending along the length of the feed electrode having a first end and a second end defining a length and having a width; a grounding electrode on a first side of the feeding electrode, the grounding electrode being spaced apart from the feeding electrode by a certain distance; and a dielectric on a second side of the feeding electrode, the grounding electrode having a dielectric on a second side of the feeding electrode. and a ground electrode surrounding the feed electrode and having an inner surface adjacent to the feed electrode and an outer surface opposite the inner surface, a first feed electrically coupled to the feed electrode, and a first feed electrically coupled to the feed electrode. a second feed, the first feed being electrically coupled to the first microwave generator, and the second feed being electrically coupled to the dummy load.

[00170]第29の実施形態では、第28の実施形態が変更され、疑似負荷は第1のマイクロ波ジェネレータの整合終端負荷である。 [00170] In a twenty-ninth embodiment, the twenty-eighth embodiment is modified such that the dummy load is a matched termination load of the first microwave generator.

[00171]第30の実施形態では、第28から第29の実施形態のいずれかは、給電電極に電気的に結合された少なくとも1つの追加のフィードをさらに備えるように変更される。 [00171] In a thirtieth embodiment, any of the twenty-eighth to twenty-ninth embodiments is modified to further include at least one additional feed electrically coupled to the powered electrode.

[00172]第31の実施形態では、第28から第30の実施形態のいずれかが変更され、給電電極の長さに沿った点で給電電極に電気的に結合される1から10の範囲の追加のフィードが存在する。 [00172] In a thirty-first embodiment, any of the twenty-eighth to thirty embodiments is modified, wherein a number of points in the range of 1 to 10 are electrically coupled to the feeding electrode at points along the length of the feeding electrode. Additional feeds exist.

[00173]第32の実施形態では、第28から第31の実施形態のいずれかが、追加のフィードの少なくとも1つに電気的に結合された少なくとも1つの追加のマイクロ波ジェネレータをさらに備えるように変更される。 [00173] In a thirty-second embodiment, any of the twenty-eighth to thirty-first embodiments further comprises at least one additional microwave generator electrically coupled to at least one of the additional feeds. Be changed.

[00174]第33の実施形態では、第28から第32の実施形態のいずれかが変更され、第1のマイクロ波ジェネレータが第1のフィードに電気的に結合され、疑似負荷が他のフィードに電気的に結合される。 [00174] In a thirty-third embodiment, any of the twenty-eighth through thirty-second embodiments is modified, wherein the first microwave generator is electrically coupled to the first feed and the dummy load is coupled to the other feed. electrically coupled.

[00175]第34の実施形態では、第28から第32の実施形態のいずれかが変更され、疑似負荷の少なくとも1つは、第1のマイクロ波ジェネレータの整合終端負荷である。 [00175] In a thirty-fourth embodiment, any of the twenty-eighth through thirty-second embodiments is modified, wherein at least one of the dummy loads is a matched termination load of the first microwave generator.

[00176]1または複数の実施形態によれば、基板は、層を形成する前および/または後に処理を受ける。この処理は、同じチャンバで、または1または複数の分離した処理チャンバで実施され得る。いくつかの実施形態では、さらなる処理のために、基板を、第1のチャンバから分離した第2の処理チャンバに直接移動させる。基板は、第1のチャンバから分離した処理チャンバへ直接移動させ得る、または基板は、第1のチャンバから1または複数の移送チャンバへ移動させてから、分離した処理チャンバへ移動させ得る。したがって、処理装置は、移送ステーションと連通する複数のチャンバを備え得る。この種の装置は、「クラスタツール」または「クラスタシステム」などと称され得る。 [00176] According to one or more embodiments, the substrate is treated before and/or after forming the layer. This processing may be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is directly transferred from the first chamber to a separate second processing chamber for further processing. The substrate may be transferred directly from the first chamber to a separate processing chamber, or the substrate may be transferred from the first chamber to one or more transfer chambers and then transferred to the separate processing chamber. Accordingly, the processing device may include multiple chambers in communication with the transfer station. This type of device may be referred to as a "cluster tool" or "cluster system."

[00177]一般に、クラスタツールは、基板の中心の検出と配向、脱ガス、アニーリング、堆積、および/またはエッチングを含むさまざまな機能を実施する複数のチャンバを備えるモジュール式システムである。1または複数の実施形態によれば、クラスタツールは少なくとも第1のチャンバと、中央の移送チャンバとを含む。中央の移送チャンバは、処理チャンバとロードロックチャンバ間で基板を往復させ得るロボットを収容し得る。移送チャンバは、通常、真空状態に維持され、基板をあるチャンバから別のチャンバへ、および/またはクラスタツールの前端に位置づけされたロードロックチャンバへ往復させるための中間段階を提供する。ただし、チャンバの正確な配置と組み合わせは、本書に記載のプロセスの特定のステップを実施する目的で変更され得る。使用できる他の処理チャンバは、周期的層堆積(CLD)、原子層堆積、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、脱ガス、配向、水酸化、およびその他の基板プロセスを含むが、これに限定されない。クラスタツールのチャンバでプロセスを実行することによって、後続の膜が堆積する前に酸化することなく、大気中の不純物による基板の表面汚染が回避され得る。 [00177] Generally, cluster tools are modular systems that include multiple chambers that perform various functions, including substrate center detection and orientation, degassing, annealing, deposition, and/or etching. According to one or more embodiments, the cluster tool includes at least a first chamber and a central transfer chamber. A central transfer chamber may house a robot that may shuttle substrates between the processing chamber and the load lock chamber. The transfer chamber is typically maintained under vacuum conditions and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber located at the front end of the cluster tool. However, the exact arrangement and combination of chambers may be varied for the purpose of performing particular steps of the processes described herein. Other processing chambers that can be used include cyclic layer deposition (CLD), atomic layer deposition, chemical vapor deposition (CVD), physical vapor deposition (PVD), etching, pre-cleaning, chemical cleaning, thermal processing such as RTP, Including, but not limited to, plasma nitridation, degassing, orientation, hydroxidation, and other substrate processes. By performing the process in the chamber of a cluster tool, surface contamination of the substrate by atmospheric impurities can be avoided without oxidation before subsequent films are deposited.

[00178]1または複数の実施形態によれば、基板は、継続的に真空または「ロードロック」状態にあり、あるチャンバから次のチャンバに移動するときに周囲空気に曝露されない。したがって、移送チャンバは真空下にあり、真空圧下で「ポンプダウン」される。処理チャンバまたは移送チャンバに、不活性ガスが存在し得る。幾つかの実施形態では、不活性ガスは、基板の表面に層を形成した後、反応物の一部またはすべてを除去するためのパージガスとして使用される。1または複数の実施形態によれば、反応物が堆積チャンバから移送チャンバおよび/または追加の処理チャンバに移動するのを防ぐために、堆積チャンバの出口にパージガスが注入される。従って、不活性ガスの流れは、チャンバの出口でカーテンを形成する。 [00178] According to one or more embodiments, the substrate is continuously in a vacuum or "load-locked" condition and is not exposed to ambient air when moving from one chamber to the next. The transfer chamber is therefore under vacuum and is "pumped down" under vacuum pressure. An inert gas may be present in the processing or transfer chamber. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants after forming the layer on the surface of the substrate. According to one or more embodiments, a purge gas is injected at the outlet of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chambers. The flow of inert gas thus forms a curtain at the outlet of the chamber.

[00179]処理中、基板は加熱または冷却され得る。このような加熱または冷却は、基板支持体(サセプタなど)の温度の変更、および基板表面に加熱または冷却されたガスを流すことを含むがこれに限定されない任意の適切なパラメータを変更することによって達成され得る。いくつかの実施形態では、基板支持体は、基板温度を導電的に変化させるように制御できるヒータ/クーラを含む。1または複数の実施形態では、使用されるガス(反応性ガスまたは不活性ガス)は、加熱または冷却されて基板温度を局所的に変化させる。いくつかの実施形態では、ヒータ/クーラは、基板表面に隣接するチャンバ内に位置づけされ、対流により基板温度を変化させる。 [00179] During processing, the substrate may be heated or cooled. Such heating or cooling may be accomplished by altering any suitable parameters, including but not limited to altering the temperature of the substrate support (such as a susceptor) and flowing heated or cooled gases over the substrate surface. can be achieved. In some embodiments, the substrate support includes a heater/cooler that can be controlled to conductively vary the substrate temperature. In one or more embodiments, the gas used (reactive or inert gas) is heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to vary the substrate temperature by convection.

[00180]基板は、処理中に固定する、または回転させることも可能である。回転する基板は、連続的または個別のステップで回転させることができる。たとえば、基板をプロセス全体で回転させたり、異なる反応性ガスまたはパージガスへの曝露の間に基板を少しだけ回転させたりすることができる。処理中に基板を(連続的または段階的に)回転させて、例えばガス流の形状寸法の局所的な変動などの影響を最小限に抑えることによって、より均一な堆積またはエッチングが実現されやすくなり得る。 [00180] The substrate can also be fixed or rotated during processing. The rotating substrate can be rotated continuously or in discrete steps. For example, the substrate can be rotated throughout the process, or slightly rotated between exposures to different reactive or purge gases. By rotating the substrate (continuously or stepwise) during processing to minimize effects such as local variations in gas flow geometry, more uniform deposition or etching is likely to be achieved. obtain.

[00181]上記は本開示の実施形態を対象としているが、本開示の他のおよびさらなる実施形態は、その基本的な範囲から逸脱することなく考案されてもよく、その範囲は以下の特許請求の範囲によって決定される。 [00181] While the above is directed to embodiments of the present disclosure, other and further embodiments of the present disclosure may be devised without departing from its essential scope, which scope is defined by the following claims. determined by the range of

Claims (17)

プラズマ源アセンブリであって、
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、
前記給電電極の第1の側面側の接地電極であって、前記給電電極からある距離だけ離間している接地電極と、
前記給電電極の第2の側面側の誘電体であって、前記誘電体および前記接地電極は前記給電電極を囲み、前記誘電体は前記給電電極に隣接する内面および前記内面の反対側の外面を有する、誘電体と、
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータと
を備えるプラズマ源アセンブリ。
A plasma source assembly, the plasma source assembly comprising:
a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
a ground electrode on a first side surface side of the power supply electrode, the ground electrode being spaced apart from the power supply electrode by a certain distance;
a dielectric on a second side surface of the power supply electrode, the dielectric and the ground electrode surrounding the power supply electrode, and the dielectric covering an inner surface adjacent to the power supply electrode and an outer surface opposite to the inner surface; a dielectric material having;
a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
a second microwave generator electrically coupled to the second end of the powered electrode via a second feed.
前記接地電極は、第2の誘電体によって前記給電電極から離間している、請求項に記載のプラズマ源アセンブリ。 The plasma source assembly of claim 1 , wherein the ground electrode is spaced from the powered electrode by a second dielectric. プラズマ源アセンブリであって、
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータと
を備え、
前記給電電極は平坦な導体である、プラズマ源アセンブリ。
A plasma source assembly, the plasma source assembly comprising:
a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
a second microwave generator electrically coupled to the second end of the powered electrode via a second feed;
Equipped with
A plasma source assembly, wherein the feed electrode is a flat conductor.
プラズマ源アセンブリであって、
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータと
を備え、
前記給電電極の前記幅が前記第1の端部から前記第2の端部まで変化する、プラズマ源アセンブリ。
A plasma source assembly, the plasma source assembly comprising:
a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
a second microwave generator electrically coupled to the second end of the powered electrode via a second feed;
Equipped with
A plasma source assembly, wherein the width of the powered electrode varies from the first end to the second end.
前記給電電極から前記接地電極までの前記距離が前記第1の端部から前記第2の端部まで変化する、請求項に記載のプラズマ源アセンブリ。 The plasma source assembly of claim 1 , wherein the distance from the powered electrode to the ground electrode varies from the first end to the second end. 前記給電電極から前記誘電体の前記外面までの距離が前記第1の端部から前記第2の端部まで変化する、請求項に記載のプラズマ源アセンブリ。 The plasma source assembly of claim 1, wherein a distance from the feed electrode to the outer surface of the dielectric varies from the first end to the second end. 前記給電電極が、前記誘電体の前記内面からある距離だけ移動して空隙を形成する、請求項に記載のプラズマ源アセンブリ。 The plasma source assembly of claim 1 , wherein the feed electrode moves a distance from the inner surface of the dielectric to form an air gap. プラズマ源アセンブリであって、
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータと
を備え、
前記給電電極が、前記第1の端部に第1の脚部と、前記第2の端部に第2の脚部とをさらに備える、プラズマ源アセンブリ。
A plasma source assembly, the plasma source assembly comprising:
a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
a second microwave generator electrically coupled to the second end of the powered electrode via a second feed;
Equipped with
The plasma source assembly, wherein the powered electrode further comprises a first leg at the first end and a second leg at the second end.
前記第1のフィードおよび前記第2のフィードは、前記給電電極の前記長軸に対してある角度で延在し、前記第1の脚部および前記第2の脚部は前記給電電極と同軸である、請求項に記載のプラズマ源アセンブリ。 The first feed and the second feed extend at an angle to the longitudinal axis of the powered electrode, and the first leg and the second leg are coaxial with the powered electrode. 9. The plasma source assembly of claim 8 . 前記第1の脚部の端部および前記第2の脚部の端部に位置づけされた1または複数のスタブチューナをさらに備える、請求項に記載のプラズマ源アセンブリ。 10. The plasma source assembly of claim 9 , further comprising one or more stub tuners positioned at an end of the first leg and an end of the second leg. 前記スタブチューナが、前記第1の脚部に隣接して位置づけされたスライド短絡部と、前記第2の脚部に隣接して位置づけされたスライド短絡部とを備える、請求項10に記載のプラズマ源アセンブリ。 11. The plasma of claim 10 , wherein the stub tuner comprises a sliding short positioned adjacent the first leg and a sliding short positioned adjacent the second leg. source assembly. プラズマ源アセンブリであって、
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータと
を備え、
前記第1のマイクロ波ジェネレータおよび前記第2のマイクロ波ジェネレータが、約900MHzから約930MHzの範囲または約2.4GHzから約2.5GHzの範囲の周波数で動作し、
前記第1のマイクロ波ジェネレータおよび前記第2のマイクロ波ジェネレータは、異なる周波数で動作する、プラズマ源アセンブリ。
A plasma source assembly, the plasma source assembly comprising:
a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
a second microwave generator electrically coupled to the second end of the powered electrode via a second feed;
Equipped with
the first microwave generator and the second microwave generator operate at a frequency in the range of about 900 MHz to about 930 MHz or in the range of about 2.4 GHz to about 2.5 GHz ;
A plasma source assembly , wherein the first microwave generator and the second microwave generator operate at different frequencies .
前記誘電体の前記外面に対する前記給電電極の距離が、前記給電電極の前記長さにわたって変化する、請求項に記載のプラズマ源アセンブリ。 The plasma source assembly of claim 1 , wherein a distance of the feed electrode relative to the outer surface of the dielectric varies over the length of the feed electrode. 前記接地電極に対する前記給電電極の前記距離が、前記給電電極の前記長さにわたって変化する、請求項に記載のプラズマ源アセンブリ。 The plasma source assembly of claim 1 , wherein the distance of the powered electrode relative to the ground electrode varies over the length of the powered electrode. プラズマ源アセンブリであって、
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータと
を備え、
前記給電電極の前記厚さまたは前記幅の一方または両方が、前記給電電極の前記長さに沿って変化する、プラズマ源アセンブリ。
A plasma source assembly, the plasma source assembly comprising:
a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
a second microwave generator electrically coupled to the second end of the powered electrode via a second feed;
Equipped with
A plasma source assembly wherein one or both of the thickness or the width of the powered electrode varies along the length of the powered electrode.
請求項1から15のいずれか一項に記載のプラズマ源アセンブリを備えるガス分配アセンブリ。 A gas distribution assembly comprising a plasma source assembly according to any one of claims 1 to 15 . プラズマ源アセンブリを備えるガス分配アセンブリであって、前記プラズマ源アセンブリが、A gas distribution assembly comprising a plasma source assembly, the plasma source assembly comprising:
長さを画定する第1の端部および第2の端部を有し、厚さおよび幅を有する給電電極の前記長さに沿って延在する長軸を有する給電電極と、a powered electrode having a first end and a second end defining a length and a longitudinal axis extending along the length of the powered electrode having a thickness and a width;
第1のフィードを介して前記給電電極の前記第1の端部に電気的に結合された第1のマイクロ波ジェネレータと、a first microwave generator electrically coupled to the first end of the powered electrode via a first feed;
第2のフィードを介して前記給電電極の前記第2の端部に電気的に結合された第2のマイクロ波ジェネレータとa second microwave generator electrically coupled to the second end of the powered electrode via a second feed;
を備える、ガス分配アセンブリ。A gas distribution assembly comprising:
JP2022093643A 2018-03-01 2022-06-09 Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools Active JP7345600B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862637353P 2018-03-01 2018-03-01
US62/637,353 2018-03-01
JP2020544609A JP7089043B2 (en) 2018-03-01 2019-03-01 Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools
PCT/US2019/020264 WO2019169253A1 (en) 2018-03-01 2019-03-01 Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020544609A Division JP7089043B2 (en) 2018-03-01 2019-03-01 Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools

Publications (2)

Publication Number Publication Date
JP2022153353A JP2022153353A (en) 2022-10-12
JP7345600B2 true JP7345600B2 (en) 2023-09-15

Family

ID=67805942

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020544609A Active JP7089043B2 (en) 2018-03-01 2019-03-01 Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools
JP2022093643A Active JP7345600B2 (en) 2018-03-01 2022-06-09 Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020544609A Active JP7089043B2 (en) 2018-03-01 2019-03-01 Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools

Country Status (6)

Country Link
US (1) US11823871B2 (en)
JP (2) JP7089043B2 (en)
KR (2) KR102493244B1 (en)
CN (1) CN111819657B (en)
TW (2) TWI758589B (en)
WO (1) WO2019169253A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI758589B (en) 2018-03-01 2022-03-21 美商應用材料股份有限公司 Plasma source assemblies and methods of providing plasma
US20210327686A1 (en) * 2018-03-01 2021-10-21 Applied Materials, Inc. Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
TW202247711A (en) * 2021-04-29 2022-12-01 美商應用材料股份有限公司 Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006107829A (en) 2004-10-01 2006-04-20 Univ Of Tokyo Microwave excitation plasma device and system
JP2007157535A (en) 2005-12-06 2007-06-21 Aet Inc Traveling wave microwave plasma generating device
US20150002019A1 (en) 2012-01-27 2015-01-01 Applied Materials, Inc. Isolation of microwave sources through bellows
JP2015534214A (en) 2012-09-11 2015-11-26 アッシュ・ウー・エフ Apparatus for generating plasma that is axially high from a gaseous medium by electron cyclotron resonance (ECR)
JP2016535410A (en) 2013-08-16 2016-11-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated An elongated capacitively coupled plasma source for high temperature and low pressure environments

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2625072B2 (en) * 1992-09-08 1997-06-25 アプライド マテリアルズ インコーポレイテッド Plasma reactor using electromagnetic RF coupling and method thereof
JPH0878190A (en) * 1994-09-01 1996-03-22 Kokusai Electric Co Ltd Microwave discharge device and discharge method
DE19503205C1 (en) 1995-02-02 1996-07-11 Muegge Electronic Gmbh Device for generating a plasma in low pressure container e.g. for hardware items surface treatment by plasma etching and plasma deposition
JPH10134996A (en) * 1996-10-31 1998-05-22 Nec Corp Plasma treatment equipment
DE19801366B4 (en) 1998-01-16 2008-07-03 Applied Materials Gmbh & Co. Kg Device for generating plasma
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
JP2008235611A (en) 2007-03-21 2008-10-02 Tohoku Univ Plasma processing equipment and method for processing plasma
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
EP2122657B8 (en) * 2008-03-20 2011-06-22 Ruhr-Universität Bochum Method for controlling ion energy in radio frequency plasmas
CN103597119B (en) 2009-07-08 2017-03-08 艾克斯特朗欧洲公司 Apparatus and method for corona treatment
US20110097878A1 (en) 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
WO2011088156A2 (en) * 2010-01-12 2011-07-21 Applied Materials, Inc. Phase-modulated rf power for plasma chamber electrode
TWI551718B (en) 2010-04-30 2016-10-01 應用材料股份有限公司 Vertical inline cvd system
JP2012089334A (en) 2010-10-19 2012-05-10 Tokyo Electron Ltd Microwave plasma source and plasma processing apparatus
WO2012077843A1 (en) * 2010-12-09 2012-06-14 한국과학기술원 Plasma generator
CN103270578B (en) 2010-12-30 2016-10-26 应用材料公司 Use the thin film deposition of microwave plasma
KR101180373B1 (en) 2011-03-30 2012-09-10 주성엔지니어링(주) Plasma generation apparatus and substrate processing apparatus
JP6120527B2 (en) * 2012-11-05 2017-04-26 東京エレクトロン株式会社 Plasma processing method
CN105051866B (en) * 2013-03-15 2019-05-17 应用材料公司 Plasma source for rotary pressure plate formula ald chamber room
CN105340059B (en) * 2013-06-17 2019-03-22 应用材料公司 Enhancing plasma source for plasma reactor
JP2015050433A (en) * 2013-09-04 2015-03-16 東京エレクトロン株式会社 Plasma processing method
US9336997B2 (en) 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
KR102293196B1 (en) * 2014-07-31 2021-08-25 주식회사티티엘 Substratetreating device
KR20160049628A (en) * 2014-10-28 2016-05-10 최도현 Dual plasma generator, plasma processing system and method therefor
EP3309815B1 (en) * 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasma treatment device with two microwave plasma sources coupled together and method for operating such a plasma treatment device
TWI788390B (en) 2017-08-10 2023-01-01 美商應用材料股份有限公司 A distributed electrode array for plasma processing
TWI758589B (en) * 2018-03-01 2022-03-21 美商應用材料股份有限公司 Plasma source assemblies and methods of providing plasma
WO2019199648A1 (en) 2018-04-10 2019-10-17 Applied Materials, Inc. Microwave plasma source with split window

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006107829A (en) 2004-10-01 2006-04-20 Univ Of Tokyo Microwave excitation plasma device and system
JP2007157535A (en) 2005-12-06 2007-06-21 Aet Inc Traveling wave microwave plasma generating device
US20150002019A1 (en) 2012-01-27 2015-01-01 Applied Materials, Inc. Isolation of microwave sources through bellows
JP2015534214A (en) 2012-09-11 2015-11-26 アッシュ・ウー・エフ Apparatus for generating plasma that is axially high from a gaseous medium by electron cyclotron resonance (ECR)
JP2016535410A (en) 2013-08-16 2016-11-10 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated An elongated capacitively coupled plasma source for high temperature and low pressure environments

Also Published As

Publication number Publication date
KR20230020000A (en) 2023-02-09
TW202223973A (en) 2022-06-16
CN111819657A (en) 2020-10-23
CN111819657B (en) 2023-11-14
TW201944453A (en) 2019-11-16
TWI758589B (en) 2022-03-21
JP2021515361A (en) 2021-06-17
WO2019169253A1 (en) 2019-09-06
KR102493244B1 (en) 2023-01-30
JP2022153353A (en) 2022-10-12
KR20200116542A (en) 2020-10-12
JP7089043B2 (en) 2022-06-21
US20210050187A1 (en) 2021-02-18
US11823871B2 (en) 2023-11-21
TWI826925B (en) 2023-12-21
KR102609166B1 (en) 2023-12-05

Similar Documents

Publication Publication Date Title
JP7345600B2 (en) Microwave plasma source for spatial plasma atomic layer deposition (PE-ALD) processing tools
US9548187B2 (en) Microwave radiation antenna, microwave plasma source and plasma processing apparatus
US10510515B2 (en) Processing tool with electrically switched electrode assembly
KR102405729B1 (en) Geometric Selective Deposition of Dielectric Films Using Low Frequency Bias
KR102456063B1 (en) Shaped electrodes for improved plasma exposure from vertical plasma source
KR102226827B1 (en) Plasma source to rotate the susceptor
US20180308663A1 (en) Plasma reactor with phase shift applied across electrode array
JP2018534723A (en) Plasma module with slotted ground plate
US20230307213A1 (en) Vertically adjustable plasma source
US11355321B2 (en) Plasma reactor with electrode assembly for moving substrate
KR102501096B1 (en) Applying power to the electrodes of the plasma reactor
US20180308664A1 (en) Plasma reactor with filaments and rf power applied at multiple frequencies
US20190311886A1 (en) Microwave Plasma Source With Split Window
US20210327686A1 (en) Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
US20180308667A1 (en) Plasma reactor with groups of electrodes
WO2022232502A1 (en) Microwave plasma source for spatial plasma enhanced atomic layer deposition (pe-ald) processing tool

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220704

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230905

R150 Certificate of patent or registration of utility model

Ref document number: 7345600

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150