JP6680895B2 - Substrate processing apparatus, semiconductor device manufacturing method, and program - Google Patents

Substrate processing apparatus, semiconductor device manufacturing method, and program Download PDF

Info

Publication number
JP6680895B2
JP6680895B2 JP2018540537A JP2018540537A JP6680895B2 JP 6680895 B2 JP6680895 B2 JP 6680895B2 JP 2018540537 A JP2018540537 A JP 2018540537A JP 2018540537 A JP2018540537 A JP 2018540537A JP 6680895 B2 JP6680895 B2 JP 6680895B2
Authority
JP
Japan
Prior art keywords
processing
chamber
substrate
transfer
transfer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018540537A
Other languages
Japanese (ja)
Other versions
JPWO2018055699A1 (en
Inventor
吉田秀成
谷山智志
盛満和広
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2018055699A1 publication Critical patent/JPWO2018055699A1/en
Application granted granted Critical
Publication of JP6680895B2 publication Critical patent/JP6680895B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

本発明は、基板処理装置、半導体装置の製造方法およびプログラムに関するものである。   The present invention relates to a substrate processing apparatus, a semiconductor device manufacturing method, and a program.

半導体装置(デバイス)の製造工程における基板処理では、例えば、基板を一枚、あるいは少枚数ずつ処理する枚葉処理装置や複数枚の基板を一括して処理する縦型処理装置が使用されている。また例えば、それぞれの処理装置の特徴を活用する装置として、枚葉処理装置と縦型処理装置とを搬送室を介して接続し、基板を連続処理可能な処理装置が提案されている(例えば、特許文献1)。   In substrate processing in a semiconductor device (device) manufacturing process, for example, a single-wafer processing apparatus that processes one substrate or a small number of substrates or a vertical processing apparatus that collectively processes a plurality of substrates is used. . Further, for example, as an apparatus that utilizes the characteristics of each processing apparatus, a processing apparatus that connects a single-wafer processing apparatus and a vertical processing apparatus via a transfer chamber and is capable of continuously processing substrates has been proposed (for example, Patent Document 1).

特開2000−114187号公報JP, 2000-114187, A

しかしながら、連続処理可能な処理装置においては、枚葉処理装置と縦型処理装置との装置形態が異なるため、全体の装置構成が複雑化してしまう場合がある。本発明はこのような事情に鑑みてなされたものであり、その目的は、装置構成を複雑化することなく、枚葉処理装置と縦型処理装置とで連続処理を行うことが可能な技術を提供することにある。   However, in a processing apparatus capable of continuous processing, the single wafer processing apparatus and the vertical processing apparatus have different apparatus configurations, and thus the entire apparatus configuration may be complicated. The present invention has been made in view of such circumstances, and an object thereof is to provide a technique capable of performing continuous processing with a single-wafer processing apparatus and a vertical processing apparatus without complicating the apparatus configuration. To provide.

本発明の一態様によれば、
基板保持具に保持されたN(N≧2)枚の基板を処理する第1の処理室と、
前記第1の処理室の下方に配置され、前記基板保持具を前記第1の処理室に搬送する第1の搬送室と、
前記基板を一枚ずつ処理する第2の処理室と、
前記第2の処理室の下方に配置され、前記第2の処理室で処理される前記基板を一時的に複数枚保持する置台が設置される第2の搬送室と、
前記第1の搬送室および前記第2の搬送室に隣接し、前記基板を移載する移載機が設置される移載室と、を備える技術が提供される。
According to one aspect of the present invention,
A first processing chamber for processing N (N ≧ 2) substrates held by the substrate holder;
A first transfer chamber that is disposed below the first processing chamber and transfers the substrate holder to the first processing chamber;
A second processing chamber for processing the substrates one by one;
A second transfer chamber disposed below the second processing chamber, in which a mounting table for temporarily holding a plurality of the substrates processed in the second processing chamber is installed;
A transfer chamber adjacent to the first transfer chamber and the second transfer chamber, in which a transfer device for transferring the substrate is installed, is provided.

本発明によれば、装置構成を複雑化することなく、枚葉処理装置と縦型処理装置とで連続処理を行うことが可能となる。   According to the present invention, continuous processing can be performed by the single-wafer processing apparatus and the vertical processing apparatus without complicating the apparatus configuration.

本発明に係る基板処理装置の横断面図Cross-sectional view of a substrate processing apparatus according to the present invention 本発明に係る基板処理装置の正面縦断面Front vertical section of a substrate processing apparatus according to the present invention 本発明に係る縦型処理炉周辺の縦断面図Vertical cross-sectional view of a vertical processing furnace according to the present invention 本発明に係る基板処理装置の側面縦断面Side surface longitudinal cross-section of the substrate processing apparatus according to the present invention 本発明に係る枚葉処理炉周辺の縦断面図Longitudinal sectional view of the vicinity of a single-wafer processing furnace according to the present invention 本発明に係る縦型処理炉及び枚葉処理炉におけるシーケンス図Sequence diagram in a vertical processing furnace and a single wafer processing furnace according to the present invention

以下、図面を参照しながら、本発明の限定的でない例示の実施形態について説明する。全図面中、同一または対応する構成については、同一または対応する参照符号を付し、重複する説明を省略する。また、後述する移載室8側を正面側(前側)、後述する搬送室6A、6B側を背面側(後ろ側)とする。さらに、後述する処理モジュール3A、3Bの境界線(隣接面)に向う側を内側、境界線から離れる側を外側とする。   Hereinafter, non-limiting exemplary embodiments of the present invention will be described with reference to the drawings. In all the drawings, the same or corresponding components are designated by the same or corresponding reference numerals, and redundant description will be omitted. The transfer chamber 8 side described below is the front side (front side), and the transfer chambers 6A and 6B side described below is the rear side (rear side). Further, the side facing the boundary line (adjacent surface) of the processing modules 3A and 3B described later is the inner side, and the side away from the boundary line is the outer side.

本実施形態において、基板処理装置は、半導体装置(デバイス)の製造方法における製造工程の一工程として熱処理等の基板処理工程を実施する基板処理装置(以下、処理装置と称する)2として構成されている。   In the present embodiment, the substrate processing apparatus is configured as a substrate processing apparatus (hereinafter referred to as a processing apparatus) 2 that performs a substrate processing step such as a heat treatment as one step of a manufacturing step in a semiconductor device (device) manufacturing method. There is.

図1、2に示すように、基板処理装置2は隣接する2つの処理モジュール(筐体)3A、3Bを備えている。処理モジュール3Aは複数枚の基板を一括して処理する縦型処理モジュールであり、処理モジュール3Bは基板を一枚ずつ処理する枚葉処理モジュールである。処理モジュール3A、3Bは、それぞれ、処理炉4A、4Bと準備室としての搬送室6A、6Bにより構成される。処理炉4A、4Bの下方には、それぞれ、搬送室6A、6Bが配置されている。搬送室6A、6Bの正面側には、基板としてのウエハWを移載する移載機7を有する移載室8が、搬送室6A、6Bに隣接して配置されている。移載室8の正面側には、ウエハWを複数枚収容する収容容器としてのポッド(フープ)5を収納する収納室9が配置されている。収納室9の全面にはI/Oポート22が設置され、I/Oポート22を介して処理装置2内外にポッド5が搬入出される。   As shown in FIGS. 1 and 2, the substrate processing apparatus 2 includes two adjacent processing modules (housings) 3A and 3B. The processing module 3A is a vertical processing module that collectively processes a plurality of substrates, and the processing module 3B is a single-wafer processing module that processes substrates one by one. The processing modules 3A and 3B are composed of processing furnaces 4A and 4B and transfer chambers 6A and 6B as preparation chambers, respectively. Transport chambers 6A and 6B are arranged below the processing furnaces 4A and 4B, respectively. On the front side of the transfer chambers 6A, 6B, a transfer chamber 8 having a transfer device 7 for transferring a wafer W as a substrate is arranged adjacent to the transfer chambers 6A, 6B. A storage chamber 9 for storing a pod (hoop) 5 as a storage container for storing a plurality of wafers W is arranged on the front side of the transfer chamber 8. An I / O port 22 is installed on the entire surface of the storage chamber 9, and the pod 5 is carried in and out of the processing apparatus 2 via the I / O port 22.

搬送室6A、6Bと移載室8との境界壁(隣接面)には、隔離部としてのゲートバルブ90A、90Bがそれぞれ設置される。移載室8内および搬送室6A、6B内には圧力検知器がそれぞれに設置されており、移載室8内の圧力は、搬送室6A、6B内の圧力よりも低くなるように設定されている。また、移載室8内および搬送室6A、6B内には酸素濃度検知器がそれぞれに設置されており、移載室8A内および搬送室6A、6B内の酸素濃度は大気中における酸素濃度よりも低く維持されている。移載室8の天井部には、移載室8内にクリーンエアを供給するクリーンユニット62Cが設置されており、移載室8内にクリーンエアとして、例えば、不活性ガスを循環させるように構成されている。移載室8内を不活性ガスにて循環パージすることにより、移載室8内を清浄な雰囲気とすることができる。このような構成により、移載室8内に搬送室6A、6B内のパーティクル等が混入することを抑制することができ、移載室8内および搬送室6A、6B内でウエハW上に自然酸化膜が形成されることを抑制することができる。   Gate valves 90A and 90B as isolation units are installed on boundary walls (adjacent surfaces) between the transfer chambers 6A and 6B and the transfer chamber 8, respectively. A pressure detector is installed in each of the transfer chamber 8 and the transfer chambers 6A and 6B, and the pressure in the transfer chamber 8 is set to be lower than the pressure in the transfer chambers 6A and 6B. ing. An oxygen concentration detector is installed in each of the transfer chamber 8 and the transfer chambers 6A and 6B, and the oxygen concentration in the transfer chamber 8A and the transfer chambers 6A and 6B is higher than that in the atmosphere. Is also kept low. A clean unit 62C for supplying clean air into the transfer chamber 8 is installed on the ceiling of the transfer chamber 8 so that, for example, an inert gas can be circulated as clean air in the transfer chamber 8. It is configured. By circulating and purging the inside of the transfer chamber 8 with an inert gas, the inside of the transfer chamber 8 can be made a clean atmosphere. With such a configuration, it is possible to suppress particles and the like in the transfer chambers 6A and 6B from mixing into the transfer chamber 8, and to naturally transfer the particles onto the wafer W in the transfer chamber 8 and the transfer chambers 6A and 6B. Formation of an oxide film can be suppressed.

(縦型処理モジュール)
処理炉4Aは、複数枚の基板を一度に処理する縦型処理炉で構成される。
図3に示すように、処理炉4Aは、円筒形状の反応管10Aと、反応管10Aの外周に設置された加熱手段(加熱機構)としてのヒータ12Aとを備える。反応管は、例えば石英やSiCにより形成される。反応管10Aの内部には、基板としてのウエハWを処理する処理室14Aが形成される。反応管10Aには、温度検出器としての温度検出部16Aが設置される。温度検出部16Aは、反応管10Aの内壁に沿って立設されている。
(Vertical processing module)
The processing furnace 4A is composed of a vertical processing furnace that processes a plurality of substrates at once.
As shown in FIG. 3, the processing furnace 4A includes a cylindrical reaction tube 10A and a heater 12A as a heating unit (heating mechanism) installed on the outer periphery of the reaction tube 10A. The reaction tube is made of, for example, quartz or SiC. Inside the reaction tube 10A, a processing chamber 14A for processing a wafer W as a substrate is formed. A temperature detector 16A as a temperature detector is installed in the reaction tube 10A. The temperature detection unit 16A is erected along the inner wall of the reaction tube 10A.

基板処理に使用されるガスは、ガス供給系としてのガス供給機構34Aによって処理室14A内に供給される。ガス供給機構34Aが供給するガスは、成膜される膜の種類に応じて換えられる。ここでは、ガス供給機構34Aは、原料ガス供給部、反応ガス供給部および不活性ガス供給部を含む。   The gas used for the substrate processing is supplied into the processing chamber 14A by the gas supply mechanism 34A as a gas supply system. The gas supplied by the gas supply mechanism 34A can be changed according to the type of film to be formed. Here, the gas supply mechanism 34A includes a source gas supply unit, a reaction gas supply unit, and an inert gas supply unit.

原料ガス供給部は、ガス供給管36aを備え、ガス供給管36aには、上流方向から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)38aおよび開閉弁であるバルブ40aが設けられている。ガス供給管36aはマニホールド18の側壁を貫通するノズル44aに接続される。ノズル44aは、反応管10A内に上下方向に沿って立設し、基板保持具としてのボート26Aに保持されるウエハWに向かって開口する複数の供給孔が形成されている。ノズル44aの供給孔を通してウエハWに対して原料ガスが供給される。   The raw material gas supply unit includes a gas supply pipe 36a. The gas supply pipe 36a is provided with a mass flow controller (MFC) 38a that is a flow rate controller (flow rate control unit) and a valve 40a that is an opening / closing valve in this order from the upstream direction. Has been. The gas supply pipe 36 a is connected to a nozzle 44 a that penetrates the sidewall of the manifold 18. The nozzle 44a is erected vertically in the reaction tube 10A, and has a plurality of supply holes that open toward the wafer W held by the boat 26A as the substrate holder. The source gas is supplied to the wafer W through the supply hole of the nozzle 44a.

以下、同様の構成にて、反応ガス供給部からは、供給管36b、MFC38b、バルブ40bおよびノズル44bを介して、反応ガスがウエハWに対して供給される。不活性ガス供給部からは、供給管36c、36d、MFC38c、38d、バルブ40c、40dおよびノズル44a、44bを介して、ウエハWに対して不活性ガスが供給される。   Hereinafter, with the same configuration, the reaction gas is supplied from the reaction gas supply unit to the wafer W through the supply pipe 36b, the MFC 38b, the valve 40b and the nozzle 44b. An inert gas is supplied to the wafer W from the inert gas supply unit via the supply pipes 36c and 36d, the MFCs 38c and 38d, the valves 40c and 40d, and the nozzles 44a and 44b.

反応管10Aの下端開口部には、円筒形のマニホールド18Aが、Oリング等のシール部材を介して連結され、反応管10Aの下端を支持している。マニホールド18の下端開口部10Bは搬送室6Aの天井部に面して形成されており、円盤状の蓋部22Aによって開閉される。蓋部22Aの上面にはOリング等のシール部材が設置されており、これにより、反応管10A内と外気とが気密にシールされる。蓋部22A上には断熱部24Aを介して後述する基板保持具(ボート)26Aが載置される。   A cylindrical manifold 18A is connected to the lower end opening of the reaction tube 10A via a seal member such as an O-ring to support the lower end of the reaction tube 10A. The lower end opening 10B of the manifold 18 is formed facing the ceiling of the transfer chamber 6A, and is opened and closed by a disc-shaped lid 22A. A sealing member such as an O-ring is installed on the upper surface of the lid portion 22A, whereby the inside of the reaction tube 10A and the outside air are hermetically sealed. A substrate holder (boat) 26A, which will be described later, is placed on the lid portion 22A via the heat insulating portion 24A.

マニホールド18には、排気管46Aが取り付けられている。排気管46Aには、処理室14A内の圧力を検出する圧力検出器(圧力検出部)としての圧力センサ48Aおよび圧力調整器(圧力調整部)としてのAPC(Auto Pressure Controller)バルブ50Aを介して、真空排気装置としての真空ポンプ52Aが接続されている。このような構成により、処理室14A内の圧力を処理に応じた処理圧力とすることができる。主に、排気管46A、APCバルブ50A、圧力センサ48Aにより、排気系Aが構成される。排気系Aは図示しない排気ボックスに収納されている。   An exhaust pipe 46A is attached to the manifold 18. In the exhaust pipe 46A, a pressure sensor 48A as a pressure detector (pressure detection unit) for detecting the pressure in the processing chamber 14A and an APC (Auto Pressure Controller) valve 50A as a pressure regulator (pressure regulator) are provided. A vacuum pump 52A as a vacuum exhaust device is connected. With such a configuration, the pressure in the processing chamber 14A can be set to a processing pressure according to the processing. An exhaust system A is mainly configured by the exhaust pipe 46A, the APC valve 50A, and the pressure sensor 48A. The exhaust system A is housed in an exhaust box (not shown).

処理室14Aは、複数枚、例えば25〜50枚のウエハWを垂直に棚状に支持する基板保持具としてのボート26Aを内部に収納する。ボート26Aは、例えば石英やSiCにより形成される。ボート26Aは、蓋部22Aおよび断熱部24Aを貫通する回転軸28Aにより、断熱部24Aの上方に支持される。回転軸28Aは蓋部22Aの下方に設置された回転機構30Aに接続されており、回転軸28Aは反応管10Aの内部を気密にシールした状態で回転可能に構成される。蓋部22Aは昇降機構としてのボートエレベータ32Aにより上下方向に駆動される。これにより、ボート26Aおよび蓋部22Aがホーム位置より一体的に昇降され、搬送室6Aと反応管10Aとの間でボート26Aが搬送される。   The processing chamber 14A houses therein a boat 26A as a substrate holder that vertically supports a plurality of wafers W, for example, 25 to 50 wafers in a shelf shape. The boat 26A is made of, for example, quartz or SiC. The boat 26A is supported above the heat insulating portion 24A by a rotating shaft 28A that penetrates the lid portion 22A and the heat insulating portion 24A. The rotating shaft 28A is connected to a rotating mechanism 30A installed below the lid 22A, and the rotating shaft 28A is configured to be rotatable while hermetically sealing the inside of the reaction tube 10A. The lid portion 22A is vertically driven by a boat elevator 32A as an elevating mechanism. As a result, the boat 26A and the lid 22A are integrally lifted from the home position, and the boat 26A is transported between the transport chamber 6A and the reaction tube 10A.

ボート26AへのウエハWの移載は搬送室6Aでボート26Aがホーム位置にある時に行われる。ここで、ホーム位置とは、ボートエレベータ32が蓋部22Aを駆動させていない時の位置である。図1に示すように、搬送室6A内の一側面(搬送室6Aの外側側面、搬送室6Bに面する側面と反対側の側面)には、クリーンユニット60Aが設置されており、搬送室6A内にクリーンエア(例えば、不活性ガス)を循環させるように構成されている。搬送室6A内に供給された不活性ガスは、ボート26Aを挟んでクリーンユニット60Aと対面する側面(搬送室6Bに面する側面)に設置された排気部62Aによって搬送室6A内から排気され、クリーンユニット60Aから搬送室6A内に再供給される(循環パージ)。搬送室6A内の圧力は移載室8内の圧力よりも低くなるように設定されている。また、搬送室6A内の酸素濃度は、大気中における酸素濃度よりも低くなるように設定されている。このような構成により、ウエハWの搬送作業中にウエハW上に自然酸化膜が形成されることを抑制することができる。   The transfer of the wafer W to the boat 26A is performed in the transfer chamber 6A when the boat 26A is at the home position. Here, the home position is a position when the boat elevator 32 is not driving the lid 22A. As shown in FIG. 1, a clean unit 60A is installed on one side surface of the transfer chamber 6A (an outer side surface of the transfer chamber 6A, a side surface opposite to the side surface facing the transfer chamber 6B). It is configured to circulate clean air (for example, an inert gas) inside. The inert gas supplied into the transfer chamber 6A is exhausted from the transfer chamber 6A by an exhaust unit 62A installed on a side surface (a side surface facing the transfer chamber 6B) facing the clean unit 60A with the boat 26A interposed therebetween. It is supplied again from the clean unit 60A into the transfer chamber 6A (circulation purge). The pressure in the transfer chamber 6A is set to be lower than the pressure in the transfer chamber 8. The oxygen concentration in the transfer chamber 6A is set to be lower than the oxygen concentration in the atmosphere. With such a configuration, it is possible to suppress the formation of a natural oxide film on the wafer W during the transfer operation of the wafer W.

搬送室6Aは、保持枚数の異なる少なくとも2種類のボートに適用可能なように搬送室6Aの高さが設定されている。搬送室6Aは、例えば、N(N≧2)枚のウエハWを保持するボート26Aを用いる際、2倍である2N枚のウエハWを保持するボート26A´も用いることができるように構成されている。図2に示すように、N枚のウエハWを保持するボート26Aの、搬送室6Aの床面からボート上端まで高さをT2とする。この時、2N枚のウエハWを保持するボート26A´の、搬送室6Aの床面からボート上端までの高さをT1とすると、搬送室6Aの高さは少なくともT1より高くなるように構成される。このように構成されることにより、ボートを交換することで、所望の処理枚数のウエハWを処理することができるため、生産性を向上させることができる。   The height of the transfer chamber 6A is set so that the transfer chamber 6A can be applied to at least two types of boats having different numbers of held sheets. The transfer chamber 6A is configured such that, for example, when the boat 26A holding N (N ≧ 2) wafers W is used, a boat 26A ′ holding 2N wafers W, which is double, can also be used. ing. As shown in FIG. 2, the height of the boat 26A holding N wafers W from the floor of the transfer chamber 6A to the upper end of the boat is T2. At this time, assuming that the height of the boat 26A ′ holding 2N wafers W from the floor of the transfer chamber 6A to the upper end of the boat is T1, the height of the transfer chamber 6A is at least higher than T1. It With such a configuration, it is possible to process a desired number of wafers W by exchanging the boat, so that the productivity can be improved.

ここで、移載機7も保持枚数の異なる少なくとも2種類のボートに適用可能なように上下に駆動できる高さが設定されている。すなわち、ボート26Aおよびボート26A´の最下段にウエハWを移載する高さ位置からボート26A´の最上段にウエハWを移載する高さ位置まで駆動可能に構成されている。このような構成により、ボートの種類を変更としても、移載機7を変更する必要がなく、コストを削減することができる。   Here, the transfer machine 7 is also set to a height at which it can be driven up and down so that it can be applied to at least two types of boats having different numbers of held sheets. That is, it is configured so that it can be driven from a height position where the wafer W is transferred to the lowermost stage of the boat 26A and the boat 26A 'to a height position where the wafer W is transferred to the uppermost stage of the boat 26A'. With such a configuration, even if the type of boat is changed, it is not necessary to change the transfer machine 7, and the cost can be reduced.

処理炉4Aのガス供給機構34Aや排気機構等のユーティリティ120Aは、搬送室6Aの背面(処理モジュール3Aの後ろ側)に設置される。   The utility 120A such as the gas supply mechanism 34A and the exhaust mechanism of the processing furnace 4A is installed on the back surface of the transfer chamber 6A (the rear side of the processing module 3A).

(枚葉処理モジュール)
処理炉4Bは、基板を一枚ずつ処理する枚葉処理炉で構成される。
図5に示すように、処理炉4Bは、処理室301を形成する処理容器303と、処理室301内にガスをシャワー状に供給するシャワーヘッド303sと、ウエハWを水平姿勢で支持する支持台317と、支持台317を下方から支持する回転軸355と、支持台317に設けられたヒータ307と、を備えている。
(Single wafer processing module)
The processing furnace 4B is composed of a single-wafer processing furnace that processes substrates one by one.
As shown in FIG. 5, the processing furnace 4B includes a processing container 303 that forms a processing chamber 301, a shower head 303s that supplies gas into the processing chamber 301 in a shower shape, and a support base that supports the wafer W in a horizontal posture. 317, a rotating shaft 355 that supports the support 317 from below, and a heater 307 provided on the support 317.

基板処理に使用されるガスは、ガス供給系としてのガス供給機構34Bによって処理室301内に供給される。ガス供給機構34Bが供給するガスは、基板処理に応じて換えられる。ここでは、ガス供給機構34Bは、原料ガス供給部、反応ガス供給部および不活性ガス供給部を含む。ガス供給機構34Aと同様に、原料ガス供給部は、供給管36e、MFC38e、バルブ40eを備え、反応ガス供給部は、供給管36f、MFC38f、バルブ40fを備える。また、不活性ガス供給部は、供給管36g、36h、MFC38g、38h、バルブ40g、40hを備える。   The gas used for substrate processing is supplied into the processing chamber 301 by the gas supply mechanism 34B as a gas supply system. The gas supplied by the gas supply mechanism 34B is changed according to the substrate processing. Here, the gas supply mechanism 34B includes a source gas supply unit, a reaction gas supply unit, and an inert gas supply unit. Similar to the gas supply mechanism 34A, the raw material gas supply unit includes a supply pipe 36e, an MFC 38e, and a valve 40e, and the reaction gas supply unit includes a supply pipe 36f, an MFC 38f, and a valve 40f. The inert gas supply unit includes supply pipes 36g and 36h, MFCs 38g and 38h, and valves 40g and 40h.

シャワーヘッド303sのインレット(ガス導入口)には、上述の原料ガスを供給するガス供給ポート332aと、上述の反応ガスを供給するガス供給ポート332bと、が接続されている。ガス供給ポート332aには、上述の反応ガス供給部および不活性ガス供給部が接続されている。ガス供給ポート332bには、上述の原料ガス供給部および不活性ガス供給部が接続されている。シャワーヘッド303sのアウトレット(ガス排出口)には、処理室301内にガスをシャワー状に供給するガス分散板が設けられている。処理容器303には、処理室301内を排気する排気ポート333が設けられている。排気ポート333には、処理炉4Aと同様に排気部が接続されている。   A gas supply port 332a for supplying the above-mentioned raw material gas and a gas supply port 332b for supplying the above-mentioned reaction gas are connected to the inlet (gas introduction port) of the shower head 303s. The reaction gas supply unit and the inert gas supply unit described above are connected to the gas supply port 332a. The raw material gas supply unit and the inert gas supply unit described above are connected to the gas supply port 332b. At the outlet (gas outlet) of the shower head 303s, a gas dispersion plate that supplies gas into the processing chamber 301 in a shower shape is provided. An exhaust port 333 for exhausting the inside of the processing chamber 301 is provided in the processing container 303. An exhaust unit is connected to the exhaust port 333 as in the processing furnace 4A.

処理容器303の正面側の側面にはウエハWを処理室301内外に搬入出するための搬送口331が形成されている。搬送口331はゲートバルブ335によって開閉される。ゲートバルブ335が閉のとき、処理容器303内と外気とが気密にシールされる。搬入出口331は移載室8に対面する側方に形成されている。このような構成により、処理容器303へのウエハWの搬入出を、移載機7を用いて行うことができる。   A transfer port 331 for loading / unloading the wafer W into / out of the processing chamber 301 is formed on the front side surface of the processing container 303. The transfer port 331 is opened and closed by the gate valve 335. When the gate valve 335 is closed, the inside of the processing container 303 and the outside air are hermetically sealed. The carry-in / out port 331 is formed on the side facing the transfer chamber 8. With such a configuration, the transfer of the wafer W into and out of the processing container 303 can be performed using the transfer machine 7.

搬送室6Bには、搬送室6Aと同様の構成にてクリーンユニット60Bが設置されており、搬送室6B内にクリーンエアを循環させるように構成されている。搬送室6B内の酸素濃度も、搬送室6A内と同様に、大気中における酸素濃度よりも低くなるように設定されている。このような構成により、搬送室6Bと処理容器303との間に真空排気室等を設置する必要が無く、装置を簡略化することができる。   A clean unit 60B is installed in the transfer chamber 6B with the same configuration as the transfer chamber 6A, and is configured to circulate clean air in the transfer chamber 6B. The oxygen concentration in the transfer chamber 6B is also set to be lower than the oxygen concentration in the atmosphere, as in the transfer chamber 6A. With such a configuration, it is not necessary to install a vacuum exhaust chamber or the like between the transfer chamber 6B and the processing container 303, and the apparatus can be simplified.

処理炉4Bのガス供給機構34Bや排気機構等のユーティリティは、処理炉3Bの上面(処理モジュール3Bの上部)に設置される。このような構成により、処理炉4Bの背面側をメンテナンスエリアとして広く確保することができ、作業性を向上させることができる。   Utilities such as the gas supply mechanism 34B and the exhaust mechanism of the processing furnace 4B are installed on the upper surface of the processing furnace 3B (the upper part of the processing module 3B). With such a configuration, the back side of the processing furnace 4B can be widely secured as a maintenance area, and workability can be improved.

図2に示すように、処理炉4Bの下方(搬送室6B内)には、ウエハWを一時的に保持して待機させる(ウエハWを仮置きする)ためのラックである置台26Bが設置されている。置台26Bは、例えば石英やSiCにより形成され、N枚のウエハWを垂直に棚状に支持するように構成されている。置台26Bの最下段の収納位置と、ボート26Aの最下段の収納位置とは同じ高さ位置となるように構成されている。好ましくは、置台26Bの最上段の収納位置と、ボート26Aの最上段の収納位置とは同じ高さ位置となるように構成されている。また好ましくは、置台26BのウエハW間のピッチと、ボート26のウエハW間のピッチとは同じとなるように構成される。すなわち、好ましくは、置台26Bはボート26Aと同様の形状に構成され、N枚のウエハWを収納可能に構成されている。このような構成により、搬送室6Aから搬送室6BへのウエハWの搬送をスムーズに行うことができる。置台26Bは、置台26Bに支持されるウエハWの中心と、処理炉4B内に載置されるウエハWの中心が、同一直線上となるように設置される。このような構成により、移載機7から置台26BのウエハWと、移載機7から処理炉4B内のウエハWとの水平距離を同じとすることができるため、同一ストロークでウエハWを移載および搬入出することができ、ウエハWの搬送作業を迅速に行うことができる。   As shown in FIG. 2, below the processing furnace 4B (inside the transfer chamber 6B), a table 26B that is a rack for temporarily holding the wafer W and waiting it (temporarily placing the wafer W) is installed. ing. The mounting table 26B is made of, for example, quartz or SiC, and is configured to vertically support N wafers W in a shelf shape. The lowermost storage position of the table 26B and the lowermost storage position of the boat 26A are configured to be at the same height position. Preferably, the uppermost storage position of the table 26B and the uppermost storage position of the boat 26A are arranged at the same height position. Further, preferably, the pitch between the wafers W on the mounting table 26B and the pitch between the wafers W on the boat 26 are configured to be the same. That is, preferably, the mounting table 26B is configured in the same shape as the boat 26A, and is configured to be able to store N wafers W. With such a configuration, the wafer W can be smoothly transferred from the transfer chamber 6A to the transfer chamber 6B. The mounting table 26B is installed such that the center of the wafer W supported by the mounting table 26B and the center of the wafer W mounted in the processing furnace 4B are on the same straight line. With such a configuration, the horizontal distance between the transfer machine 7 and the wafer W on the mounting table 26B and the horizontal distance from the transfer machine 7 to the wafer W in the processing furnace 4B can be the same, so that the wafer W can be transferred with the same stroke. The wafer W can be loaded and unloaded, and the wafer W can be transferred quickly.

搬送室6Bの高さは、搬送室6Aの高さよりも低く形成されている。また、搬送室6Bと処理炉4Bとを合わせた高さは、搬送室6Aの高さ以下に形成されている。言い換えれば、処理炉4Bは搬送室6Aの上方に対応する高さ位置に配置されている。このような構成により、処理モジュール3Bの高さは、処理モジュール3Aの高さよりも低く形成されるため、処理モジュール3B上方にスペースを確保することができ、処理モジュール3B上方にユーティリティを設置することができるため、フットプリントの増加を抑制することができる。   The height of the transfer chamber 6B is lower than that of the transfer chamber 6A. Further, the total height of the transfer chamber 6B and the processing furnace 4B is not more than the height of the transfer chamber 6A. In other words, the processing furnace 4B is arranged at a height position corresponding to above the transfer chamber 6A. With such a configuration, the height of the processing module 3B is formed to be lower than the height of the processing module 3A, so that a space can be secured above the processing module 3B, and a utility should be installed above the processing module 3B. Therefore, the increase in footprint can be suppressed.

処理炉4Bの搬送口331の高さ位置は、搬送室6Aの高さ(天井部の高さ)よりも低い位置に設定されている。言い換えれば、搬送口331の高さは、開口部10Bの高さよりも低くなっている。好ましくは、搬送口331の高さ位置が、ボート26Aの上端よりも上の高さ位置となるように設定されている。より好ましくは、処理炉4Bは、搬送口331の高さ位置が、ボート26A´上方(上部領域)に対応する高さ位置に、言い換えれば、ボート26A´上方に収まるように設置されている。すなわち、搬送口331の高さ位置は、ボート26A´の上端とボート26Aの上端との間の高さ位置となるように形成されている。言い換えれば、搬送口331は、ボート26A´の上端とボート26Aの上端との間に収まるように形成されている。例えば、N=25の時、T1はT2の約1.2〜1.5倍となる。よって、搬送口331は、ボート26A´の上端から下方に0.2T1〜0.5T1の範囲内に収まるように形成されている。   The height position of the transfer port 331 of the processing furnace 4B is set to a position lower than the height of the transfer chamber 6A (the height of the ceiling). In other words, the height of the transfer port 331 is lower than the height of the opening 10B. Preferably, the height position of the transfer port 331 is set to be higher than the upper end of the boat 26A. More preferably, the processing furnace 4B is installed such that the height position of the transfer port 331 is located at a height position corresponding to the upper portion (upper region) of the boat 26A ′, in other words, the upper portion of the boat 26A ′. That is, the height position of the transfer port 331 is formed to be the height position between the upper end of the boat 26A ′ and the upper end of the boat 26A. In other words, the transport port 331 is formed so as to fit between the upper end of the boat 26A ′ and the upper end of the boat 26A. For example, when N = 25, T1 is about 1.2 to 1.5 times T2. Therefore, the transport port 331 is formed so as to fit in the range of 0.2T1 to 0.5T1 downward from the upper end of the boat 26A '.

回転機構30A、ボートエレベータ32A、ガス供給機構34A及び34BのMFC38a〜38hおよびバルブ40a〜40h、APCバルブ50Aには、これらを制御するコントローラ100が接続される。コントローラ100は、例えば、CPUを備えたマイクロプロセッサ(コンピュータ)からなり、処理装置2の動作を制御するよう構成される。コントローラ100には、例えばタッチパネル等として構成された入出力装置102が接続されている。コントローラ100は、処理モジュール3Aと処理モジュール3Bとで夫々に1つずつ設置されても良いし、共通して1つ設置されても良い。   A controller 100 is connected to the rotating mechanism 30A, the boat elevator 32A, the gas supply mechanisms 34A and 34B, the MFCs 38a to 38h, the valves 40a to 40h, and the APC valve 50A. The controller 100 includes, for example, a microprocessor (computer) having a CPU, and is configured to control the operation of the processing device 2. An input / output device 102 configured as a touch panel or the like is connected to the controller 100. One controller 100 may be installed in each of the processing module 3A and the processing module 3B, or one controller 100 may be installed in common.

コントローラ100には記憶媒体としての記憶部104が接続されている。記憶部104には、処理装置10の動作を制御する制御プログラムや、処理条件に応じて処理装置2の各構成部に処理を実行させるためのプログラム(レシピとも言う)が、読み出し可能に格納される。   A storage unit 104 as a storage medium is connected to the controller 100. A control program for controlling the operation of the processing device 10 and a program (also referred to as a recipe) for causing each component of the processing device 2 to perform processing according to processing conditions are stored in the storage unit 104 in a readable manner. It

記憶部104は、コントローラ100に内蔵された記憶装置(ハードディスクやフラッシュメモリ)であってもよいし、可搬性の外部記録装置(磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)であってもよい。また、コンピュータへのプログラムの提供は、インターネットや専用回線等の通信手段を用いて行ってもよい。プログラムは、必要に応じて、入出力装置102からの指示等にて記憶部104から読み出され、読み出されたレシピに従った処理をコントローラ100が実行することで、処理装置2は、コントローラ100の制御のもと、所望の処理を実行する。コントローラ100は、図示しないコントローラボックスに収納される。   The storage unit 104 may be a storage device (hard disk or flash memory) built in the controller 100, or a portable external recording device (magnetic tape, magnetic disk such as flexible disk or hard disk, CD or DVD, etc.). It may be an optical disk, a magneto-optical disk such as MO, or a semiconductor memory such as a USB memory or a memory card. Further, the program may be provided to the computer by using communication means such as the Internet or a dedicated line. The program is read from the storage unit 104 according to an instruction or the like from the input / output device 102 as necessary, and the processing according to the read recipe is executed by the controller 100. A desired process is executed under the control of 100. The controller 100 is housed in a controller box (not shown).

次に、上述の処理装置2を用い、処理炉4A、4Bにおける基板の連続処理について説明する。なお、以下の説明において、処理装置2を構成する各部の動作はコントローラ100により制御される。   Next, the continuous processing of the substrates in the processing furnaces 4A and 4B using the above processing apparatus 2 will be described. It should be noted that in the following description, the operation of each unit constituting the processing device 2 is controlled by the controller 100.

(ステップS11)
ステップS11では、25枚のウエハWを保持できるボート26Aに対してウエハWを搬送する。ゲートバルブ90Aを開き、ボート26Aに対してウエハWを搬送し、複数枚のウエハWがボート26Aに装填(ウエハチャージ)されると、ゲートバルブ90Aが閉じられる。
(Step S11)
In step S11, the wafer W is transferred to the boat 26A that can hold 25 wafers W. When the gate valve 90A is opened, the wafer W is transferred to the boat 26A, and a plurality of wafers W are loaded into the boat 26A (wafer charge), the gate valve 90A is closed.

(ステップS12)
ステップS12では、ボート26Aを処理室14A内に搬入(ボートロード)する。ボート26Aは、ボートエレベータ32Aによって処理室14A内に搬入され、反応管10Aの下部開口は蓋部22Aによって気密に閉塞(シール)された状態となる。
(Step S12)
In step S12, the boat 26A is loaded into the processing chamber 14A (boat loading). The boat 26A is carried into the processing chamber 14A by the boat elevator 32A, and the lower opening of the reaction tube 10A is hermetically closed (sealed) by the lid 22A.

(ステップS13)
ステップS13では、ウエハWに対して所定の基板処理を行う。例えば、ウエハWに対して、原料ガスとしてDCS(SiH2 Cl2 :ジクロロシラン)ガスと、反応ガスとしてO2 (酸素)ガスとを供給することで、ウエハW上にシリコン酸化(SiO2)膜を形成する。
(Step S13)
In step S13, the wafer W is subjected to predetermined substrate processing. For example, by supplying DCS (SiH 2 Cl 2 : dichlorosilane) gas as a source gas and O 2 (oxygen) gas as a reaction gas to the wafer W, silicon oxide (SiO 2 ) is formed on the wafer W. Form a film.

[原料ガス供給工程]
ヒータ12Aの加熱によって処理室14A内の温度が予め設定された処理温度に安定すると、処理室14A内のウエハWに対してDCSガスを供給する。DCSガスは、MFC38aにて所望の流量となるように制御され、ガス供給管36aおよびノズル44aを介して処理室14A内に供給される。
[Raw material gas supply process]
When the temperature in the processing chamber 14A is stabilized at the preset processing temperature by the heating of the heater 12A, DCS gas is supplied to the wafer W in the processing chamber 14A. The DCS gas is controlled by the MFC 38a so as to have a desired flow rate, and is supplied into the processing chamber 14A through the gas supply pipe 36a and the nozzle 44a.

[原料ガス排気工程]
次に、DCSガスの供給を停止し、真空ポンプ52Aにより処理室14A内を真空排気する。この時、不活性ガス供給部から不活性ガスとしてNガスを処理室14A内に供給しても良い(不活性ガスパージ)。
[Raw material gas exhaust process]
Next, the supply of DCS gas is stopped, and the inside of the processing chamber 14A is evacuated by the vacuum pump 52A. At this time, N 2 gas may be supplied as an inert gas from the inert gas supply unit into the processing chamber 14A (inert gas purge).

[反応ガス供給工程]
次に、処理室14A内のウエハWに対してO2ガスを供給する。O2ガスは、MFC38bにて所望の流量となるように制御され、ガス供給管36bおよびノズル44bを介して処理室14A内に供給される。
[Reaction gas supply process]
Next, O 2 gas is supplied to the wafer W in the processing chamber 14A. The O 2 gas is controlled by the MFC 38b so as to have a desired flow rate, and is supplied into the processing chamber 14A through the gas supply pipe 36b and the nozzle 44b.

[反応ガス排気工程]
次に、O2ガスの供給を停止し、真空ポンプ52Aにより処理室14A内を真空排気する。この時、不活性ガス供給部からNガスを処理室14A内に供給しても良い(不活性ガスパージ)。
[Reaction gas exhaust process]
Next, the supply of O 2 gas is stopped, and the inside of the processing chamber 14A is evacuated by the vacuum pump 52A. At this time, N 2 gas may be supplied into the processing chamber 14A from the inert gas supply unit (inert gas purge).

上述した4つの工程を行うサイクルを所定回数(1回以上)行うことにより、ウエハW上に、所定組成および所定膜厚のSiO2膜を形成することができる。By performing the cycle of performing the above-described four steps a predetermined number of times (at least once), a SiO 2 film having a predetermined composition and a predetermined thickness can be formed on the wafer W.

ウエハWにSiO2膜を形成する際の処理条件としては、例えば、下記が例示される。
処理温度(ウエハ温度):300℃〜700℃、
処理圧力(処理室内圧力)1Pa〜4000Pa、
DCSガス:100sccm〜10000sccm、
2ガス:100sccm〜10000sccm、
2ガス:100sccm〜10000sccm、
それぞれの処理条件を、それぞれの範囲内の値に設定することで、成膜処理を適正に進行させることが可能となる。
The processing conditions for forming the SiO 2 film on the wafer W are exemplified below.
Processing temperature (wafer temperature): 300 ° C to 700 ° C,
Processing pressure (processing chamber pressure) 1 Pa to 4000 Pa,
DCS gas: 100 sccm to 10000 sccm,
O 2 gas: 100 sccm to 10000 sccm,
N 2 gas: 100 sccm to 10000 sccm,
By setting the respective processing conditions to values within the respective ranges, it becomes possible to properly advance the film forming process.

(ステップS14)
ステップS14では、ボート26Aを反応管10Aから搬出(ボートアンロード)する。 所定膜厚の膜を形成した後、不活性ガス供給部からNガスが供給され、処理室14A内がNガスに置換されると共に、処理室14Aの圧力が常圧に復帰される。その後、ボートエレベータ32Aにより蓋部22Aが降下されて、ボート26Aが反応管10Aから搬出される。
(Step S14)
In step S14, the boat 26A is unloaded (boat unloading) from the reaction tube 10A. After forming a film having a predetermined film thickness, N 2 gas is supplied from the inert gas supply unit, the inside of the processing chamber 14A is replaced with N 2 gas, and the pressure in the processing chamber 14A is returned to normal pressure. Then, the lid 22A is lowered by the boat elevator 32A, and the boat 26A is carried out of the reaction tube 10A.

(ステップS15)(ステップS21)
ステップS15およびステップS21では、処理済ウエハWをボート26Aより取り出し(ウエハディスチャージ)、置台26Bに装填する。置台26Bは25枚のウエハWを装填可能に構成される。ゲートバルブ90A、90Bを開き、ウエハWをボート20Aから置台26Bへ移載する。複数枚の処理済ウエハWが置台26Bに装填されると、ゲートバルブ90Bが閉じられる。
(Step S15) (Step S21)
In step S15 and step S21, the processed wafer W is taken out from the boat 26A (wafer discharging) and loaded on the mounting table 26B. The table 26B is configured so that 25 wafers W can be loaded. The gate valves 90A and 90B are opened, and the wafer W is transferred from the boat 20A to the mounting table 26B. When a plurality of processed wafers W are loaded on the mounting table 26B, the gate valve 90B is closed.

処理モジュール3Aでは、ステップS15が終了するとステップS11に戻り、次のウエハWの処理を行う。なお、ステップS11の間は、処理モジュール3BにおけるウエハWの搬送は行わず、待機状態とする。すなわち、ステップS11と後述するステップS22は同時には行われない。   In the processing module 3A, when step S15 ends, the process returns to step S11, and the next wafer W is processed. During the step S11, the wafer W is not transferred in the processing module 3B and is in a standby state. That is, step S11 and step S22 described later are not performed at the same time.

(ステップS22)
ステップS22では、置台26Bに装填されたウエハWに対して所定の基板処理を行う。例えば、ヒータ307によりウエハWを加熱することで、ウエハWをアニール処理する。この時、ウエハWに対して不活性ガスとしてNガスを供給しても良い。
(Step S22)
In step S22, a predetermined substrate process is performed on the wafer W loaded on the mounting table 26B. For example, the wafer W is annealed by heating the wafer W with the heater 307. At this time, N 2 gas may be supplied to the wafer W as an inert gas.

置台26Bに保持されたウエハWは、最上段に保持されたウエハWから順に基板処理が行われる。ゲートバルブ335が開かれると、移載機7によりウエハWが処理炉301に搬入される。その後、ゲートバルブ335が閉じられ、処理炉301内のウエハWに対して基板処理が行われる。基板処理が終了すると、ゲートバルブ335が開かれる。ウエハWが処理炉301内から搬出されると、ゲートバルブ335が閉じられる。ウエハWは置台26Bの元の保持位置に載置され、引き続き、下の段のウエハWの処理が実行される。   The wafers W held on the mounting table 26B are subjected to substrate processing in order from the wafer W held at the uppermost stage. When the gate valve 335 is opened, the transfer machine 7 carries the wafer W into the processing furnace 301. After that, the gate valve 335 is closed, and the substrate processing is performed on the wafer W in the processing furnace 301. When the substrate processing is completed, the gate valve 335 is opened. When the wafer W is unloaded from the processing furnace 301, the gate valve 335 is closed. The wafer W is placed at the original holding position of the placing table 26B, and subsequently, the processing of the wafer W in the lower stage is executed.

ウエハWにアニール処理を行う際の処理条件としては、例えば、下記が例示される。
処理温度(ウエハ温度):300℃〜800℃、
処理圧力(処理室内圧力)0.1Pa〜300Pa、
それぞれの処理条件を、それぞれの範囲内の値に設定することで、所望の基板処理を適正に進行させることが可能となる。
The following are examples of processing conditions for performing the annealing process on the wafer W.
Processing temperature (wafer temperature): 300 ° C to 800 ° C,
Processing pressure (processing chamber pressure) 0.1 Pa to 300 Pa,
By setting the respective processing conditions to values within the respective ranges, it becomes possible to properly proceed with desired substrate processing.

ステップS22はステップS12と同じタイミングで行われても良い。また、ステップS22はステップS13と同じタイミングで行われても良い。また、ステップS22はステップS14と同じタイミングで行われても良い。   Step S22 may be performed at the same timing as step S12. Further, step S22 may be performed at the same timing as step S13. Further, step S22 may be performed at the same timing as step S14.

(ステップS23)
ステップS23では、処理済ウエハWを置台26Bより取り出し、ポッド5に収納し、処理装置2外に搬出する。ステップS22はステップS12と同じタイミングで行われても良い。また、ステップS23はステップS13と同じタイミングで行われても良い。また、ステップS22はステップS14と同じタイミングで行われても良い。なお、ステップS23の間は、処理モジュール3AにおけるウエハWの搬送は行われない。すなわち、ステップS23とステップS11は同時には行われない。
(Step S23)
In step S23, the processed wafer W is taken out from the mounting table 26B, stored in the pod 5, and carried out of the processing apparatus 2. Step S22 may be performed at the same timing as step S12. Further, step S23 may be performed at the same timing as step S13. Further, step S22 may be performed at the same timing as step S14. Note that the wafer W is not transferred in the processing module 3A during step S23. That is, step S23 and step S11 are not performed at the same time.

処理モジュール3Bでは、ステップS23が終了するとステップS21に戻り、次のウエハWの処理を行う。   In step S23, the processing module 3B returns to step S21 and processes the next wafer W.

上述のようにして、処理装置2にて順次、処理炉4A、4Bにおける基板の連続処理が実施される。   As described above, the processing apparatus 2 sequentially performs the continuous processing of the substrates in the processing furnaces 4A and 4B.

<本実施形態による効果>
本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
<Effects of this embodiment>
According to this embodiment, one or more of the following effects can be obtained.

(1)縦型処理炉と枚葉処理炉とを混載する構成とすることにより、縦型処理炉と枚葉処理炉とにおける連続処理も含めて様々な運用に対応できる。また、縦型処理炉と枚葉処理炉とで異なる基板処理を実施できるため、生産性を向上させることが可能となる。
(2)基板を仮置きする置台を枚葉処理炉の下方に設置することにより、装置のフットプリントの増加を抑制することができ、デバイスの製造コストを抑えることができる。
(3)枚葉処理炉の搬送口を、移載機の上下駆動可能領域内に設置することにより、枚葉処理炉に基板を搬送するために構成を追加したり、装置構造を改造したりする必要がないため、装置構成を簡略化することができる。
(4)縦型処理炉を少なくとも2種類のボートを使用可能に設定し、枚葉処理炉の搬出口の高さ位置を処理枚数の多い方のボートの上部領域に収まるように設定することにより、装置形態の改造が容易となる。すなわち、移載室や搬送室といったプラットフォームを変更することなく、縦型処理炉と枚葉処理炉の様々な組み合わせを実現でき、デバイスの製造工程において適応可能なプロセスを大幅に拡大させることができる。
(1) By adopting a configuration in which the vertical processing furnace and the single-wafer processing furnace are mounted together, various operations including continuous processing in the vertical processing furnace and the single-wafer processing furnace can be supported. Further, since different substrate processing can be performed in the vertical processing furnace and the single-wafer processing furnace, the productivity can be improved.
(2) By installing the mounting table on which the substrate is temporarily placed below the single-wafer processing furnace, it is possible to suppress an increase in the footprint of the apparatus and reduce the manufacturing cost of the device.
(3) By installing the transfer port of the single-wafer processing furnace in the up and down drivable area of the transfer machine, it is possible to add a configuration for transferring the substrate to the single-wafer processing furnace or modify the device structure. Since it is not necessary to do so, the device configuration can be simplified.
(4) By setting the vertical processing furnace so that at least two types of boats can be used, and the height position of the carry-out port of the single-wafer processing furnace is set so as to fit within the upper region of the boat with the larger number of processed sheets. It is easy to modify the device form. That is, it is possible to realize various combinations of the vertical processing furnace and the single-wafer processing furnace without changing the platform such as the transfer room and the transfer room, and it is possible to greatly expand the applicable processes in the device manufacturing process. .

(変形例)
本実施形態は上述の態様に限定されず、以下に示す変形例のように変更することができる。
(Modification)
The present embodiment is not limited to the above-mentioned aspect, and can be modified as in the following modified examples.

(変形例1)
ボート26A´を用いる際(例えば、ウエハWを50枚保持)、ステップS15およびステップS21では、処理済ウエハWをボート26Aより取り出し、置台26Bに25枚のウエハWを装填し、ポッド6に25枚のウエハWを仮置きする。このような構成により、ウエハWの処理枚数を増やすことができ、生産性を向上させることができる。
(Modification 1)
When using the boat 26A ′ (for example, holding 50 wafers W), in step S15 and step S21, the processed wafers W are taken out from the boat 26A, 25 wafers W are loaded on the stand 26B, and 25 wafers are loaded on the pod 6. A wafer W is temporarily placed. With such a configuration, the number of processed wafers W can be increased, and productivity can be improved.

(変形例2)
ボート26A´を用いる際(例えば、ウエハWを50枚保持)、搬送室6Bに2台の置台26Bを設置する。例えば、2台の置台26Bは、正面視において、処理炉4B内に載置されるウエハWの中心線を対称として、左右に1台ずつ設置される。このような構成により、装置のフットプリントの増加を抑制しつつ、ウエハWの処理枚数を増やすことができる。
(Modification 2)
When using the boat 26A '(for example, holding 50 wafers W), two mounting tables 26B are installed in the transfer chamber 6B. For example, when viewed from the front, the two mounting tables 26B are installed one on each side, with the center line of the wafer W mounted in the processing furnace 4B being symmetrical. With such a configuration, it is possible to increase the number of processed wafers W while suppressing an increase in the footprint of the apparatus.

W・・・ウエハ
4A、4B・・・処理炉
26A・・・ボート
26B・・・置台
W ... Wafers 4A, 4B ... Processing furnace 26A ... Boat 26B ... Stand

Claims (13)

基板保持具に保持されたN(N≧2)枚の基板を処理する第1の処理室と、
前記第1の処理室の下方に配置され、前記基板保持具を前記第1の処理室に搬送する第1の搬送室と、
前記第1の搬送室の上部領域に対応する高さ位置に配置され、前記基板を一枚ずつ処理する第2の処理室と、
前記第2の処理室の下方に配置され、前記第2の処理室で処理される前記基板を一時的に複数枚保持する置台が設置される第2の搬送室と、
前記第1の搬送室および前記第2の搬送室に隣接し、前記基板を移載する移載機が設置される移載室と、を備える基板処理装置。
A first processing chamber for processing N (N ≧ 2) substrates held by the substrate holder;
A first transfer chamber that is disposed below the first processing chamber and transfers the substrate holder to the first processing chamber;
A second processing chamber arranged at a height position corresponding to an upper region of the first transfer chamber and processing the substrates one by one;
A second transfer chamber disposed below the second processing chamber, in which a mounting table for temporarily holding a plurality of the substrates processed in the second processing chamber is installed;
A substrate processing apparatus comprising: a transfer chamber adjacent to the first transfer chamber and the second transfer chamber, in which a transfer machine for transferring the substrate is installed.
前記第2の処理室は、前記第1の搬送室に隣接して配置される請求項1に記載の基板処理装置。 The substrate processing apparatus according to claim 1, wherein the second processing chamber is arranged adjacent to the first transfer chamber. 前記第1の処理室は、前記第1の処理室の下方から前記基板保持具を搬入出する第1の開口部を有し、
前記第2の処理室は、前記第2の処理室の前記移載室に対面する側方から前記基板を搬入出する第2の開口部を有し、
前記第1の開口部よりも、前記第2の開口部の方が低い位置に形成される請求項2に記載の基板処理装置。
The first processing chamber has a first opening for loading and unloading the substrate holder from below the first processing chamber,
The second processing chamber has a second opening for loading and unloading the substrate from a side of the second processing chamber facing the transfer chamber,
The substrate processing apparatus according to claim 2, wherein the second opening is formed at a position lower than the first opening.
前記第2の開口部は、前記第1の開口部と前記基板保持具の上端部との間に収まるように配置される請求項3に記載の基板処理装置。   The substrate processing apparatus according to claim 3, wherein the second opening is arranged so as to fit between the first opening and an upper end of the substrate holder. 前記第2の開口部は、2N枚の基板を保持するよう構成された基板保持具を前記第1の搬送室に設置した際の、2N枚の基板を保持する前記基板保持具の上方に対応する高さ位置に配置される請求項4に記載の基板処理装置。   The second opening corresponds to above the substrate holder that holds 2N substrates when the substrate holder that is configured to hold 2N substrates is installed in the first transfer chamber. The substrate processing apparatus according to claim 4, wherein the substrate processing apparatus is arranged at a height position where 前記置台に載置される前記基板の中心位置と、前記第2の処理室内に載置される前記基板の中心位置とは、同一直線上である請求項5に記載の基板処理装置。   The substrate processing apparatus according to claim 5, wherein a central position of the substrate mounted on the mounting table and a central position of the substrate mounted in the second processing chamber are on the same straight line. 前記置台は、前記基板保持具の2倍の基板を保持可能に構成され、
前記移載機は前記第1の処理室で前記基板を処理した後、前記基板保持具から前記置台へ前記基板を移載するよう構成される請求項1乃至6に記載の基板処理装置。
The table is configured to hold twice as many substrates as the substrate holder,
The substrate processing apparatus according to claim 1 , wherein the transfer machine is configured to transfer the substrate from the substrate holder to the mounting table after processing the substrate in the first processing chamber.
前記第1の処理室への前記基板保持具の搬入と、前記第2の処理室での基板処理とを並行して行う請求項7に記載の基板処理装置。   The substrate processing apparatus according to claim 7, wherein the loading of the substrate holder into the first processing chamber and the substrate processing in the second processing chamber are performed in parallel. 前記第1の処理室での基板処理と、前記第2の処理室での基板処理とを並行して行う請求項8に記載の基板処理装置。   The substrate processing apparatus according to claim 8, wherein the substrate processing in the first processing chamber and the substrate processing in the second processing chamber are performed in parallel. 基板保持具に保持された複数枚の基板を第1の処理室内で処理する工程と、
前記基板保持具を前記第1の処理室の下方に配置された第1の搬送室に搬出する工程と、
前記基板保持具から第2の搬送室内の置台へ複数枚の前記基板を移載する工程と、
前記第2の搬送室の上方において前記第1の搬送室の上部領域に対応する高さ位置に配置された第2の処理室内で前記基板を一枚ずつ処理する工程と、
を有する半導体装置の製造方法。
Processing a plurality of substrates held by the substrate holder in a first processing chamber;
Carrying out the substrate holder to a first transfer chamber arranged below the first processing chamber;
Transferring a plurality of the substrates from the substrate holder to a table in the second transfer chamber,
A step of processing one by one the substrate in the second processing chamber which is disposed at a height position corresponding to the upper region of Oite the first transfer chamber above the second transfer chamber,
And a method for manufacturing a semiconductor device having the same.
前記基板保持具から第2の搬送室内の置台へ複数枚の前記基板を移載する工程の後であって、前記第2の処理室内で前記基板を一枚ずつ処理する工程の前に、前記第1の処理室内で処理する新たな複数枚の基板を前記基板保持具に移載する工程をさらに有する請求項10に記載の半導体装置の製造方法。   After the step of transferring the plurality of substrates from the substrate holder to the table in the second transfer chamber, and before the step of processing the substrates one by one in the second processing chamber, 11. The method of manufacturing a semiconductor device according to claim 10, further comprising a step of transferring a plurality of new substrates to be processed in the first processing chamber onto the substrate holder. 新たな複数枚の前記基板を保持した前記基板保持具を前記第1の処理室内へ搬入する工程をさらに有し、
前記第1の処理室内へ搬入する工程と、前記基板を一枚ずつ処理する工程とを並行して行う請求項11に記載の半導体装置の製造方法。
The method further comprises a step of loading the substrate holder holding a plurality of new substrates into the first processing chamber,
The method of manufacturing a semiconductor device according to claim 11, wherein the step of loading into the first processing chamber and the step of processing the substrates one by one are performed in parallel.
複数の処理室と複数の搬送室とを備える基板処理装置で実行されるプログラムであって、
基板保持具に保持された複数枚の基板を第1の処理室内で処理する手順と、
前記基板保持具を前記第1の処理室の下方に配置された第1の搬送室に搬出する手順と、
前記基板保持具から第2の搬送室内の置台へ複数枚の前記基板を移載する手順と、
前記第2の搬送室の上方において前記第1の搬送室の上部領域に対応する高さ位置に配置された第2の処理室内で前記基板を一枚ずつ処理する手順と、
をコンピュータにより前記基板処理装置に実行させるプログラム。
A program executed by a substrate processing apparatus including a plurality of processing chambers and a plurality of transfer chambers,
A step of processing a plurality of substrates held by the substrate holder in the first processing chamber;
A procedure of unloading the substrate holder to a first transfer chamber arranged below the first processing chamber,
A procedure of transferring a plurality of the substrates from the substrate holder to a table in the second transfer chamber,
A step of processing one by one the substrate in the second processing chamber which is disposed at a height position corresponding to the upper region of Oite the first transfer chamber above the second transfer chamber,
A program for causing the substrate processing apparatus to execute the above.
JP2018540537A 2016-09-21 2016-09-21 Substrate processing apparatus, semiconductor device manufacturing method, and program Active JP6680895B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2016/077856 WO2018055699A1 (en) 2016-09-21 2016-09-21 Substrate processing device, method for manufacturing semiconductor device, and program

Publications (2)

Publication Number Publication Date
JPWO2018055699A1 JPWO2018055699A1 (en) 2019-09-05
JP6680895B2 true JP6680895B2 (en) 2020-04-15

Family

ID=61690909

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018540537A Active JP6680895B2 (en) 2016-09-21 2016-09-21 Substrate processing apparatus, semiconductor device manufacturing method, and program

Country Status (3)

Country Link
JP (1) JP6680895B2 (en)
KR (1) KR102141748B1 (en)
WO (1) WO2018055699A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7375069B2 (en) 2022-03-07 2023-11-07 株式会社Kokusai Electric Substrate processing equipment, semiconductor device manufacturing method and program

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3654597B2 (en) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
JP3118681B2 (en) * 1993-10-29 2000-12-18 東京エレクトロン株式会社 Processing device and processing method
US5565034A (en) 1993-10-29 1996-10-15 Tokyo Electron Limited Apparatus for processing substrates having a film formed on a surface of the substrate
JP2000114187A (en) 1998-10-06 2000-04-21 Kokusai Electric Co Ltd Semiconductor manufacturing apparatus
JP4397646B2 (en) * 2003-07-30 2010-01-13 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP5041667B2 (en) * 2005-03-18 2012-10-03 大日本スクリーン製造株式会社 Substrate processing equipment

Also Published As

Publication number Publication date
WO2018055699A1 (en) 2018-03-29
KR20180063310A (en) 2018-06-11
KR102141748B1 (en) 2020-08-05
JPWO2018055699A1 (en) 2019-09-05

Similar Documents

Publication Publication Date Title
US10703565B2 (en) Substrate processing apparatus, substrate container transport system and operation mechanism
KR20220078725A (en) Substrate processing device, method for manufacturing semiconductor device, and recording medium
JP6688850B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
KR20170077013A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TWI761758B (en) Manufacturing method of semiconductor device, substrate processing apparatus, and recording medium
KR20200112703A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and substrate processing program
US11404291B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2021129118A (en) Substrate processing device, manufacturing method of semiconductor device, program and recording medium
JP6680895B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
JP6363929B2 (en) Processing apparatus and processing method
JP6795675B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
JP6994060B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
JP4880408B2 (en) Substrate processing apparatus, substrate processing method, semiconductor device manufacturing method, main controller, and program
JP6992156B2 (en) Manufacturing method of processing equipment, exhaust system, semiconductor equipment
JP2008172061A (en) Temperature controlling system, substrate processor, and manufacturing method of semiconductor device
JP6823575B2 (en) Manufacturing method for substrate processing equipment, reaction tubes and semiconductor equipment
JP2019125805A (en) Substrate processing device, method for manufacturing semiconductor device, and storage medium
JP6906559B2 (en) Substrate processing equipment, semiconductor equipment manufacturing methods and programs
JP2014130895A (en) Substrate processing apparatus, substrate transfer method and semiconductor device manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200304

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200319

R150 Certificate of patent or registration of utility model

Ref document number: 6680895

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250