JP6562629B2 - パルスプラズマ暴露を伴うプラズマ原子層堆積 - Google Patents

パルスプラズマ暴露を伴うプラズマ原子層堆積 Download PDF

Info

Publication number
JP6562629B2
JP6562629B2 JP2014262248A JP2014262248A JP6562629B2 JP 6562629 B2 JP6562629 B2 JP 6562629B2 JP 2014262248 A JP2014262248 A JP 2014262248A JP 2014262248 A JP2014262248 A JP 2014262248A JP 6562629 B2 JP6562629 B2 JP 6562629B2
Authority
JP
Japan
Prior art keywords
plasma
reactant
film
pulsed
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014262248A
Other languages
English (en)
Other versions
JP2015144268A5 (ja
JP2015144268A (ja
Inventor
ジェームズ・エス.・シムズ
ジョン・ヘンリー
キャスリン・エム.・ケルフナー
サティシュ・バブ・エス.・ブイ.・ジャンジャム
シェーン・タン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/144,107 external-priority patent/US9076646B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2015144268A publication Critical patent/JP2015144268A/ja
Publication of JP2015144268A5 publication Critical patent/JP2015144268A5/ja
Application granted granted Critical
Publication of JP6562629B2 publication Critical patent/JP6562629B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

[関連出願の相互参照]
本出願は、2013年12月30日に出願された、発明の名称を「PLASMA ENHANCED ATOMIC LAYER DEPOSITION WITH PULSED PLASMA EXPOSURE(パルスプラズマ暴露を伴うプラズマ原子層堆積)」とする米国特許出願第14/144,107号に基づく優先権を主張する。
集積回路の製造は、数多くの多様な処理工程を含む。頻繁に利用される動作の1つは、シリコン基板上又はシリコン基板内にパターン形成された特徴間のギャップに誘電体膜を堆積させることである。膜を堆積させるこのような方法の1つは、プラズマ原子層堆積(PEALD)を通じて行われる。このタイプの方法では、共形(コンフォーマル)膜を堆積させるために、幾つかの動作が周期的になされる。通常、PEALDプロセスは、(a)第1の反応物を反応チャンバに投入する工程と、(b)反応チャンバをパージする工程と、(c)第2の反応物を流し込む工程と、(d)反応チャンバの中で連続波プラズマを引き起こす工程と、(e)プラズマを消滅させ、反応チャンバをパージする工程とを含む。基板表面上への前駆体の供給/吸着の性質ゆえに、PEALDプロセスの1回のサイクルは、その結果として材料の単層を堆積させる。これらの動作は、更なる単層を堆積させて、所望の膜厚に到達させるために、何度かにわたって繰り返されてよい。
本明細書における幾つかの実施形態は、半導体上又はその他の基板上に共形膜を堆積させる技術に関する。本明細書における実施形態の一態様では、半導体基板表面上のギャップを満たすための方法が提供される。この方法は、(a)その中に基板を有する反応チャンバに気相の第1の反応物を導入し、第1の反応物を基板表面上に吸着させ、(b)第1の反応物の流れが停止した後に、反応チャンバをパージし、(c)第1の反応物が基板表面上に吸着される間に、気相の第2の反応物を反応チャンバに導入し、(d)基板表面上における第1の反応物と第2の反応物との間の表面反応を促して、ギャップを縁取る膜層を形成させるために、パルスプラズマであるプラズマに基板表面を暴露し、(e)プラズマを消滅させ、(f)反応チャンバをパージすることを含む。
一部の実施形態では、基板表面をプラズマに暴露する最中におけるプラズマパルスの周波数は、約25Hzから約5000Hzの間である。プラズマパルスの周波数は、約100Hzから約500Hzの間であってもよい。場合によっては、プラズマパルスの周波数は、少なくとも約25Hzであり、例えば、少なくとも約50Hz、又は少なくとも約100Hz、又は少なくとも約1000Hzである。開示される方法にしたがって、様々な異なるタイプの膜が堆積されてよい。一部の実装形態では、堆積される膜は、シリコン窒化物膜又はシリコン炭窒化物膜である。膜は、場合によっては、酸化物膜であってよい。
場合によっては、動作(c)及び動作(d)は、少なくとも部分的に、同時に発生する。様々なタイプのプラズマが使用されてよい。一部の実施形態では、プラズマは、容量結合プラズマ又は誘導結合プラズマであってよい。プラズマは、RFプラズマ発生器を使用して生成されてよい。一部の実装形態では、プラズマ電力は、約50W/ステーションから約2500W/ステーションの間であってよい。
開示される方法は、均一に高品質の膜の堆積を実現する。一部の実施形態では、ギャップの側壁の中間部分におけるウェットエッチング速度(WEm)と、ギャップの頂部におけるウェットエッチング速度(WEt)及び/又はギャップの底部におけるウェットエッチング速度(WEb)との比が、約0.25から約3の間である。ギャップ内の中間部分に形成される膜は、垂直方向に測定されたときに、約1Å/分から約25Å/分の間のウェットエッチング速度を示すだろう。ギャップの側壁における平均の炭素:シリコン比は、少なくとも約0.4であってよく、例えば、少なくとも約0.5又は約0.6であってよい。この比較的高い炭素:シリコン比は、側壁で見られる比較的低いウェットエッチング速度に寄与する。様々な実施形態において、膜の組成は、膜全体を通じて実質的に均一である。
一部の実施形態では、方法は、更に、動作(a)から動作(f)までを繰り返すことを含む。場合によっては、動作(d)の一度目の繰り返しが、動作(d)の二度目の繰り返しとは異なるパルス周波数で実施される。或いは又は加えて、動作(d)の一度目の繰り返しが、動作(d)の二度目の繰り返しとは異なるデューティサイクルで実施されてよい。更には、動作(d)の一度目の繰り返しが、動作(d)の二度目の繰り返しとは異なるRF電力で実施されてよい。
開示される実施形態では、パルスプラズマが使用される。場合によっては、プラズマは、オン状態とオフ状態との間でパルス化される。その他の場合では、プラズマは、少なくとも第1の電力状態と第2の電力状態との間でパルス化されてよく、第1の電力状態の最中に供給される電力は、第2の電力状態の最中に供給される電力とは異なる。
開示される実施形態の更なる一態様では、基板上に膜を堆積させるための装置が提供される。該装置は、反応チャンバと、気相の反応物を反応チャンバに供給するための入口と、パルスプラズマを反応チャンバに提供するためのプラズマ発生器と、コントローラとを含み、該コントローラは、(a)気相の第1の反応物を反応チャンバに導入するための命令と、(b)気相の第2の反応物を反応チャンバに導入するための命令と、(c)基板表面上における第1の反応物と第2の反応物との間の表面反応を促して、膜を形成させるために、第1の反応物の気相の流れが停止したときにパルスプラズマを定期的に打ち出して、基板表面を該パルスプラズマに暴露するための命令とを有する。
一部の実施形態では、コントローラは、動作(c)の最中に約25Hzから約5000Hzの間の周波数でプラズマをパルス化するための命令を有する。コントローラは、動作(b)及び動作(c)を少なくとも部分的に同時に実施するための命令を有していてよい。コントローラは、また、動作(c)を約5%から約95%の間のプラズマデューティサイクルで実施するための命令も有していてよい。場合によっては、コントローラは、動作(a)から動作(c)までを繰り返すための命令を有してよく、このときに、コントローラは、動作(c)の一度目の繰り返しを、動作(c)の二度目の繰り返しとは異なるパルス周波数、及び/又はデューティサイクル、及び/又はRF電力で実施するための命令も有する。
関係する図面を参照にして、以下で、これらの及びその他の特徴が説明される。
溝内の様々な位置に堆積された膜中に存在する種の原子濃度を示すグラフである。 溝内の様々な位置に堆積された膜中に存在する種の原子濃度を示すグラフである。 溝内の様々な位置に堆積された膜中に存在する種の原子濃度を示すグラフである。 溝内の様々な位置に堆積された膜中に存在する種の原子濃度を示すグラフである。
プラズマパルスサイクルの最中に、様々なプラズマ特性が時間とともにどのように変化するかを示す様々なグラフである。
開示される特定の実施形態にしたがってプラズマ原子層堆積方法を通じて基板上に膜を堆積させるための方法を示すフローチャートである。 開示される特定の実施形態にしたがってプラズマ原子層堆積方法を通じて基板上に膜を堆積させるための方法を示すフローチャートである。
開示される特定の実施形態にしたがった、基板上に膜を堆積させるための装置を示す概略図である。
開示される特定の実施形態にしたがった、基板上に膜を堆積させるためのマルチツール半導体処理装置を示す図である。
その上に従来の膜を堆積された溝の、堆積されたままの状態を示す断面図である。 その上に従来の膜を堆積された溝の、エッチング動作後の状態を示す断面図である。
図5A及び図5Bに示された従来の膜の様々な部分におけるエッチング速度を示す表である。
開示される方法にしたがってその上に新規の膜を堆積された溝の、堆積されたままの状態を示す断面図である。 開示される方法にしたがってその上に新規の膜を堆積された溝の、エッチング動作後の状態を示す断面図である。
図6A及び図6Bに示された新規の膜の様々な部分におけるエッチング速度を示す表である。
連続波プラズマによって堆積された膜中の炭素:シリコン比を色で示す面密度地図である。 パルスプラズマによって堆積された膜中の炭素:シリコン比を色で示す面密度地図である。
本出願では、「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、及び「部分的に製作された集積回路」という用語が、区別なく使用される。当業者にならば、「部分的に製作された集積回路」という用語が、集積回路製作における数多くの段階のうちの任意の段階にあるシリコンウエハを指しえることが理解される。半導体デバイス産業において使用されるウエハ又は基板は、一般に、200mm、300mm、又は450mmの直径を有する。以下の詳細な説明は、本発明が、ウエハ上で実行に移されることを想定している。しかしながら、本発明は、この限りではない。被加工物は、様々な形状、サイズ、及び材料であってよい。本発明の利点を活用しえるその他の被加工物には、半導体ウエハに加えて、プリント回路基板などの様々な物品がある。
以下の詳細な説明では、提示される実施形態の完全な理解を与えるために、数々の具体的詳細が述べられる。開示される実施形態は、これらの具体的詳細の一部又は全部を伴わずとも実施されえる。また、開示される実施形態を不必要に不明瞭にしないように、周知のプロセス動作の詳細な説明は省かれている。開示される実施形態は、具体的な実施形態との関連のもとで説明されるが、これは、開示される実施形態を制限することを意図していないことが理解される。
半導体デバイスの製造は、通常は、集積製作プロセスにおいて非平坦な基板上に1枚以上の薄い膜を堆積させることを伴う。集積プロセスの幾つかの態様では、基板の表面形状に適合する薄い膜を堆積させることが有用だろう。例えば、低濃度でドープされたソース領域及びドレイン領域を後続のイオン注入プロセスから保護するためのスペーサ層として機能させるために、隆起したゲートスタック上にシリコン窒化物膜が堆積されてよい。
スペーサ層を堆積させるプロセスでは、非平坦な基板上にシリコン窒化物膜を形成するために、化学気相成長(CVD)プロセスが使用されてよく、形成されたシリコン窒化物膜は、次いで、スペーサ構造を形成するために、異方エッチングを施される。しかしながら、ゲートスタック間の距離が短くなるにつれて、CVD気相反応の質量移送の限界ゆえに、「ブレッドローフィング」成膜効果が生じる恐れがある。このような効果は、通常、ゲートスタックの上面に厚い膜を堆積させるとともに、ゲートスタックの底部の隅に薄い膜を堆積させる。更に、ダイによっては、領域ごとにデバイス密度が異なるかもしれず、したがって、ウエハ表面における質量輸送効果によって、ダイ内及びウエハ内において膜厚のばらつきが生じる恐れがある。このような膜厚のばらつきは、エッチングが過剰な領域と、エッチングが不十分な領域とを生じる恐れがある。これは、デバイス性能及び/又はダイ歩留まりの低下を招く恐れがある。CVDプロセスに関連して生じる更なる問題は、高アスペクト比の特徴内に共形膜を形成することが、多くの場合は不可能であることである。或る種の状況では、特徴は、基板の表面内に陥凹したギャップであり、例えば、基板上に堆積された1枚以上の膜内のギャップである。特徴のアスペクト比は、特徴の深さ対幅の比として定義される。デバイス寸法が縮小を続けるにつれて、アスペクト比は増加し、CVDプロセスは、そのような特徴を適切に満たすことができなくなる。
これらの問題に対処するための手法の幾つかは、原子層堆積(ALD)を伴う。膜を堆積させるために、熱的に活性化された気相反応が使用されるCVDプロセスとは対照的に、ALDプロセスは、層ごとに膜を堆積させるために、表面介在性の成膜反応を使用する。ALDプロセスの一例では、表面活性部位が集まっている基板表面が、第1の膜前駆体(P1)の気相分布に暴露される。P1の化学吸着種及びP1の物理吸着分子などのP1の分子の一部は、基板表面の上に凝縮相を形成させえる。リアクタは、次いで、気相と物理吸着P1とを除去して化学吸着種のみを残留させるために、排気される。次いで、第2の前駆体(P2)の分子の一部が基板表面に吸着するように、リアクタにP2が導入される。リアクタは、今度は非結合P2を除去するために、再び排気されてよい。続いて、基板に提供される熱エネルギが、吸着分子P1とP2との間における表面反応を活性化させて、膜層を形成する。最後に、リアクタは、反応副生成物と、場合によっては未反応のP1及びP2とを除去するために排気されて、ALDサイクルを終了させる。膜の厚みを増すために、更なるALDサイクルが含められてもよい。
前駆体投入工程の暴露時間及び前駆体の付着係数に応じ、各ALDサイクルは、一例では約0.5Åから約3Åの間の厚さの膜層を堆積させるだろう。
平坦な基板上には、共形膜も堆積されてよい。例えば、交互の膜タイプを有する平坦なスタックから、リソグラフィパターニング用途のための反射防止層が形成されてよい。このような反射防止層は、おおよそ100Åから1000Åの厚さであってよく、これは、低速のALDプロセスを高速のCVDプロセスよりも非魅力的にしている。しかしながら、このような反射防止層は、ウエハ内における厚さのばらつきに対する耐性も、多くのCVDプロセスによって提供されえるよりも低いだろう。例えば、厚さが600Åの反射防止層が耐えられる厚さ範囲は、3Å未満だろう。
一部の実装形態では、共形膜堆積(CFD)プロセスが利用されてよい。CFDを使用して膜を形成するための方法は、あらゆる目的のために本明細書に組み込まれる2011年4月11日に出願された整理番号第13/084,399号の米国特許出願に記載されている。ALDプロセスと同様に、CFDプロセスは、層ごとに膜を堆積させるために、表面介在性の成膜反応を使用する。総じて、CFDプロセスは、膜形成反応前に1種以上の反応物を完全にパージすることに頼らない。例えば、プラズマ(又はその他の活性化エネルギ)を打ち出すときに、1種以上の反応物が気相内に存在していてよい。したがって、代表的なCFDプロセスでは、ALDプロセスで説明されたプロセス工程の1つ以上が短縮又は排除されてよい。更に、一部の実施形態では、プラズマによる成膜反応の活性化は、反応が熱的に活性化される場合よりも成膜温度が低く、これは、統合プロセスの熱収支を低減させる可能性がある。本明細書における実施形態は、プラズマ助長ALDプロセス及びCFDプロセスの両方を含む。
従来のPEALDプロセスは、結果として、厚さが実質的に均一な共形膜を堆積させる。しかしながら、これらの膜は、厚さは均一ではあるが、品質は均一ではない。多くの場合、陥凹した特徴の側壁上に堆積される膜は、特徴の頂部の近く又は特徴の底部に堆積される膜よりも低品質である。この品質の低さは、特徴の頂部の近く又は特徴の底部における膜と比べて特徴の側壁にある膜の酸化及びウェットエッチング速度が増加することから明らかである。
図1A〜図1Dは、従来のPEALD方法にしたがった、基板上の溝内に堆積される膜の組成に関するオージェ分析データを示している。これらの各図において、x軸は、基板上の様々な場所に関する。「頂部」は、陥凹した特徴の頂部近くのフィールド領域内の位置を言い、「側部1/2」は、側壁を半ばまで上がったところの位置を言い、「側部1/4」は、側壁を4分の1まで上がった(特徴の頂部よりも底部に近い)ところの位置を言う。y軸は、関心を持たれている元素(図1Aにおけるシリコン、図1Bにおける窒素、図1Cにおける炭素、及び図1Dにおける酸素)の原子濃度に関する。
とりわけ興味深いのは、図1Dである。図1Dは、膜内の酸素の濃度が、フィールド領域内でよりも側壁場所でのほうがずっと高いことを示している。膜は、堆積後に反応チャンバから取り出され、多くの場合、そこで大気条件に曝される。密度が低い膜、及びその他の低品質の膜は、高密度で高品質の膜よりも多量の水分及びその他の大気汚染物質を吸収する。したがって、膜に組み込まれる酸素の量が、膜の品質に対応している。図1Dでは、側壁に組み込まれた酸素(約23%から約27%の酸素)が、フィールド領域に組み込まれた酸素(約4%の酸素)よりも大幅に多かったことが明らかである。これは、膜の品質が、フィールド領域でよりも側壁上でのほうがずっと劣っていたことを示している。
図1Aから図1Dには、「RBS」値への言及も含まれる。これらは、オージェ分析を検証するために実施されるラザフォード後方散乱データに対応している。RBSデータは、特徴の頂部にある膜のみに関する。
様々な膜/特徴場所におけるシリコン炭窒化物膜のウェットエッチング速度に関する更なるデータが、以下の実験の項で提供される。簡潔にまとめると、従来の方式で堆積された膜の場合は、100:1の水:HFにおけるウェットエッチング速度が、特徴の頂部でよりも特徴の側壁でずっと高く、これは、特徴の底部では更に高かった。したがって、従来のPEALD方法は、均一な厚さを実現する一方で、堆積させる膜の品質又は組成の膜は均一ではないことが明らかである。
従来のPEALD方法が、プラズマ暴露/変換工程中に連続波プラズマを利用するのに対し、本明細書における実施形態は、この変換動作中にプラズマがパルス化される(例えば、RF電力が何度もオンオフ切り替えされる又はそれ以外のやり方で調整される)方法に関する。従来のPEALD方法も、「パルスプラズマ」方法として説明されることがあるが、これらの従来のパルスと、現実施形態のパルスとの間には、明確な線引きがなされるべきである。具体的には、従来のPEALD方法は、プラズマ暴露/変換工程中に1つのパルス(プラズマのオン及びプラズマのオフ)を使用する。PEALDプロセスは、周期的であり、プラズマ暴露工程は、成膜プロセス中に幾度かにわたって繰り返されるので、人によっては、これらの従来のプロセスをパルスプラズマプロセスと呼ぶかもしれない。しかしながら、これらの従来の方法は、現説明との関わりのもとでは、「パルスプラズマ」を使用しているとは見なされない。パルスプラズマと見なされるためには、プラズマは、1回のプラズマ暴露/変換動作中に複数回にわたってオンオフ切り替えされる又はそれ以外のやり方で調整される必要がある。例えば、プラズマの高周波数RF電力が、オン状態とオフ状態との間で切り替えられてよい。別の例では、RF電力は、パルス中にオフ切り替えされるのではなく、低減されてよい。例えば、高周波数RF電力パルスは、パルスがオンのときは高周波数RF電力の100%であってよく、パルスがオフのときは高周波数RF電力の50%Rであってよい。加えて又は或いは、プラズマの高周波数RF電力は、オンオフ切り替えされる代わりに一定の期間にわたって増加されて、次いで、一定の期間にわたって低減されてよい。プラズマパルスは、総じて非常に短く、パルス周波数がおおよそ約100Hzから約500Hzであるが、この範囲外の値が使用されてもよい。開示される方法にしたがって作成される膜は、側壁において、従来の方法で堆積される膜と比べてずっと高い品質を示す。側壁における、この膜品質の向上は、堆積された膜が全体としていっそう均一な品質を有することを意味する。
理論又は作用メカニズムに縛られることは望まないが、従来のPEALD方法では、イオンの指向性ゆえに、特徴の側壁と特徴のその他の領域との間に膜品質の差が生じると考えられる。基板がプラズマに暴露されるときは、プラズマ内に存在するイオンが実質的に直線状に移動して、基板の表面にぶつかる。これらのイオンは、(1種以上の)前駆体材料を変換させるエネルギを提供しえる。特徴は陥凹しており、イオンは実質的に直線状に移動するので、基板に衝突するイオンの密度は、特徴の側壁と比べて特徴のフィールド領域の近く及び底部でずっと高くなる。これは、特徴の頂部の近く及び特徴の底部の膜を選択的に高密度にし、側壁上の膜をあまり高密度でない状態で残すだろう。更に、側壁にイオンがぶつかることによって供給されるエネルギも、やはり陥凹した特徴の幾何学形状ゆえに、特徴のその他の部分にイオンがぶつかることによって供給されるエネルギとは異なる。これは、側壁においてその他の領域とは異なる反応が生じる事態をもたらす恐れがある。パルスプラズマの使用は、イオンの指向性を抑制し、その結果、基板上のイオン衝突密度/エネルギを更に均一にするとともに、膜品質を更に均一にするだろう。
やはり理論又は作用メカニズムに縛られることは望まないが、場所による膜品質の差は、プラズマ内のイオン対ラジカル比が原因でも生じると考えられる。プラズマをパルス化すると、異なる量、比、及びタイプのイオン及び中性子が実現されえる。これは、いっそう均一な品質の膜を形成するのに役立つだろう。
プラズマがパルス化されるときは、幾つかの異なる処理レジームが実現されえる。これらのレジームは、図1Eを参照にして、以下で説明される。図1Eは、一部の実装形態において、プラズマパルスサイクル中に、様々な処理特性及びプラズマ特性が時間とともにどのように変化するかを示している。1つのプラズマパルスサイクルは、プラズマ電力を1回オンオフ切り替えする(又はオフオン切り替えする)ことを含む。RFプラズマを引き起こすために使用される電力が、図1Eに示されている。プラズマ電力は、オン期間中は活発的に印加されるが、オフ期間中は印加されない。オン期間及びオフ期間は、更に、オン切り替えレジーム、定常状態レジーム、オフ切り替えレジーム、及びグロー後終期レジームを含む特定の処理レジームに分けられる。プラズマが現時点で経ているプラズマサイクル処理レジームがいずれであるかに基づいて、電子エネルギ、電子密度、陽イオン束、陰イオン束、及びプラズマ電位が変化する。
オン切り替えサイクルは、プラズマ電力の印加を受けて開始する。この期間は、一部の実施形態では、おおよそ約10μsから約500μsにわたって持続してよい。プラズマ内の電子エネルギは、プラズマ電力が印加されるときに跳ね上がり、次いで速やかに降下し始める。この期間中は、電子の密度が上昇する。プラズマは、イオンと、電子と、ラジカルとを含むのが一般的である。電荷のバランスをとるために、プラズマ内には、正帯電イオンのほうが負帯電イオンよりも多く存在する。これは、陽イオンが、プラズマ内に存在する唯一の正帯電種であるのに対し、負帯電種には、陰イオン及び電子の両方が含まれるからである。更に、電気的に陰性のプラズマを含む多くのプラズマが、電気的に陽性のエッジ/シース領域を有する。陽イオンの密度が陰イオンの密度よりも大きいゆえに、及びプラズマシースが電気的に陽性であるゆえに、プラズマが活性化されている間における基板表面への陰イオン束は非常に低い(例えば、実質的にゼロである)。図1Eに戻ると、プラズマ電位は、プラズマ電力が印加されるときに跳ね上がり、次いで降下し始める。プラズマシースは、オン切り替え処理レジーム中に形成され、プラズマが引き起こされるのに伴って変化するだろう。
定常状態処理レジーム中は、オン切り替えレジーム中でよりも電子エネルギが低く、電子エネルギ及び電子密度の両方がほぼ一定している。このレジーム中は、陽イオン束及び陰イオン束も一定であり、陽イオン束が高く、陰イオン側が非常に低い(例えば、実質的にゼロである)。プラズマ電位は、ほぼ定常であり、オン切り替えレジーム中でよりも定常状態レジーム中でのほうが低い。プラズマシースは、定常状態処理レジーム中は定常状態の形で振動しているだろう。
オフ切り替え処理レジームは、プラズマ電力がオフに切り替えられたらすぐに開始する。この期間中は、電子エネルギ、電子密度、陽イオン束、及びプラズマ電位が全て降下し始める。プラズマシースは、オフ切り替えレジーム中における電子密度の低下とともに崩壊するだろう。着目すべきは、この処理レジーム中に、陰イオン束が上昇することである。上記のように、多くのプラズマは、電気的に陽性のエッジ領域を有する。プラズマから陰イオンを抽出するためには、陰イオンが脱出することを可能にするのに十分な長い期間にわたって電場の方向を逆転させることによって、このエッジ領域を変化させることが望ましい。電場を逆転させるためには、電子電流を吸収させる必要がある。この電流は、連続波プラズマの場合について言うと、非常に多量である。しかしながら、この電流は、電子密度の減少とともに減少する。パルスプラズマが使用されるときは、電子密度は、オフ切り替えレジーム中に速やかに減少し、グロー後終期レジーム中は低いままにとどまる。これらのレジーム中における低い電子密度は、電場の方向を逆転させること、及びプラズマから陰イオンを抽出することを大幅に容易にする。このようにすれば、理論又は作用メカニズムに縛られることは望まないが、パルスプラズマ成膜に関連して見られる膜品質の向上は、1つには、成膜プロセスへの陰イオンの参加が増えたことによるものとして説明されえる。
オフ切り替えレジーム後に経る次の処理レジームは、グロー後終期レジームである。この期間中は、プラズマ電力、電子エネルギ、電子密度、陽イオン束、及びプラズマ電位が非常に低く、場合によってはゼロである。電子密度は非常に低く、例えば、熱電子の平均エネルギに近い。イオン束密度も同様に低く、基板の表面に到達する陽イオンはほぼ無いに等しい。上記のように、しかしながら、この期間中も、基板の表面に向かう陰イオン束はあるだろう。場合によっては、このグロー後終期レジーム中は、プラズマ電位がゼロ、又はひいては負であるかもしれない。
膜品質の差について考えられるもう1つの説明は、膜の副生成物が、なかでも特に側壁などの様々な場所で、選択的に埋没するかもしれないことである。パルスプラズマの使用は、これらの副生成物が更に効果的に膜から取り除かれることを可能にし、こうして、更に均一な品質の膜が形成されえる。
パルスプラズマは、その他の目的のために、その他の状況でも使用されてきた。例えば、堆積される膜の共形性を向上させるために、プラズマ化学気相成長プロセス中に、プラズマがパルス化されてよい。このパルスPECVDプロセスは、発明の名称を「METHOD FOR IMPROVING PROCESS CONTROL AND FILM CONFORMALITY OF PECVD FILM(PEALD膜のプロセス制御及び膜共形性を向上させるための方法)」としてその全体を本明細書に組み込まれる米国特許第7,745,346号において、更に議論及び説明されている。しかしながら、ALD方法及びCFD方法は、元来非常に共形性の膜を堆積させる方法であり、この状況では、期待される利益がほぼ又は全くないだろうゆえに、当業者がこれらのパルスプラズマ方法をALD/CFDプロセスに適用する可能性は、あまり高くないと考えられる。
本明細書における実施形態の多くは、SiN膜又はSiCN膜を堆積させる観点から論じられているが、実施形態は、この限りではない。その他の場合では、堆積される膜が、酸化物(例えばシリコン酸化物又は金属酸化物)であってよい。これらの各タイプの膜は、従来の連続波プラズマ処理方法にしたがって堆積されたときに、側壁上における膜の品質が劣る事態に見舞われる。特定の実施形態では、堆積される膜は、例えば炭素、ホウ素、リン、窒素などによってドープされてよい。
図2Aは、開示される様々な実施形態にしたがった、品質が均一の膜を堆積させる方法のフローチャートを示している。方法200は、陥凹した特徴を有する基板を反応チャンバに入れる動作202から開始する。動作204では、第1の反応物が反応チャンバに投入される。反応物は、陥凹した特徴を含む基板の表面上に吸着する。堆積される膜がシリコンを含有する場合は、第1の反応物は、通常、シリコン含有反応物である。堆積される膜が金属を含有する場合は、第1の反応物は、一般的に、金属含有反応物である。次に、動作206では、反応チャンバがパージされる。一部の実施形態では、パージは、反応チャンバを非反応性ガスでスイープすることを含む。或いは又は加えて、パージは、ポンプによって反応チャンバを排気することを含んでいてよい。この場合は、例えば、ポンプによる排気時における反応チャンバ内の圧力は、反応物の供給時よりも大幅に低くなる。このパージ動作206の目的は、反応チャンバから第1の反応物の全部を又は実質的に全部を取り除くことにある。一部の実施形態では、パージ/スイープの完全性が低くてよく、この場合は、第1の反応物の一部のみが反応チャンバから取り除かれる。最適なパージ条件は、所望の膜特性に依存してよい。別途明記されない限り、本明細書で説明されるスイープ又はパージは、部分的、完全、又は実質的に完全であってよい。特定の実装形態では、このパージ/スイープが起きなくてよい。次に、動作208では、第2の反応物が反応チャンバに流し込まれる。第2の反応物は、多くの場合、窒素含有反応物、炭素含有反応物、及び/又は酸素含有反応物である。
第1の反応物及び第2の反応物は、それぞれが複数の反応物の混合であってもよい。一例では、第2の反応物は、酸素及び亜酸化窒素の両方を含んでいてよい。2種以上の反応物が同時に反応チャンバに供給される場合は、それらの反応物は、供給前に(例えば別の混合容器の中で)、又は供給後に(例えば反応チャンバ自体の中で)混合されてよい。
動作210では、反応チャンバの中でパルスプラズマが引き起こされ、該プラズマに基板表面が暴露される。パルスの周波数は、比較的高く、例えば、おおよそ約100Hzから約500Hzである。パルスプラズマ暴露動作210は、従来の連続波プラズマプロセスにおいて通常使用されるよりも長い持続時間を有していてよい。様々な実施形態において、動作208及び動作210は、少なくとも部分的に、同時に起きる。場合によっては、210においてプラズマが引き起こされる前に、208において第2の反応物が反応チャンバに事前に流し込まれてよい。具体的な一例では、第2の反応物が、連続的に提供される。その他の実施形態では、動作208及び動作210は、同時に開始する。代替の実施形態では、第2の反応物は、208において反応チャンバに流し込まれ、次いで、動作210においてパルスプラズマが引き起こされる前に反応チャンバからスイープ/パージされる。しかしながら、場合によっては、このパージが起きなくてよい。
次に、動作212において、プラズマが消滅し、反応チャンバがパージされる。動作206におけるパージに関して言及されたように、これは、反応チャンバスイープすること及び/又はポンプによって排気することを含んでいてよい。場合によっては、このスイープ/パージは、随意であってよく、ただし、プラズマ処理後におけるこのようなパージの使用は、高品質の膜の形成を促すのに役立つと考えられる。動作204から動作212までは、一般的に、その結果として材料の単層を堆積させるが、しかしながら、特定の実施形態では、堆積されるのが材料の単層未満であってよい。これらの工程は、点線で示されるように、所望の厚さの膜を成長させるために、複数回にわたって繰り返されてよい。
上述のように、プラズマ特性は、堆積される膜に対してかなりの影響を有する可能性がある。多くの実施形態では、プラズマは、容量結合プラズマである。しかしながら、例えば誘導結合プラズマのように、その他のタイプのプラズマが使用されてもよい。RFプラズマ発生器、DCプラズマ発生器、マイクロ波プラズマ発生器などの、様々なタイプのプラズマ発生器が使用されてよい。プラズマは、直接的なプラズマ(すなわち反応チャンバの中で生成されたプラズマ)、又は遠隔生成されたプラズマのいずれかであってよい。
現在製造されている高周波数RF電力発生器によって、プラズマの高周波数RF電力は、約75Hzから約500Hzの間でパルス化されてよい。これらの発生器は、15%未満のデューティサイクル又は500Hzを超えるパルス周波数ではプラズマを維持することができない。これよりも高い周波数及び低いデューティサイクルが可能な発生器が入手可能になれば、デューティサイクルが更に減少したパルスPEALDプロセスの使用によって、膜の更なる向上が観察されるだろう。しかしながら、パルス周波数の増加及びデューティサイクルの減少に伴って、プラズマの高周波数RF電力は、少なくとも反応種を生成するのに十分な長さにわたってオンでなければならなくなる。反応種が生成されなければ、パルスPEALDによる利益は実現されないだろう。
供給されるプラズマ電力は、約50W/ステーションから約2500W/ステーションの間であってよく、例えば、直径が300mmのウエハの場合は、約75W/ステーションから約500W/ステーションの間であってよい。これらの値は、様々な基板サイズに応じ、その基板の面積に基づいて線形に増減されてよい。例えば、300mmウエハの場合の100W/ステーションは、450mmウエハの場合の255W/ステーションに相当するだろう。
RFプラズマが使用される場合は、RF周波数は、低周波数(LF)成分(例えば、約250kHzから約400kHz)及び/又は高周波数(HF)成分(例えば、約13.56MHz又は約27MHz)を含んでいてよい。特定の実施形態では、LF周波数が含まれることによって、ステップカバレッジ(段差被覆性)が望ましくない低下を見せる。したがって、特定の実施形態では、供給されるRFは、HF周波数のみを含む。
デューティサイクルは、パルスプラズマ暴露段階のうちでプラズマが引き起こされる相対的な時間に関する。例えば、周波数が500Hzでありデューティサイクルが15%であるパルスの場合は、1つのパルス期間が2msであり、RF電力は、1.7msにわたってオフであるとともに、0.3msにわたってオンである。一部の実施形態では、デューティサイクルは、約5%から約95%の間である。場合によっては、デューティサイクルは、約5%から約50%の間又は約5%から約15%の間であり、例えば約10%である。各プラズマパルスの持続時間はかなり短く、プラズマパルスの周波数は比較的高い。場合によっては、プラズマパルス周波数は、約25Hzから約5000Hzの間であり、例えば約100Hzから約500Hzの間である。場合によっては、パルス周波数は、約1000Hz以下であり、例えば約500Hz以下である。これらの又はその他の場合では、パルス周波数は、約25Hz以上であり、例えば約50Hz以上又は約100Hz以上である。プラズマ暴露動作全体の持続時間は、連続波プラズマPEALDプロセスの場合よりも高くてよい。場合によっては、パルスプラズマ暴露の持続時間は、約0.05秒から約2秒の間であり、例えば、約0.05秒から約1秒の間、又は約0.1秒から約0.5秒の間である。
特定の実施形態では、プラズマパルス特性は、時間とともに変化してよい。例えば、パルス周波数及び/又はデューティサイクルは、第1の一連の成膜サイクルと、第2の一連の成膜サイクルとの間で変化してよい。一部の実施形態では、パルス周波数が、後のほうのサイクルで増加又は減少してよい。これらの又はその他の場合では、デューティサイクルが、後のほうのサイクルで増加又は減少してよい。その他のプラズマ特性が、成膜プロセス内において時間とともに変化してもよい。例えば、RF電力値、RF周波数、プラズマ生成ガスなどが、成膜プロセス中に変更されてよい。
図2Bは、成膜中にプラズマ条件が変更される場合の、基板上に膜を堆積させる方法のフローチャートを示している。方法250は、動作252から開始し、該動作では、本明細書で説明されるように、第1のプラズマ条件一式を有するパルスプラズマを用いた成膜プロセスを使用して、1枚以上の膜層が堆積される。次に、方法250は、動作254に続き、該動作では、第2のプラズマ条件一式を有するパルスプラズマを用いた成膜プロセスを使用して、1枚以上の追加の膜層が堆積される。第1のプラズマ条件一式と、第2のプラズマ条件一式とは、異なっていてよい。上記のように、動作252と動作254との間では、パルス周波数、デューティサイクル、RF電力、RF周波数、プラズマを生成するために使用されるガスの組成又は流量などのプラズマ特性の1つ以上が異なっていてよい。プラズマ特性の変更は、必要に応じて膜を微調整するのに役立つだろう。
開示される実施形態は、特定の反応物に限定されず、以下で、反応物の例が挙げられる。
特定の実施形態では、堆積される膜は、シリコン含有膜である。これらの場合では、シリコン含有反応物は、例えば、シラン、ハロシラン、又はアミノシランであってよい。シランは、水素及び/又は炭素基を含むが、ハロゲンは含まない。シランの例には、シラン(SiH4)、ジシラン(Si26)、並びにメチルシラン、エチルシラン、イソプロピルシラン、t−ブチルシラン、ジメチルシラン、ジエチルシラン、ジ−t−ブチルシラン、アリルシラン、sec−ブチルシラン、テキシルシラン、イソアミルシラン、t−ブチルジシラン、ジ−t−ブチルジシラン、オルトケイ酸テトラエチル(テトラエトキシシラン、すなわちTEOSとしても知られる)などの有機シランがある。ハロシランは、少なくとも1つのハロゲン基を含み、水素及び/又は炭素基を含んでいても含んでいなくてもよい。ハロシランの例は、イオドシラン、ブロモシラン、クロロシラン、及びフルオロシランである。ハロシラン、なかでも特にフルオロシランは、シリコン材料をエッチングすることができる反応性ハロゲン化物種を形成しえるが、本明細書で説明される特定の実施形態では、プラズマが打ち出されるときに、シリコン含有反応物は存在していない。具体的なクロロシランは、テトラクロロシラン(SiCl4)、トリクロロシラン(HSiCl3)、ジクロロシラン(H2SiCl2)、モノクロロシラン(ClSiH3)、クロロアリルシラン、クロロメチルシラン、ジクロロメチルシラン、クロロジメチルシラン、クロロエチルシラン、t−ブチルクロロシラン、ジ−t−ブチルクロロシラン、クロロイソプロピルシラン、クロロ−sec−ブチルシラン、t−ブチルジメチルクロロシラン、テキシルジメチルクロロシランなどである。アミノシランは、シリコン原子に結合された少なくとも1つの窒素原子を含むが、水素、酸素、ハロゲン、及び炭素を含んでいてもよい。アミノシランの例は、モノ−、ジ−、トリ−、及びテトラ−アミノシラン(それぞれ、H3Si(NH24、H2Si(NH22、HSi(NH23、及びSi(NH24である)、並びに例えばt−ブチルアミノシラン、メチルアミノシラン、tert−ブチルシランアミン、ビス(第三ブチルアミノ)シラン(SiH2(NHC(CH332(BTBAS))、tert−ブチルカルバミン酸シリル、SiH(CH3)−(N(CH)322、SiHCl−(N(CH322、(Si(CH32NH)3などの、置換されたモノ−、ジ−、トリ−、及びテトラ−アミノシランである。アミノシランの更なる例は、トリシリルアミン(N(SiH33)である。
その他の場合では、堆積される膜は、金属を含む。形成されえる金属含有膜の例には、アルミニウム、チタン、ハフニウム、タンタル、タングステン、マンガン、マグネシウム、ストロンチウムなどの酸化物及び窒化物、並びに元素金属の膜がある。前駆体の例としては、金属アルキルアミン、金属アルコキシド、金属アルキルアミド、金属ハロゲン化物、金属β−ジケトナート、金属カルボニル、有機金属などが挙げられる。適切な金属含有前駆体は、膜に組み込まれることが望ましい金属を含む。例えば、タンタル含有層は、ペンタキス(ジメチルアミド)タンタルをアンモニア又は別の還元剤と反応させることによって堆積されてよい。利用されえる金属含有前駆体の更なる例としては、トリメチルアルミニウム、テトラエトキシチタン、テトラキス−ジメチル−アミドチタン、テトラキス−ジエチルアミド−チタン、チタンイソプロポキシド、チタンテトライソプロポキシド、四塩化チタン、ビス(メチル−η5−シクロペンタジエニル)ヂメチルハフニウム、ビス(メチル−η5−シクロペンタジエニル)メトキシメチルハフニウム、ハフニウム(IV)tert−ブトキシド、テトラキス(ジメチルアミド)ハフニウム(IV)、ハフニウムテトラキス(エチルメチルアミド)、ビス(シクロペンタジエニル)マンガン、ビス(n−プロピルシクロペンタジエニル)マンガン、タンタル(V)エトキシド、tris(ジエチルアミド)(tert−ブチルイミド)タンタル(V)、ビス(tert−ブチルイミノ)ビス(ジメチルアミノ)タングステン(VI)、タングステンヘキサカルボニルなどが挙げられる。
特定の実装形態では、酸素含有反応物が使用される。酸素含有反応物の例には、酸素、オゾン、亜酸化窒素、一酸化窒素、二酸化窒素、一酸化炭素、二酸化炭素、一酸化硫黄、二酸化イオン、水、酸素含有炭化水素(Cxyz)などがある。
一部の実施形態では、堆積される膜は窒素を含み、窒素含有反応物が使用される。窒素含有反応物は、少なくとも1つの窒素を含み、例えば、アンモニア、並びにヒドラジン、並びにメチルアミン、ジメチルアミン、エチルアミン、イソプロピルアミン、t−ブチルアミン、ジ−t−ブチルアミン、シクロプロピルアミン、sec−ブチルアミン、シクロブチルアミン、イソアミルアミン、2−メチルブタン−2−アミン、トリメチルアミン、ジイソプロピルアミン、ジエチルイソプロピルアミン、ジ−t−ブチルヒドラジンなどのアミン(例えばアミンを持つ炭素)、並びにアニリン、ピリジン、及びベンジルアミンなどの芳香族含有アミンが挙げられる。アミンは、第1級、第2級、第3級、又は第4級(例えばテトラアルキルアンモニウム化合物)であってよい。窒素含有反応物は、窒素以外のヘテロ原子を含むことができ、例えば、ヒドロキシルアミン、t−ブチルオキシカルボニルアミン、及びN−t−ブチルヒドロキシルアミンが窒素含有反応物である。
本明細書で提供される教示内容を与えられることによって当業者にとって明らかになる又は容易に認識可能になるような、その他の前駆体が使用されてもよい。
開示される実施形態は、陥凹した特徴内の膜の品質を均一にするのに有益であることを期待される。しかしながら、上記の方法は、その他のタイプの基板(例えば、平坦な基板又は突出した特徴を有する基板)上に膜を堆積させるために使用されてもよい。陥凹していないこれらのタイプの基板の場合は、側壁の品質の向上及び膜全体の均一性の向上は、それほど大きくは必要とされないだろう。
一部の実施形態では、基板は、約6:1以下のアスペクト比を有する1つ以上の陥凹特徴を含む。このアスペクト比は、陥凹特徴の深さ対幅として定義される。その他の場合では、特徴のアスペクト比は、更に高くてよい。
成膜プロセス中における反応チャンバの温度は、約150℃から約550℃の間であってよく、例えば、約250℃から約550℃の間であってよい。この温度は、前駆体を変換するためにプラズマエネルギではなく熱エネルギ(例えば>700℃)が使用されるときに必要とされるよりも、大幅に低い。
成膜プロセス中における反応チャンバの圧力は、約1トールから約10トールの間又は約3トールから約7トールの間であってよく、例えば、約6トールであってよい。
従来の連続波プロセスと比較して、開示されるパルスプラズマ実施形態は、低い成膜速度を示すのが一般的である。
開示される方法は、また、結果として、比較的引張性の又は中立性の応力を有する非常に低いウェットエッチング速度の膜を堆積させる。これに対して、従来の方法は、結果として、低いウェットエッチング速度を有する圧縮性の膜を堆積させる、又は非常に高いウェットエッチング速度を有する引張性の膜を堆積させるのが一般的である。従来の連続波プラズマの場合は、異なるレベルのRF電力を使用することによって、ウェットエッチング速度を変化させることができる。RF電力のレベルが高いと(例えば、約6500W/ステーション)、低いウェットエッチング速度を有する高圧縮性の膜が得られる。RF電力のレベルが低いと(例えば、約500W/ステーション)、圧縮性が低い/より引張性の膜が得られるが、これらの膜は、極めて高いウェットエッチング速度を有する。これに対して、開示されるパルスプラズマ方法によって作成される膜は、中立性の応力に非常に近く、場合によっては、僅かに引張性の応力を有する。同時にまた、これらの膜は、非常に低いウェットエッチング速度を示し、これは、多くの場合、連続波プラズマによって高いRF電力で実現可能であったよりも低い速度である。一部の実施形態では、側壁の中間部分における、堆積されたままの状態の膜のウェットエッチング速度は、約1Å/分から約25Å/分の間であってよく、例えば、約1Å/分から約10Å/分の間であってよい。これらの値は、なかでも特に、堆積される膜が炭素ドープシリコン窒化物膜である場合に関係がある。堆積された膜を特徴付ける別の方法は、堆積された膜のうちの異なる部分におけるウェットエッチング速度を比較する内部ウェットエッチング速度比を吟味することである。例えば、特徴の側壁の中間部分におけるウェットエッチング速度(WEm)が、特徴の底部におけるウェットエッチング速度(WEb)及び/又は特徴の頂部におけるウェットエッチング速度(WEt)と比較されてよい。膜のこれらの場所は、図5A及び図5Bに示されており、以下で更に説明される。一部の実施形態では、WEm/WEb及び/又はWEm/WEtは、約0.25から約3の間であり、例えば、約0.25から約2の間、又は約0.25から約1の間である。連続波プラズマの場合は、これらの比は、総じてずっと高く、例えば、約5から約10の間、又はそれよりも大きい。堆積されたままの状態の膜の応力は、ばらつきが大きいと考えられ、例えば、応力は、約500MPaの引張性から約2GPaの圧縮性までに及ぶだろう。
装置
パルスプラズマ処理は、プラズマの高周波数RF電力及び/又は低周波数RF電力をパルス化することができる高周波数RF発生器を使用して、既存の半導体処理機器によって実施することができる。具体的には、カリフォルニア州のフリーモント市のLam Research Corporationから市販されているVector(商標)リアクタなどのリアクタが、パルスPEALDに使用されてよい。こうして、最小のハードウェア変更によって、膜の改善がなされる。
開示される方法の実施に適した装置は、プロセス動作を実現するためのハードウェアと、本発明にしたがったプロセス動作を制御するための命令を有するシステムコントローラとを含むのが一般的である。例えば、一部の実施形態では、ハードウェアは、1つのプロセスツールに含まれる1つ以上のPEALDプロセスステーションを含んでいてよい。
図3は、開示される実施形態を実施するために使用されえる代表的な装置のブロック図を提供している。図に示されるように、リアクタ300は、リアクタのその他のコンポーネントを取り囲むプロセスチャンバ324を含み、該チャンバは、例えば接地されたヒータブロック320と連携して機能するシャワーヘッド314を含むコンデンサタイプのシステムによって生成されるプラズマを収容する働きをする。シャワーヘッド314には、整合回路網306に接続された高周波数RF発生器304、及び低周波数RF発生器302が接続されている。これらのRF発生器は、本明細書で説明されるようにプラズマをパルス化することができる。整合回路網306によって供給される電力及び周波数は、例えば400Wから700Wの総合エネルギのように、プロセスガスからプラズマを生成するのに十分である。本発明の一実装形態では、HFRF発生器及びLFRF発生器の両方が使用される。代表的なプロセスでは、高周波数RF成分は、通常は約2MHzから約60MHzの間であり、好ましい一実施形態では約13.56MHz又は約2MHzである。低周波数LF成分は、一般的に約250kHzから約400kHzであり、特定の一実施形態では約350kHzである。
リアクタ内では、ウエハ台座318が基板316を支える。台座は、成膜反応及び/又はプラズマ処理反応の最中及び合間に基板を保持する及び移送するために、チャック、フォーク、又はリフトピンを含むのが一般的である。チャックは、静電チャック、機械的チャック、又は業界及び/若しくは研究での使用のために入手可能であるその他の様々なタイプのチャックであってよい。
プロセスガスは、入口312を通じて導入される。複数のソースガスライン310が、分岐管308に接続されている。ガスは、事前に混合されていてもされていなくてもよい。プロセスの成膜段階及びプラズマ処理段階の最中に正しいプロセスガスが供給されることを保証するために、適切な弁メカニズム及び質量流量制御メカニズムが利用される。(1種以上の)化学的前駆体が液体状で供給される場合は、液体流量制御メカニズムが利用される。液体は、次いで、成膜チャンバに到達する前に、その気化点よりも高い温度に加熱された分岐管を通って移送される間に気化されて、その他のプロセスガスと混合されてよい。
プロセスガスは、出口322を通じてチャンバ300から出て行く。通常は、真空ポンプ326(例えば、1段階式若しくは2段階式の機械的乾燥ポンプ、及び/又はターボ分子ポンプ)が、絞り弁又は振り子弁などの閉ループ制御式の流量制限機器によって、プロセスガスをリアクタから抜き出してリアクタ内を適度に低い圧力に維持する。
本発明は、複数ステーションの又は単独ステーションのツールにおいて実行に移されてよい。具体的な実装形態では、4ステーション成膜方式を有する300mm Novellus Vector(商標)ツール、又は6ステーション成膜方式を有する200mm Sequel(商標)ツールが使用される。必要とされる全ての成膜及び処理が完了するまで、成膜処理後ごとに及び/若しくは成膜後のプラズマアニール処理後ごとにウエハをインデックス送りすること、又はウエハをインデックス送りする前に、1つのステーションにおいて複数の成膜及び処理を行うことが可能である。いずれの場合も、膜応力は同じであることが示されている。ただし、1つのステーションにおいて複数の成膜/処理を行うほうが、成膜ごとに及び/又は処理ごとにインデックス送りが続くよりも、ずっと速やかである。
図4は、入室ロードロック2402及び退室ロードロック2404を伴うマルチステーション処理ツール2400の一実施形態の概略図を示している。これらのロードロックは、そのいずれか一方又は両方が、遠隔プラズマソースを含んでいてよい。大気圧にあるロボット2406は、ポッド2408を通じて取り込まれたカセットから、大気ポート2410を通じて入室ロードロック2402内へウエハを移動させるように構成される。ロボット2406によって入室ロードロック2402内の台座2412の上にウエハが載せられると、大気ポート2410は閉じられ、ロードロックはポンプ排気される。入室ロードロック2402が遠隔プラズマソースを含む場合は、ウエハは、処理チャンバ2414に導入される前に、ロードロックの中で遠隔プラズマ処理を受けてよい。更に、ウエハは、例えば水分及び吸着ガスを除去するために、入室ロードロック2402の中で加熱もされてよい。次に、処理チャンバ2414へのチャンバ搬送ポート2416が開かれ、別のロボット(不図示)が処理のためにウエハをリアクタ内に示された第1のステーションの台座の上に載せる。図4に描かれた実施形態は、ロードロックを含むが、実施形態によっては、ウエハがプロセスステーションに直接入れられてもよいことがわかる。
図に示された処理チャンバ2414は、4つのプロセスステーションを含み、これらのステーションは、図4に示された実施形態では、1から4の数字を振られている。各ステーションは、加熱された台座(ステーション1の場合は2418で示されている)と、ガスライン入口とを有する。一部の実施形態では、各プロセスステーションが、異なるすなわち複数の目的を有してよいことがわかる。図に示された処理チャンバ2414は、4つのステーションを含むが、本開示にしたがった処理チャンバは、任意の数のステーションを有してよいことが理解される。例えば、処理チャンバは、実施形態によっては5つ以上のステーションを有してよく、実施形態によっては3つ以下のステーションを有してよい。
図4は、また、処理チャンバ2414内でウエハを移送するためのウエハ取り扱いシステム2490の一実施形態も描いている。一部の実施形態では、ウエハ取り扱いシステム2490は、様々なプロセスステーションの間で、及び/又はプロセスステーションとロードロックとの間でウエハを移送してよい。任意の適切なウエハ取り扱いシステムが利用されてよいことがわかる。非限定的な例として、ウエハ回転棚及びウエハ取り扱いロボットが挙げられる。図4は、また、プロセスツール2400のプロセス条件及びハードウェア状態を制御するために利用されるシステムコントローラ2450の一実施形態も示している。システムコントローラ2450は、1つ以上のメモリ装置2456と、1つ以上の大容量記憶装置2454と、1つ以上のプロセッサ2452とを含んでいてよい。プロセッサ2452は、CPU又はコンピュータ、アナログ及び/又はデジタル入力/出力接続、ステッピングモータ制御盤などを含んでいてよい。
一部の実施形態では、システムコントローラ2450は、プロセスツール2400の全ての活動を制御する。システムコントローラ2450は、大容量記憶装置2454に記憶され、メモリ装置2456に取り込まれ、プロセッサ2452上で実行されるシステム制御ソフトウェア2458を実行する。システム制御ソフトウェア2458は、プロセスツール2400によって実施される特定のプロセスの、タイミング、ガスの混合、チャンバ及び/又はステーションの圧力、チャンバ及び/又はステーションの温度、パージの条件及びタイミング、ウエハの温度、RF電力レベル、RF周波数、基板、台座、チャック、及び/又は支持台の位置、並びにその他のパラメータを制御するための命令を含んでいてよい。システム制御ソフトウェア2458は、任意の適切なやり方で構成されてよい。例えば、開示される方法にしたがった様々なプロセスツールプロセスを実行に移すために必要とされるプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントサブルーチン又は制御オブジェクトが記述されてよい。システム制御ソフトウェア2458は、任意の適切なコンピュータ読み取り可能プログラミング言語でコード化されてよい。
一部の実施形態では、システム制御ソフトウェア2458は、上述された様々なパラメータを制御するための入力/出力制御(IOC)順序付け命令を含んでいてよい。例えば、PEALDプロセスの各段階は、システムコントローラ2450によって実行するための1つ以上の命令を含んでいてよい。PEALDプロセス段階についてのプロセス条件を設定するための命令は、対応するPEALDレシピ段階に含められてよい。一部の実施形態では、PEALDレシピ段階は、PEALDプロセス段階のための全ての命令がそのプロセス段階と同時進行的に実行されるように順序付けられてよい。
一部の実施形態では、システムコントローラ2450に関係付けられた大容量記憶装置2454及び/又はメモリ装置2456に記憶されたその他のコンピュータソフトウェア及び/又はプログラムが利用されてよい。これを目的としたプログラム又はプログラムセクションの例として、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、及びプラズマ制御プログラムが挙げられる。
基板位置決めプログラムは、基板を台座2418に搭載するために及び基板とプロセスツール2400のその他のパーツとの間の間隔を制御するために使用されるプロセスツールコンポーネントのためのプログラムコードを含んでいてよい。
プロセスガス制御プログラムは、ガス組成及び流量を制御するための、並びに随意としてプロセスステーション内の圧力を安定化させるために成膜前に1つ以上のプロセスステーションにガスを流し込むための、コードを含んでいてよい。圧力制御プログラムは、例えば、プロセスステーションの排気システムにおける絞り弁やプロセスステーションに入るガスの流れなどを調整することによってプロセスステーション内の圧力を制御するための、コードを含んでいてよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでいてよい。或いは、ヒータ制御プログラムは、基板への熱伝達ガス(ヘリウムなど)の供給を制御してよい。
プラズマ制御プログラムは、1つ以上のプロセスステーションにおいてプロセス電極に印加されるRF電力レベル及び暴露時間を設定するためのコードを含んでいてよい。プラズマ制御プログラムは、プラズマ暴露/変換動作中にプラズマデューティサイクル及びプラズマパルス周波数を制御するためのコードも含んでいてよい。
一部の実施形態では、システムコントローラ2450に関係付けられたユーザインターフェースがあってよい。ユーザインターフェースとしては、ディスプレイ画面、装置及び/又はプロセス条件のグラフィックソフトウェア表示、並びに位置指示装置、キーボード、タッチ画面、マイクなどのユーザ入力装置が挙げられる。
一部の実施形態では、システムコントローラ2450によって調整されるパラメータが、プロセス条件に関するものであってよい。非限定的な例として、プロセスガス組成及び流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)、圧力、温度などが挙げられる。これらのパラメータは、ユーザインターフェースを用いて入力されえるレシピの形でユーザに提供されてよい。
プロセスを監視するための信号が、システムコントローラ2450のアナログ及び/又はデジタル入力接続によって、様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール2400のアナログ及びデジタル出力接続に載せて出力されてよい。監視されえるプロセスツールセンサの非限定的な例として、質量流量コントローラ、圧力センサ(圧力計など)、熱電対などが挙げられる。プロセス条件を維持するために、これらのセンサからのデータとともに、適切にプログラムされたフィードバックアルゴリズム及び制御アルゴリズムが使用されてよい。
システムコントローラ2450は、上述された成膜プロセスを実行に移すためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RF電力レベル、RFバイアス電力レベル、RF周波数、プラズマパルス周波数、圧力、温度などの、多岐にわたるプロセスパラメータを制御してよい。これらの命令は、本明細書で説明される様々な実施形態にしたがって膜スタックのin−situ堆積を動作させるために、パラメータを制御してよい。
上述された様々なハードウェア及び方法の実施形態は、半導体デバイス、ディスプレイ、LED、光起電性パネルなどの製造又は生産のために、リソグラフィパターニングのツール又はプロセスと併せて使用されてよい。このようなツール/プロセスは、必ずしもそうとは限らないが、通常は、共通の製造設備において併せて使用又は実施される。
膜のリソグラフィパターニングは、通常は、(1)スピンオンツール又は噴き付けツールを使用して、その上にシリコン窒化物を形成された例えば基板などの被加工物上にフォトレジストを塗布する工程、(2)加熱板又は加熱炉又はその他の適切な硬化ツールを使用して、フォトレジストを硬化させる工程、(3)ウエハステッパなどのツールによって、可視光又は紫外線又はX線にフォトレジストを暴露する工程、(4)レジストを選択的に除去してパターニングするために、ウェットベンチ又は噴き付け現像器などのツールを使用して、レジストを現像する工程、(5)ドライ式又はプラズマ式のエッチングツールを使用することによって、レジストパターンをその下の膜又は被加工物に転写する工程、並びに(6)RF又はマイクロ波プラズマレジスト剥ぎ取り器などのツールを使用して、レジストを除去する工程の、一部又は全部を含み、各工程は、考えられる幾つかのツールによってそれぞれ実施される。一部の実施形態では、フォトレジストを塗布する前に、アッシング可能なハードマスク層(非結晶質炭素層)及び別の適切なハードマスク(反射防止層など)が堆積されてよい。
本明細書で説明された構成及び/又は手法は、例示的な性質のものであり、これらの具体的な実施形態又は実施例は、多数のヴァリエーションが可能であるゆえに、限定的な意味ではとらえられない。本明細書で説明された具体的なルーチン又は方法は、任意の数の処理戦略の1つ以上を表わしていてよい。したがって、例示された様々な行為は、例示された順序で、その他の順序で、又は平行して実施されてよく、場合によっては省略されてよい。同様に、上述のプロセスは、順序を変更されてよい。
本開示の対象内容は、本明細書で開示される様々なプロセス、システム、構成、その他の特徴、機能、行為、及び/又は特性の、新規の及び非自明のあらゆる組み合わせ及び部分組み合わせ、並びにそれらのあらゆる均等物を含む。
実験
実験結果は、開示されるプロセスが、均一な高品質の膜を実現するために使用されえることを示している。図5Aと図5B、及び図6Aと図6Bは、半導体基板上の溝内に堆積されたシリコン炭窒化物膜の、堆積されたままの状態の、及び100:1の水:HFの中で90秒間にわたってエッチングした後における断面図を示している。図5C及び図6Cは、図5Aと図5B、及び図6Aと図6Bに示された膜のエッチング特性に関する表をそれぞれ示している。
図5Aは、成膜されたままの状態の従来の膜を示している。図5Bは、エッチング後における同じ膜を示している。図5Cは、特徴の側部におけるエッチング速度が、特徴の頂部におけるエッチング速度よりも大幅に高かったことを示しており、この特徴の頂部におけるエッチング速度は、特徴の底部におけるエッチング速度よりも高かった。この場合は、特徴の側壁におけるエッチング速度は、特徴の底部におけるエッチング速度のおおよそ10倍であった。したがって、側壁における膜が特徴のその他の領域における膜よりもずっと低品質であることが明らかである。
図6Aは、開示される方法にしたがってパルスプラズマによって堆積された膜の、堆積されたままの状態を示している。この場合は、プラズマは、約500Hzの周波数でパルス化された。図6Bは、この同じ膜の、エッチング後を示している。図6Cは、図6A及び図6Bに示された膜のウェットエッチング速度に関する。着目すべきは、側壁におけるウェットエッチング速度が従来よりも大幅に低いこと、及び膜の様々な部分におけるウェットエッチング速度の均一性が大幅に向上したことである。
図7A及び図7Bは、連続波プラズマによって堆積された膜(図7A)及びパルスプラズマによって堆積された膜(図7B)の、STEM−EEL解析によって測定された炭素及びシリコンについての相対的な面密度地図を示している。これらの図は、堆積された膜の様々な部分におけるC:Si比を示すことを目的として色で示されている。2枚の膜の側壁を比較すると、パルスプラズマによる膜は、連続波プラズマによって用意された膜よりも高いC:Si比を有することが明らかである。この高い側壁C:Si比は、パルスプラズマ膜によって成膜された特徴の側壁で観察される低いウェットエッチング速度と矛盾しない。特定の実施形態では、膜の側壁は、(側壁の実質的に垂直な部分において、図に応じてSTEM−EEL解析にしたがって又は同等な解析にしたがって測定された、平均で、)少なくとも約0.4又は約0.5又は約0.6のC:Si比を有する。図7Aに示された例では、パルスプラズマによる膜の平均の側壁C:Si比が約0.65である一方で、図7Bにおける連続波による膜は、約0.35の平均側壁C:Si比を有する。
適用例1:半導体基板表面上のギャップを満たす方法であって、
(a)その中に前記基板を有する反応チャンバに気相の第1の反応物を導入し、前記第1の反応物を前記基板表面上に吸着させ、
(b)前記第1の反応物の流れが停止した後に、前記反応チャンバをパージし、
(c)前記第1の反応物が前記基板表面上に吸着される間に、気相の第2の反応物を前記反応チャンバに導入し、
(d)前記基板表面上における前記第1の反応物と前記第2の反応物との間の表面反応を促して、前記ギャップを縁取る膜層を形成させるために、パルスプラズマであるプラズマに前記基板表面を暴露し、
(e)前記プラズマを消滅させ、
(f)前記反応チャンバをパージすること、
を備える方法。
適用例2:適用例1に記載の方法であって、
前記基板表面をプラズマに暴露する前記動作中における前記プラズマパルスの周波数は、約25Hzから約5000Hzの間である、方法。
適用例3:適用例2に記載の方法であって、
前記プラズマパルスの周波数は、約100Hzから約500Hzの間である、方法。
適用例4:適用例1から請求項3のいずれか一項に記載の方法であって、
前記堆積される膜は、シリコン窒化物膜又はシリコン炭窒化物膜である、方法。
適用例5:適用例1から請求項3のいずれか一項に記載の方法であって、
前記堆積される膜は、酸化物である、方法。
適用例6:適用例1から請求項5のいずれか一項に記載の方法であって、
前記動作(c)及び前記動作(d)は、少なくとも部分的に、同時に発生する、方法。
適用例7:適用例1から請求項6のいずれか一項に記載の方法であって、
前記プラズマは、容量結合プラズマ又は誘導結合プラズマである、方法。
適用例8:適用例1から請求項7のいずれか一項に記載の方法であって、
前記プラズマは、RFプラズマ発生器を使用して生成される、方法。
適用例9:適用例1から請求項8のいずれか一項に記載の方法であって、
プラズマ電力は、約50W/ステーションから約2500W/ステーションの間である、方法。
適用例10:適用例1から請求項9のいずれか一項に記載の方法であって、
前記ギャップの側壁の中間部分におけるウェットエッチング速度(WE m )と、前記ギャップの頂部におけるウェットエッチング速度(WE t )及び/又は前記ギャップの底部におけるウェットエッチング速度(WE b )との比は、約0.25から約3の間である、方法。
適用例11:適用例1から請求項10のいずれか一項に記載の方法であって、
前記ギャップ内の中間部分に形成される膜は、垂直方向に測定されたときに、約1Å/分から約25Å/分の間のウェットエッチング速度を示す、方法。
適用例12:適用例1から請求項11のいずれか一項に記載の方法であって、
前記ギャップの側壁における平均の炭素:シリコン比は、少なくとも約0.4である、方法。
適用例13:適用例1から請求項12のいずれか一項に記載の方法であって、
前記膜の組成は、前記膜全体を通じて実質的に均一である、方法。
適用例14:適用例1から請求項13のいずれか一項に記載の方法であって、更に、
前記動作(a)から前記動作(f)までを繰り返すことを備え、前記動作(d)の一度目の繰り返しは、前記動作(d)の二度目の繰り返しとは異なるパルス周波数で実施される、方法。
適用例15:適用例1から請求項14のいずれか一項に記載の方法であって、更に、
前記動作(a)から前記動作(f)までを繰り返すことを備え、前記動作(d)の一度目の繰り返しは、前記動作(d)の二度目の繰り返しとは異なるデューティサイクルで実施される、方法。
適用例16:適用例1から請求項15のいずれか一項に記載の方法であって、更に、
前記動作(a)から前記動作(f)までを繰り返すことを備え、前記動作(d)の一度目の繰り返しは、前記動作(d)の二度目の繰り返しとは異なるRF電力で実施される、方法。
適用例17:適用例1から請求項16のいずれか一項に記載の方法であって、
前記パルスプラズマは、オン状態とオフ状態との間でパルス化される、方法。
適用例18:適用例1から請求項16のいずれか一項に記載の方法であって、
前記パルスプラズマは、少なくとも第1の電力状態と第2の電力状態との間でパルス化され、前記第1の電力状態の最中に供給される電力は、前記第2の電力状態の最中に供給される電力とは異なり、前記第1の電力状態及び前記第2の電力状態のいずれも、オフ状態に対応しない、方法。
適用例19:基板上に膜を堆積させるための装置であって、
反応チャンバと、
気相の反応物を前記反応チャンバに供給するための入口と、
パルスプラズマを前記反応チャンバに提供するためのプラズマ発生器と、
コントローラであって、
(a)気相の第1の反応物を前記反応チャンバに導入するための命令と、
(b)気相の第2の反応物を前記反応チャンバに導入するための命令と、
(c)前記基板表面上における前記第1の反応物と前記第2の反応物との間の表面反応を促して、前記膜を形成させるために、前記第1の反応物の前記気相の流れが停止したときにパルスプラズマを定期的に打ち出して、前記基板表面をパルスプラズマに暴露するための命令と、
を有するコントローラと、
を備える装置。
適用例20:適用例19に記載の装置であって、
前記コントローラは、前記動作(c)の最中に約25Hzから約5000Hzの間の周波数で前記プラズマをパルス化させるための命令を有する、装置。
適用例21:適用例20に記載の装置であって、
前記コントローラは、前記動作(c)の最中に約100Hzから約500Hzの間の周波数で前記プラズマをパルス化させるための命令を有する、装置。
適用例22:適用例19から請求項21のいずれか一項に記載の装置であって、
前記コントローラは、前記動作(b)及び前記動作(c)を少なくとも部分的に同時に実施するための命令を有する、装置。
適用例23:適用例19から請求項22のいずれか一項に記載の装置であって、
前記コントローラは、前記動作(c)を約5%から約95%の間のプラズマデューティサイクルで実施するための命令を有する、装置
適用例24:適用例19から請求項23のいずれか一項に記載の装置であって、
前記コントローラは、前記動作(a)から前記動作(c)までを繰り返すための命令を有し、前記コントローラは、前記動作(c)の一度目の繰り返しを前記動作(c)の二度目の繰り返しとは異なるパルス周波数、及び/又はデューティサイクル、及び/又はRF電力で実施するための命令を有する、装置。

Claims (21)

  1. 半導体基板表面上のギャップを満たす方法であって、
    (a)その中に前記半導体基板を有する反応チャンバに気相の第1の反応物を導入し、前記第1の反応物を前記半導体基板表面上に吸着させ、
    (b)前記第1の反応物の流れが停止した後に、前記反応チャンバをパージし、
    (c)前記第1の反応物が前記半導体基板の表面上に吸着される間に、気相の第2の反応物を前記反応チャンバに導入し、
    (d)前記半導体基板の表面上における前記第1の反応物と前記第2の反応物との間の表面反応を促して、前記ギャップを縁取る膜層を形成させるために、パルスプラズマであるプラズマに前記半導体基板の表面を暴露し、
    (e)前記プラズマを消滅させ、
    (f)前記反応チャンバをパージすること、
    動作(a)から動作(f)までを繰り返すことを備え、動作(d)の一度目の繰り返しは、動作(d)の二度目の繰り返しとは異なるパルス周波数およびデューティサイクルの少なくともいずれか一方で実施される、方法。
  2. 請求項1に記載の方法であって、
    前記半導体基板の表面をプラズマに暴露する動作中におけるプラズマパルスの周波数は、25Hzから5000Hzの間である、方法。
  3. 請求項2に記載の方法であって、
    前記プラズマパルスの周波数は、100Hzから500Hzの間である、方法。
  4. 請求項1から請求項3のいずれか一項に記載の方法であって、
    堆積される前記膜層は、シリコン窒化物膜層又はシリコン炭窒化物膜層である、方法。
  5. 請求項1から請求項3のいずれか一項に記載の方法であって、
    堆積される前記膜層は、酸化物である、方法。
  6. 請求項1から請求項5のいずれか一項に記載の方法であって、
    動作(c)及び動作(d)は、少なくとも部分的に、同時に発生する、方法。
  7. 請求項1から請求項6のいずれか一項に記載の方法であって、
    前記プラズマは、容量結合プラズマ又は誘導結合プラズマである、方法。
  8. 請求項1から請求項7のいずれか一項に記載の方法であって、
    前記プラズマは、RFプラズマ発生器を使用して生成される、方法。
  9. 請求項1から請求項8のいずれか一項に記載の方法であって、
    プラズマ電力は、50W/ステーションから2500W/ステーションの間である、方法。
  10. 請求項1から請求項9のいずれか一項に記載の方法であって、
    前記ギャップの側壁の中間部分におけるウェットエッチング速度(WEm)と、前記ギャップの頂部におけるウェットエッチング速度(WEt)及び/又は前記ギャップの底部におけるウェットエッチング速度(WEb)との比は、0.25から3の間である、方法。
  11. 請求項1から請求項10のいずれか一項に記載の方法であって、
    前記ギャップ内の中間部分に形成される膜層は、膜厚方向に測定されたときに、1Å/分から25Å/分の間のウェットエッチング速度を示す、方法。
  12. 請求項1から請求項11のいずれか一項に記載の方法であって、
    前記ギャップの側壁における平均の炭素:シリコン比は、少なくとも0.4である、方法。
  13. 請求項1から請求項12のいずれか一項に記載の方法であって、
    前記膜層の組成は、前記膜層の全体を通じて均一である、方法。
  14. 請求項1から請求項13のいずれか一項に記載の方法であって、更に、
    動作(a)から動作(f)までを繰り返すことを備え、動作(d)の一度目の繰り返しは、動作(d)の二度目の繰り返しとは異なるRF電力で実施される、方法。
  15. 請求項1から請求項14のいずれか一項に記載の方法であって、
    前記パルスプラズマは、オン状態とオフ状態との間でパルス化される、方法。
  16. 請求項1から請求項14のいずれか一項に記載の方法であって、
    前記パルスプラズマは、少なくとも第1の電力状態と第2の電力状態との間でパルス化され、前記第1の電力状態の最中に供給される電力は、前記第2の電力状態の最中に供給される電力とは異なり、前記第1の電力状態及び前記第2の電力状態のいずれも、オフ状態に対応しない、方法。
  17. 基板上に膜を堆積させるための装置であって、
    反応チャンバと、
    気相の反応物を前記反応チャンバに供給するための入口と、
    パルスプラズマを前記反応チャンバに提供するためのプラズマ発生器と、
    コントローラであって、
    (a)気相の第1の反応物を前記反応チャンバに導入するための命令と、
    (b)気相の第2の反応物を前記反応チャンバに導入するための命令と、
    (c)前記基板の表面上における前記第1の反応物と前記第2の反応物との間の表面反応を促して、前記膜を形成させるために、前記第1の反応物の前記気相の流れが停止したときにパルスプラズマを定期的に打ち出して、前記基板の表面をパルスプラズマに暴露するための命令と、
    を有するコントローラと、を備え、前記コントローラは、動作(a)から動作(c)までを繰り返すための命令を有し、前記コントローラは、動作(c)の一度目の繰り返しを動作(c)の二度目の繰り返しとは異なるパルス周波数、及び/又はデューティサイクルで実施するための命令を有する、装置。
  18. 請求項17に記載の装置であって、
    前記コントローラは、動作(c)の最中に25Hzから5000Hzの間の周波数でプラズマをパルス化させるための命令を有する、装置。
  19. 請求項18に記載の装置であって、
    前記コントローラは、動作(c)の最中に100Hzから500Hzの間の周波数で前記プラズマをパルス化させるための命令を有する、装置。
  20. 請求項17から請求項19のいずれか一項に記載の装置であって、
    前記コントローラは、動作(b)及び動作(c)を少なくとも部分的に同時に実施するための命令を有する、装置。
  21. 請求項17から請求項20のいずれか一項に記載の装置であって、
    前記コントローラは、動作(c)を5%から95%の間のプラズマデューティサイクルで実施するための命令を有する、装置。
JP2014262248A 2013-12-30 2014-12-25 パルスプラズマ暴露を伴うプラズマ原子層堆積 Active JP6562629B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/144,107 US9076646B2 (en) 2010-04-15 2013-12-30 Plasma enhanced atomic layer deposition with pulsed plasma exposure
US14/144,107 2013-12-30

Publications (3)

Publication Number Publication Date
JP2015144268A JP2015144268A (ja) 2015-08-06
JP2015144268A5 JP2015144268A5 (ja) 2018-02-08
JP6562629B2 true JP6562629B2 (ja) 2019-08-21

Family

ID=53791777

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014262248A Active JP6562629B2 (ja) 2013-12-30 2014-12-25 パルスプラズマ暴露を伴うプラズマ原子層堆積

Country Status (4)

Country Link
JP (1) JP6562629B2 (ja)
KR (1) KR102384484B1 (ja)
SG (1) SG10201408801QA (ja)
TW (1) TWI654336B (ja)

Families Citing this family (220)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) * 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN107974667B (zh) * 2017-11-28 2019-08-16 南通大学 一种快速测定时序式ald前驱体临界脉冲宽度的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7079686B2 (ja) * 2018-07-27 2022-06-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
KR20210091825A (ko) 2018-12-13 2021-07-22 어플라이드 머티어리얼스, 인코포레이티드 인 도핑된 실리콘 나이트라이드 막들을 증착하기 위한 방법들
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6610169B2 (en) * 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
WO2007064376A2 (en) * 2005-11-28 2007-06-07 Honeywell International Inc. Organometallic precursors and related intermediates for deposition processes, their production and methods of use
US7651961B2 (en) * 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
JP5151260B2 (ja) * 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6043546B2 (ja) * 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5547763B2 (ja) * 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators

Also Published As

Publication number Publication date
KR102384484B1 (ko) 2022-04-07
KR20150079470A (ko) 2015-07-08
JP2015144268A (ja) 2015-08-06
SG10201408801QA (en) 2015-07-30
TWI654336B (zh) 2019-03-21
TW201531587A (zh) 2015-08-16

Similar Documents

Publication Publication Date Title
JP6562629B2 (ja) パルスプラズマ暴露を伴うプラズマ原子層堆積
CN111247269B (zh) 介电膜的几何选择性沉积
US9076646B2 (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US10192742B2 (en) Soft landing nanolaminates for advanced patterning
KR102602830B1 (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
CN107680903B (zh) 用于半导体图案化应用的掺杂ald膜
TWI714534B (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
CN107665811B (zh) 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
US9685320B2 (en) Methods for depositing silicon oxide
KR20230148308A (ko) Peald 프로세스 및 열적 ald 프로세스에 의해 형성된 나이트라이드 막
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
KR20210013633A (ko) 서브-포화된 원자층 증착 및 등각막 증착
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
US20160329206A1 (en) Methods of modulating residual stress in thin films
KR20130085900A (ko) 무-염소 등각 질화 규소 필름 증착 방법
CN114245832A (zh) 原子层沉积期间的膜特性的原位控制
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171221

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171221

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190311

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190625

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190723

R150 Certificate of patent or registration of utility model

Ref document number: 6562629

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250