JP5773306B2 - Method and apparatus for forming a semiconductor device structure - Google Patents
Method and apparatus for forming a semiconductor device structure Download PDFInfo
- Publication number
- JP5773306B2 JP5773306B2 JP2011004797A JP2011004797A JP5773306B2 JP 5773306 B2 JP5773306 B2 JP 5773306B2 JP 2011004797 A JP2011004797 A JP 2011004797A JP 2011004797 A JP2011004797 A JP 2011004797A JP 5773306 B2 JP5773306 B2 JP 5773306B2
- Authority
- JP
- Japan
- Prior art keywords
- layer
- dielectric
- copper
- substrate
- metal
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 229
- 239000004065 semiconductor Substances 0.000 title claims description 18
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 162
- 230000008569 process Effects 0.000 claims description 146
- 229910052751 metal Inorganic materials 0.000 claims description 123
- 239000002184 metal Substances 0.000 claims description 123
- 239000010949 copper Substances 0.000 claims description 122
- 229910052802 copper Inorganic materials 0.000 claims description 117
- 238000002161 passivation Methods 0.000 claims description 113
- 239000000758 substrate Substances 0.000 claims description 111
- 238000000151 deposition Methods 0.000 claims description 70
- 229910052782 aluminium Inorganic materials 0.000 claims description 53
- 239000000463 material Substances 0.000 claims description 50
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 45
- 230000015572 biosynthetic process Effects 0.000 claims description 42
- 238000011282 treatment Methods 0.000 claims description 38
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 37
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 36
- 239000007789 gas Substances 0.000 claims description 33
- 229910052760 oxygen Inorganic materials 0.000 claims description 26
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 24
- 150000001875 compounds Chemical class 0.000 claims description 24
- 239000000376 reactant Substances 0.000 claims description 24
- 238000012545 processing Methods 0.000 claims description 22
- 229910000881 Cu alloy Inorganic materials 0.000 claims description 21
- 238000009832 plasma treatment Methods 0.000 claims description 19
- 238000004140 cleaning Methods 0.000 claims description 18
- 238000010438 heat treatment Methods 0.000 claims description 18
- 239000001301 oxygen Substances 0.000 claims description 18
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 17
- 229910052757 nitrogen Inorganic materials 0.000 claims description 16
- 150000002739 metals Chemical class 0.000 claims description 15
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 claims description 14
- 239000005751 Copper oxide Substances 0.000 claims description 14
- 229910000431 copper oxide Inorganic materials 0.000 claims description 14
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 12
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 12
- 238000005229 chemical vapour deposition Methods 0.000 claims description 11
- 239000000126 substance Substances 0.000 claims description 7
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims description 6
- 229910018516 Al—O Inorganic materials 0.000 claims description 5
- 229910018509 Al—N Inorganic materials 0.000 claims description 4
- 229910002091 carbon monoxide Inorganic materials 0.000 claims description 2
- 239000010410 layer Substances 0.000 description 474
- 239000002019 doping agent Substances 0.000 description 87
- 238000009792 diffusion process Methods 0.000 description 85
- 230000001681 protective effect Effects 0.000 description 83
- 230000004888 barrier function Effects 0.000 description 75
- 230000008021 deposition Effects 0.000 description 55
- 239000002243 precursor Substances 0.000 description 38
- 229910052796 boron Inorganic materials 0.000 description 32
- 235000012431 wafers Nutrition 0.000 description 32
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 27
- 239000000203 mixture Substances 0.000 description 26
- 239000010408 film Substances 0.000 description 18
- 239000010936 titanium Substances 0.000 description 17
- 229910052715 tantalum Inorganic materials 0.000 description 16
- 229910052719 titanium Inorganic materials 0.000 description 16
- 229910052739 hydrogen Inorganic materials 0.000 description 14
- 230000009977 dual effect Effects 0.000 description 13
- 230000006870 function Effects 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 13
- 239000003989 dielectric material Substances 0.000 description 11
- 229910045601 alloy Inorganic materials 0.000 description 10
- 239000000956 alloy Substances 0.000 description 10
- -1 bis (cyclopentadienyl) cobalt Chemical compound 0.000 description 10
- 230000004048 modification Effects 0.000 description 10
- 238000012986 modification Methods 0.000 description 10
- 239000006104 solid solution Substances 0.000 description 10
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 238000010586 diagram Methods 0.000 description 9
- 238000005530 etching Methods 0.000 description 9
- 229910052735 hafnium Inorganic materials 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 8
- 238000006243 chemical reaction Methods 0.000 description 8
- 238000012805 post-processing Methods 0.000 description 8
- 229910052718 tin Inorganic materials 0.000 description 8
- 239000011229 interlayer Substances 0.000 description 7
- 229910052750 molybdenum Inorganic materials 0.000 description 7
- 150000004767 nitrides Chemical class 0.000 description 7
- NFHFRUOZVGFOOS-UHFFFAOYSA-N palladium;triphenylphosphane Chemical compound [Pd].C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1.C1=CC=CC=C1P(C=1C=CC=CC=1)C1=CC=CC=C1 NFHFRUOZVGFOOS-UHFFFAOYSA-N 0.000 description 7
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 description 7
- 229910052799 carbon Inorganic materials 0.000 description 6
- 229910052707 ruthenium Inorganic materials 0.000 description 6
- 239000004020 conductor Substances 0.000 description 5
- 239000001257 hydrogen Substances 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical group [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 229910052787 antimony Inorganic materials 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 4
- 239000000872 buffer Substances 0.000 description 4
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- VJDVOZLYDLHLSM-UHFFFAOYSA-N diethylazanide;titanium(4+) Chemical compound [Ti+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VJDVOZLYDLHLSM-UHFFFAOYSA-N 0.000 description 4
- 229930195733 hydrocarbon Natural products 0.000 description 4
- 150000002430 hydrocarbons Chemical class 0.000 description 4
- 150000001247 metal acetylides Chemical class 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 4
- 150000003254 radicals Chemical class 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 235000012239 silicon dioxide Nutrition 0.000 description 4
- 239000000377 silicon dioxide Substances 0.000 description 4
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 3
- QTRQHYHCQPFURH-UHFFFAOYSA-N aluminum;diethylazanide Chemical compound [Al+3].CC[N-]CC.CC[N-]CC.CC[N-]CC QTRQHYHCQPFURH-UHFFFAOYSA-N 0.000 description 3
- 150000001412 amines Chemical class 0.000 description 3
- 239000000356 contaminant Substances 0.000 description 3
- 238000012937 correction Methods 0.000 description 3
- TUTOKIOKAWTABR-UHFFFAOYSA-N dimethylalumane Chemical compound C[AlH]C TUTOKIOKAWTABR-UHFFFAOYSA-N 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 238000005121 nitriding Methods 0.000 description 3
- 125000000962 organic group Chemical group 0.000 description 3
- 150000002902 organometallic compounds Chemical class 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000007781 pre-processing Methods 0.000 description 3
- 238000006722 reduction reaction Methods 0.000 description 3
- 150000003346 selenoethers Chemical class 0.000 description 3
- 150000003568 thioethers Chemical class 0.000 description 3
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 3
- MCULRUJILOGHCJ-UHFFFAOYSA-N triisobutylaluminium Chemical compound CC(C)C[Al](CC(C)C)CC(C)C MCULRUJILOGHCJ-UHFFFAOYSA-N 0.000 description 3
- OXJUCLBTTSNHOF-UHFFFAOYSA-N 5-ethylcyclopenta-1,3-diene;ruthenium(2+) Chemical compound [Ru+2].CC[C-]1C=CC=C1.CC[C-]1C=CC=C1 OXJUCLBTTSNHOF-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- 239000003795 chemical substances by application Substances 0.000 description 2
- FCEOGYWNOSBEPV-FDGPNNRMSA-N cobalt;(z)-4-hydroxypent-3-en-2-one Chemical compound [Co].C\C(O)=C\C(C)=O.C\C(O)=C\C(C)=O FCEOGYWNOSBEPV-FDGPNNRMSA-N 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- VSLPMIMVDUOYFW-UHFFFAOYSA-N dimethylazanide;tantalum(5+) Chemical compound [Ta+5].C[N-]C.C[N-]C.C[N-]C.C[N-]C.C[N-]C VSLPMIMVDUOYFW-UHFFFAOYSA-N 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 150000004820 halides Chemical class 0.000 description 2
- 150000004678 hydrides Chemical class 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 238000002513 implantation Methods 0.000 description 2
- 229910001507 metal halide Inorganic materials 0.000 description 2
- 150000005309 metal halides Chemical class 0.000 description 2
- 229910052987 metal hydride Inorganic materials 0.000 description 2
- 150000004681 metal hydrides Chemical class 0.000 description 2
- 230000005012 migration Effects 0.000 description 2
- 238000013508 migration Methods 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- ZUSRFDBQZSPBDV-UHFFFAOYSA-N n-[bis(dimethylamino)stibanyl]-n-methylmethanamine Chemical compound CN(C)[Sb](N(C)C)N(C)C ZUSRFDBQZSPBDV-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052717 sulfur Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 150000004772 tellurides Chemical class 0.000 description 2
- VXKWYPOMXBVZSJ-UHFFFAOYSA-N tetramethyltin Chemical compound C[Sn](C)(C)C VXKWYPOMXBVZSJ-UHFFFAOYSA-N 0.000 description 2
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- 229910016344 CuSi Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 238000005033 Fourier transform infrared spectroscopy Methods 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 239000004480 active ingredient Substances 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 150000001343 alkyl silanes Chemical class 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 230000002542 deteriorative effect Effects 0.000 description 1
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 description 1
- DXKNERXVNFFIOM-UHFFFAOYSA-N diethylazanide;di(propan-2-yl)azanide;titanium(4+) Chemical compound CCN(CC)[Ti](N(CC)CC)(N(C(C)C)C(C)C)N(C(C)C)C(C)C DXKNERXVNFFIOM-UHFFFAOYSA-N 0.000 description 1
- VBCSQFQVDXIOJL-UHFFFAOYSA-N diethylazanide;hafnium(4+) Chemical compound [Hf+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VBCSQFQVDXIOJL-UHFFFAOYSA-N 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 229910021645 metal ion Inorganic materials 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000009281 ultraviolet germicidal irradiation Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/02247—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
- H01L21/02315—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28247—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76834—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Plasma & Fusion (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
本発明は、部分的に製造された集積回路に材料層を形成する方法に関する。より詳しくは本発明は、ダマシンインターコネクトのエレクトロマイグレーション特性を向上させるべく銅線内に保護キャップを形成する方法に関する。 The present invention relates to a method of forming a material layer on a partially fabricated integrated circuit. More particularly, the present invention relates to a method for forming a protective cap in a copper wire to improve the electromigration characteristics of a damascene interconnect.
ダマシンプロセスは、集積回路上に金属線を形成する方法であり、トレンチ内に金属線を施し、誘電体層内にビアを形成する(層間誘電体:inter layer dielectric)。ダマシンプロセスは他の方法に比べて必要とされる処理工程が少ないが歩留まりは高いために、しばしば好まれる。ダマシンプロセスは、プラズマエッチングによるパターニングが難しい銅等の金属への用途に特に適している。 The damascene process is a method of forming a metal line on an integrated circuit, in which a metal line is provided in a trench and a via is formed in a dielectric layer (inter layer dielectric). Damascene processes are often preferred because they require fewer processing steps than other methods but have a high yield. The damascene process is particularly suitable for applications to metals such as copper that are difficult to pattern by plasma etching.
典型的なダマシンプロセスのフローでは、パターニングされた誘電体に金属を堆積させて、誘電体層に形成されたビアおよびトレンチを充填する。この結果生成される金属化層は、通常、積層活性素子を有する層上に直接、または、下層である金属化層上に積層される。隣接する金属化層間に、炭化珪素、窒化珪素等の誘電体拡散バリア材料からなる薄膜層を堆積させて、金属が誘電体バルク層に拡散しないようにする。場合によっては、炭化珪素または窒化珪素からなる誘電体拡散バリア層は、層間誘電体(ILD)のパターニングに利用されるエッチングストップ層として利用されることもある。 In a typical damascene process flow, metal is deposited on the patterned dielectric to fill the vias and trenches formed in the dielectric layer. The resulting metallized layer is usually laminated directly on the layer having the laminated active element or on the underlying metallized layer. A thin film layer made of a dielectric diffusion barrier material such as silicon carbide or silicon nitride is deposited between adjacent metallization layers to prevent the metal from diffusing into the dielectric bulk layer. In some cases, a dielectric diffusion barrier layer made of silicon carbide or silicon nitride may be used as an etching stop layer used for patterning an interlayer dielectric (ILD).
通常の集積回路(IC)では、複数の金属化層を堆積させて積層体を形成して、金属を充填されたビアおよびトレンチをIC導電経路として利用している。1つの金属化層における複数の導電経路は、その下層または上層の複数の導電経路に、一連のダマシンインターコネクトにより接続される。 In a typical integrated circuit (IC), a plurality of metallized layers are deposited to form a stacked body, and vias and trenches filled with metal are used as IC conductive paths. A plurality of conductive paths in one metallization layer are connected to a plurality of conductive paths in the lower layer or upper layer by a series of damascene interconnects.
これらインターコネクトの製造には、近年IC素子のフィーチャの寸法が縮小を続けるなかで益々顕著になってきている課題がある。現在の90nm技術のノードまたはさらに進化したノードにおいては、寿命および信頼性の増したインターコネクトの提供が可能なインターコネクトの製造方法が強く望まれている。 The manufacture of these interconnects presents challenges that have become increasingly prominent in recent years as the dimensions of IC element features continue to shrink. In the current 90 nm technology node or a more advanced node, there is a strong demand for a method of manufacturing an interconnect that can provide an interconnect with increased lifetime and reliability.
IC製造段階における課題は、エレクトロマイグレーション障害である。エレクトロマイグレーションは、インターコネクトが受ける電流密度が高いことにより、電流を帯びた金属原子が移動する結果、インターコネクト内に空隙が形成されることにより生じる。空隙の形成によって最終的に素子が故障することもあり、これがエレクトロマイグレーション障害として知られている。IC素子の規模縮小が進むと、インターコネクトの寸法も小さくなり、インターコネクトが受ける電流密度は大きくなる。従って素子の規模縮小が進むとエレクトロマイグレーション障害が生じる可能性が高まる。銅はアルミニウムよりもエレクトロマイグレーション抵抗が高いが、銅製のインターコネクトにおいてさえも、45nm技術のノードまたはさらに進化したノードではエレクトロマイグレーション障害によって信頼性が顕著に脅かされる。 A problem in the IC manufacturing stage is electromigration failure. Electromigration is caused by the formation of voids in the interconnect as a result of the movement of metal atoms carrying the current due to the high current density experienced by the interconnect. The device may eventually fail due to the formation of voids, which is known as electromigration failure. As the scale of IC elements progresses, the dimensions of the interconnect also decrease, and the current density experienced by the interconnect increases. Therefore, the possibility of electromigration failure increases as the scale of the device progresses. Copper has a higher electromigration resistance than aluminum, but even in copper interconnects, reliability is significantly threatened by electromigration failures at 45nm technology nodes or even more advanced nodes.
本発明により、インターコネクトのエレクトロマイグレーション性能を高めるべく、金属線と誘電体拡散バリア(またはエッチングストップ)層との間の界面に保護キャップが設けられる。このキャップの形成方法も提供される。この保護キャップは、金属線の上部内の、誘電体拡散バリア層との界面に位置する非常に薄い層として形成されると、インターコネクト抵抗をあまり上昇させず、好適である。例えば保護キャップ層には、インターコネクト金属(銅等)の、ドーピング元素(ボロン、アルミニウム、チタン等)との固溶体、合金、または化合物等が含まれてよい。多くの実施形態では、インターコネクト金属と合金を形成しうる、および/または、粒界で累積して、インターコネクト金属原子のマイグレーションを低下させることのできるドーピング元素を選択すると好適である。 In accordance with the present invention, a protective cap is provided at the interface between the metal line and the dielectric diffusion barrier (or etch stop) layer to enhance the electromigration performance of the interconnect. A method of forming this cap is also provided. When this protective cap is formed as a very thin layer located at the interface with the dielectric diffusion barrier layer in the upper part of the metal line, it is preferable that the interconnect resistance is not increased so much. For example, the protective cap layer may include a solid solution, an alloy, a compound, or the like of an interconnect metal (such as copper) with a doping element (such as boron, aluminum, or titanium). In many embodiments, it is preferred to select a doping element that can form an alloy with the interconnect metal and / or can accumulate at grain boundaries to reduce the migration of interconnect metal atoms.
提供される方法によれば、ドーパント生成材料(例えばB、Al、Ti等を含む材料)のソース層を、露呈している金属線の上に堆積させて、ソース層の上部をパッシベーション層(例えば窒化物または酸化物)へと変換して且つドーパント生成ソース層の未修正の部分がインターコネクト金属と接触し続けるようにして、このソース層の未修正の部分からドーパントを、インターコネクト金属へと拡散させ、および/または、インターコネクト金属に作用させることにより、保護キャップの厚みを制御することができる。一実施形態では、インターコネクトに導入されるドーパントの量は、インターコネクトと接触しているソース層の未修正の部分の厚みにより制限される。他の実施形態では、インターコネクトに導入されるドーパントの量は、拡散および/または反応中の温度を制御することにより制御される。 According to the provided method, a source layer of a dopant generating material (eg, a material comprising B, Al, Ti, etc.) is deposited over the exposed metal lines, and the top of the source layer is exposed to a passivation layer (eg, Nitrides or oxides) and allowing the unmodified portion of the dopant-generating source layer to remain in contact with the interconnect metal to diffuse dopant from the unmodified portion of the source layer into the interconnect metal. And / or by acting on the interconnect metal, the thickness of the protective cap can be controlled. In one embodiment, the amount of dopant introduced into the interconnect is limited by the thickness of the unmodified portion of the source layer that is in contact with the interconnect. In other embodiments, the amount of dopant introduced into the interconnect is controlled by controlling the temperature during diffusion and / or reaction.
このように制御されて形成された薄い保護キャップは、インターコネクト金属上に大量の高反応のあるいは拡散し易いドーパント(例えばSiまたはGe)を堆積させる場合において不用意に起こりがちな、インターコネクトの抵抗の顕著な上昇を伴わないので好適である。加えて後述するように、提供される方法は、露呈する金属および誘電体の両方の上に殆ど堆積されない、または選択性なく堆積されるドーパント生成ソース層から保護キャップ層を形成するのに適している。これら方法は、ドーパントを含むソース層を、誘電体には殆ど堆積させずに、金属層の上のみに選択的に堆積させる場合にも利用可能であることを理解されたい。 The thin protective cap formed in this manner provides a resistance to interconnect that tends to be inadvertent when depositing large amounts of highly reactive or diffusible dopants (eg, Si or Ge) on the interconnect metal. This is preferable because it does not cause a significant increase. In addition, as described below, the provided method is suitable for forming a protective cap layer from a dopant-generating source layer that is scarcely or selectively deposited on both exposed metal and dielectric. Yes. It should be understood that these methods can also be used when the source layer containing the dopant is selectively deposited only on the metal layer with little deposition on the dielectric.
一側面においては、半導体素子構造を形成する方法が提供される。一実施形態では、本方法は、(a)第1の金属(例えば銅または銅合金)の露呈層および誘電体の露呈層を有する基板を、ボロンまたは第2の金属(例えばAl、Hf、Ti、Co、Ta、Mo、Ru、Sn、Sb)を含む化合物と接触させて、ボロンまたは第2の金属を含むソース層を、誘電体および第1の金属の両方の上に堆積させる処理と、(b)少なくとも第1の金属の領域の上のソース層の上部を修正してパッシベーション層を形成し、未修正のソース層の部分は第1の金属層と接触させ続ける処理と、(c)未修正のソース層の活性成分を、第1の金属内へ拡散させ、および/または、第1の金属と反応させて、第1の金属層内に保護キャップを形成する段階とを備える。 In one aspect, a method for forming a semiconductor device structure is provided. In one embodiment, the method includes: (a) applying a substrate having a first metal (eg, copper or copper alloy) exposure layer and a dielectric exposure layer to boron or a second metal (eg, Al, Hf, Ti). Depositing a source layer comprising boron or a second metal on both the dielectric and the first metal in contact with a compound comprising, Co, Ta, Mo, Ru, Sn, Sb); (B) modifying at least the top of the source layer above the first metal region to form a passivation layer, and keeping the unmodified source layer portion in contact with the first metal layer; (c) Diffusing the active component of the unmodified source layer into the first metal and / or reacting with the first metal to form a protective cap in the first metal layer.
一実施形態では、基板は、金属間誘電体層に埋め込まれた露呈した銅線を含むダマシン構造である。適宜、ソース層の堆積の前に、基板を予め洗浄して汚染物質(例えば銅酸化物)を銅の表面から取り除いておくこともできる。例えば、例えば、この前洗浄は、基板をプラズマ内で還元ガス(例えばH2またはNH3)に曝すことで行うことができる。その後、一定の温度で基板を揮発性ドーパント前駆体と接触させることで、ドーパント源(活性成分)を含むソース層を堆積させてよい。通常は(必須ではないが)、ソース層の堆積は、プラズマ放電を用いず、熱的に行われる。前洗浄およびソース層の堆積は、真空状態を解除することなくCVD装置内で行うことができる(例えば同じプロセスチャンバ内で行うことができる)。 In one embodiment, the substrate is a damascene structure that includes exposed copper wires embedded in an intermetallic dielectric layer. Optionally, prior to the deposition of the source layer, the substrate can be pre-cleaned to remove contaminants (eg, copper oxide) from the copper surface. For example, this pre-cleaning can be performed, for example, by exposing the substrate to a reducing gas (eg, H 2 or NH 3 ) in plasma. Thereafter, a source layer containing a dopant source (active component) may be deposited by contacting the substrate with a volatile dopant precursor at a constant temperature. Usually (although not essential), the deposition of the source layer is performed thermally without using a plasma discharge. Pre-cleaning and source layer deposition can be performed in a CVD apparatus without releasing the vacuum (eg, can be performed in the same process chamber).
一実施形態では、基板を約摂氏200から400度の間のチャンバ温度で、B2H6(またはその他の揮発性のボロンを含む前駆体)および不活性キャリアガスを含む混合ガスに曝すことで、ボロンを含むソース層を堆積する。堆積チャンバの圧力は、約0.5から10Torrの範囲に維持され、混合ガスにおけるB2H6の濃度は、体積比約0.5から20%の間の範囲に維持される。この条件下で、ボロンを含むソース層が、露呈した誘電体の上に、且つ、基板の金属部分の上に堆積される。ソース層は、B−H結合を含むことが分かっており、BHx層と称される場合がある。 In one embodiment, exposing the substrate to a gas mixture comprising B 2 H 6 (or a precursor containing other volatile boron) and an inert carrier gas at a chamber temperature between about 200 and 400 degrees Celsius. A source layer containing boron is deposited. The pressure in the deposition chamber is maintained in the range of about 0.5 to 10 Torr, and the concentration of B 2 H 6 in the mixed gas is maintained in the range between about 0.5 and 20% volume ratio. Under this condition, a source layer containing boron is deposited over the exposed dielectric and over the metal portion of the substrate. The source layer is known to contain B—H bonds and may be referred to as a BH x layer.
多くの実施形態では、金属表面において前駆体の分解率が高いことから、基板における誘電体部分より金属部分の上により大量のドーパントソース材料が堆積される。この結果、これら実施形態では、金属部分に堆積されるソース層の厚みは、誘電体の上に堆積されるソース層の厚みよりも大きい。しかし、多くのボロンを含む前駆体および金属を含む前駆体においては、通常このように金属と誘電体とに対する堆積を完全に選択的に行うことは難しい。記載される堆積方法においては、ソース層の堆積を金属/誘電体に対して絶対に選択的に行う必要はないので、好適である。 In many embodiments, because of the high precursor decomposition rate at the metal surface, a greater amount of dopant source material is deposited on the metal portion than on the dielectric portion in the substrate. As a result, in these embodiments, the thickness of the source layer deposited on the metal portion is greater than the thickness of the source layer deposited on the dielectric. However, in a precursor containing many boron and a precursor containing metal, it is usually difficult to perform deposition on the metal and the dielectric in this manner. The described deposition method is preferred because the source layer deposition need not be absolutely selective with respect to the metal / dielectric.
一部の実施形態では、前駆体を分解して基板上に金属を含む層を堆積可能な温度および圧力で、揮発性の金属を含む前駆体(例えば金属ハロゲン化物、金属水素化物、金属カルボニル、または揮発性の有機金属化合物)に基板を曝すことで、金属を含むソース層が堆積される。多くの場合で、BHx層の堆積の際に上述したものと同様の範囲の温度および圧力が適用可能である。当業者であれば、金属前駆体の種類に応じて堆積条件の最適化が可能であることを理解する。 In some embodiments, precursors containing volatile metals (eg, metal halides, metal hydrides, metal carbonyls, etc.) at temperatures and pressures that can decompose the precursors and deposit a metal-containing layer on the substrate. Alternatively, the source layer containing the metal is deposited by exposing the substrate to a volatile organometallic compound). In many cases, temperatures and pressures in the same range as described above can be applied during the deposition of the BH x layer. One skilled in the art understands that deposition conditions can be optimized depending on the type of metal precursor.
保護キャップを形成するドーパントとして好適な金属は数多く存在するが、その中には、インターコネクトの金属と固溶体、合金、または金属間層を形成する金属、および、インターコネクト内の粒界で拡散および累積可能な金属が含まれる。保護キャップの成分の例としては、Al、Hf、Ti、Co、Ta、Mo、Ru、Sn、およびSbが挙げられる。これら金属間の合金および固溶体、およびこれら金属と他の金属との合金および固溶体の利用も可能である。アルミニウムを含むソース層の揮発性前駆体として適切なものの中には、トリメチルアルミニウム、ジメチルアルミニウム水素化物、トリエチルアルミニウム、トリイソブチルアルミニウム(triisobutylaluminum)、および、トリス(ジエチルアミノ)アルミニウム(tris(diethylamino)aluminum)が含まれるが、これらに限定はされない。他の金属の堆積に適した前駆体には、ビス(シクロペンタジエニル)コバルト(bis(cyclopentadienyl)cobalt)、コバルト(II)アセチルアセトネート(cobalt (II) acetylacetonate)、テトラキス(ジエチルアミド)ハフニウム(tetrakis(diethylamido)hafnium)、テトラキス(ジメチルアミド)ハフニウム(tetrakis(dimethylamido)hafnium)、テトラキス(ジメチルアミド)モリブデン(tetrakis(dimethylamido)molybdenum)、テトラキス(ジメチルアミノ)チタン(TDMAT(tetrakis(dimethylamino)titanium(TDMAT))、テトラキス(ジエチルアミノ)チタン(TDEAT)(tetrakis(diethylamino)titanium(TDEAT))、テトラキス(エチルメチルアミド)チタン(tetrakis(ethylmethylamido)titanium)、ビス(ジエチルアミノ)ビス(ジイソプロピルアミノ)チタン(bis(diethylamino)bis(diisopropylamino)titanium)、ペンタキス(ジメチルアミノ)タンタルム(pentakis(dimethylamino)tantalum)、三次(ブチルイミドトリス)(ジエチルアミド)タンタルム(TBTDET)tert(butylimidotris)(diethylamido)tantalum(TBTDET))、ペンタキス(ジエチルアミド)タンタルム(pentakis(diethylamido)tantalum)、ビス(エチルシクロペンタジエニル)ルテニウム(bis(ethylcyclopentadienyl)ruthenium)、トリス(ジメチルアミド)アンチモン(tris(dimethylamido)antimony)、および、テトラメチルスズ(tetramethyltin)が含まれるが、これらに限定はされない。 There are a number of metals that are suitable as dopants to form protective caps, including those that form solid solutions, alloys, or intermetallic layers with interconnect metals and can diffuse and accumulate at grain boundaries within the interconnect. Metal is included. Examples of components of the protective cap include Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, and Sb. It is also possible to use alloys and solid solutions between these metals, and alloys and solid solutions of these metals with other metals. Some suitable volatile precursors for source layers containing aluminum include trimethylaluminum, dimethylaluminum hydride, triethylaluminum, triisobutylaluminum, and tris (diethylamino) aluminum. Is included, but is not limited thereto. Suitable precursors for the deposition of other metals include bis (cyclopentadienyl) cobalt, cobalt (II) acetylacetonate, tetrakis (diethylamide) hafnium ( tetrakis (diethylamido) hafnium), tetrakis (dimethylamido) hafnium, tetrakis (dimethylamido) molybdenum, tetrakis (dimethylamino) titanium (TDMAT) TDMAT)), tetrakis (diethylamino) titanium (TDEAT), tetrakis (ethylmethylamido) titanium, bis (diethylamino) bis (diisopropylamino) titanium (bis (diethylamino) bis (diisopropylamino) titanium), penta Pentakis (dimethylamino) tantalum, tertiary (butylimidotris) (diethylamido) tantalum (TBTDET) tert (butylimidotris) (diethylamido) tantalum (TBTDET), pentakis (diethylamido) tantalum (pentakis (diethylamido) tantalum), bis (ethylcyclopentadienyl) ruthenium, tris (dimethylamido) antimony, and tetramethyltin, including but not limited to Not done.
上述したように、ボロンまたは金属を含むソース層の堆積後に、その上部を修正してパッシベーション層(例えば窒化物または酸化物を含む層)を形成し、その下部については修正せずに、インターコネクト金属と接触させ続ける。誘電体の上よりも金属の上のソース層の厚みが大きくなるよう堆積を行う多くの実施形態では、この修正処理により、誘電体の上のソース層の部分が、導電率の低い材料(例えばBNx、ALxOy等)を含むパッシベーション層に完全に変換される。この修正は、隣接するインターコネクト間の短絡を防ぐ目的で行われる。さらに、金属線の上のソース層を部分的に修正することで、本層の上のドーパント量を制御する効果がもたらされ、保護キャップの厚み、ひいては、インターコネクト抵抗を制御することができるようになる。 As described above, after deposition of a source layer containing boron or metal, the upper portion is modified to form a passivation layer (eg, a layer containing nitride or oxide), and the lower portion is not modified, without modification. Keep in contact with. In many embodiments where deposition is performed such that the thickness of the source layer on the metal is greater than on the dielectric, this modification process causes the portion of the source layer on the dielectric to have a low conductivity material (eg, Completely converted into a passivation layer including BN x , AL x O y and the like. This correction is made to prevent a short circuit between adjacent interconnects. Furthermore, a partial modification of the source layer above the metal line has the effect of controlling the amount of dopant above this layer so that the thickness of the protective cap and thus the interconnect resistance can be controlled. become.
パッシベーション層の形成には多くのプロセスが利用可能である。一実施形態では、プラズマ放電中に窒素を含む反応物に基板を曝すことでソース層を修正する。例えば、NH3、N2H4、アミン、N2、およびこれらの混合物を利用することができる。特定の例では、プラズマ内でN2およびNH3の混合物に基板を曝すことで、BHxソース層を修正してBNxを含むパッシベーション層を形成する。他の実施形態では、プラズマ放電中に酸素を含む化合物(例えばO2、N2O、またはCO2)に基板を曝すことでソース層(例えば金属を含むソース層)を修正して、酸化物(例えば酸化アルミニウム、酸化チタン)を含むパッシベーション層を形成する。また別の実施形態では、プラズマに反応物を含む炭素によりソース層を修正して、炭化物または炭化水素(例えばBCx、CxHy)を含むパッシベーション層を形成する。 Many processes can be used to form the passivation layer. In one embodiment, the source layer is modified by exposing the substrate to a reactant comprising nitrogen during the plasma discharge. For example, NH 3 , N 2 H 4 , amine, N 2 , and mixtures thereof can be utilized. In a particular example, exposing the substrate to a mixture of N 2 and NH 3 in a plasma modifies the BH x source layer to form a passivation layer containing BN x . In other embodiments, the source layer (eg, a metal containing source layer) is modified by exposing the substrate to a compound containing oxygen (eg, O 2 , N 2 O, or CO 2 ) during plasma discharge to modify the oxide A passivation layer containing (eg, aluminum oxide, titanium oxide) is formed. In yet another embodiment, the source layer is modified with carbon that contains reactants in the plasma to form a passivation layer that includes carbides or hydrocarbons (eg, BC x , C x H y ).
修正層の厚みは、所望の厚みに形成可能である。修正層の厚みを制御することにより、ドーパント源を含む残りの未修正の層の厚みを制御することができるので、インターコネクト内の保護キャップの厚みも制御できるようになる。例えば、金属線の上のソース層の厚みの約20から60%の間が修正されてパッシベーション層を形成し、残りの未修正のドーパントを含む部分は金属線と接触させ続ける。一例では、金属線上のソース層は、約50から500オングストロームの厚みを有する。ソース層の約20から60%の間の厚みをパッシベーション層に変換した後で、未修正の約20から400オングストロームの間のソース層が金属線と接触し続ける。 The thickness of the correction layer can be formed to a desired thickness. By controlling the thickness of the correction layer, the thickness of the remaining unmodified layer containing the dopant source can be controlled, so that the thickness of the protective cap in the interconnect can also be controlled. For example, between about 20 to 60% of the thickness of the source layer above the metal line is modified to form a passivation layer, and the portion containing the remaining unmodified dopant remains in contact with the metal line. In one example, the source layer on the metal line has a thickness of about 50 to 500 Angstroms. After converting between about 20 to 60% of the thickness of the source layer to a passivation layer, an unmodified source layer of between about 20 to 400 angstroms remains in contact with the metal lines.
次に、修正層を形成した後で、未修正ソース層の活性成分を、インターコネクト金属内に拡散させ、および/または、インターコネクト金属と反応させて、インターコネクト金属層内に保護キャップを形成する。一部の実施形態では、保護キャップの形成前に先ずソース層の中に活性成分を形成する。活性成分の性質に応じて、様々な条件を利用して活性成分を生成して、そのインターコネクト金属への拡散を促進することができる。一部の実施形態では、所定の時間の間基板を高温に曝すことにより、金属インターコネクト内への保護キャップの形成が促進される。他の実施形態では、保護キャップは、ドーパント拡散時間を十分にとった後で室温に置くことで形成される。 Next, after forming the modification layer, the active component of the unmodified source layer is diffused into and / or reacted with the interconnect metal to form a protective cap in the interconnect metal layer. In some embodiments, the active ingredient is first formed in the source layer prior to forming the protective cap. Depending on the nature of the active component, various conditions can be used to generate the active component and promote its diffusion into the interconnect metal. In some embodiments, exposing the substrate to a high temperature for a predetermined time facilitates the formation of a protective cap in the metal interconnect. In other embodiments, the protective cap is formed by placing at room temperature after sufficient dopant diffusion time.
一部の実施形態では、パッシベーション層を形成した後に、エッチングストップ層または誘電体拡散バリア層(例えば、ドーピングされた、またはドーピングされない炭化珪素または窒化珪素を含む層)を、パッシベーション層の上に堆積させる。他の実施形態では、パッシベーション層自身がエッチングストップ層または誘電体拡散バリア層として機能してよく、別途エッチングストップ層を設ける必要がない。後者の場合には、金属間誘電体を直接パッシベーション層上に堆積させる。 In some embodiments, after forming the passivation layer, an etch stop layer or a dielectric diffusion barrier layer (eg, a layer comprising doped or undoped silicon carbide or silicon nitride) is deposited over the passivation layer. Let In other embodiments, the passivation layer itself may function as an etch stop layer or a dielectric diffusion barrier layer, and there is no need to provide a separate etch stop layer. In the latter case, the intermetallic dielectric is deposited directly on the passivation layer.
一部の実施形態では、ドーパントをインターコネクト金属内に拡散させ、および/または、インターコネクト金属と反応させることにより行われるインターコネクト金属のドーピングは、誘電体拡散バリアまたはエッチングストップ層の堆積後に行われる。例えば、エッチングストップ層(例えば炭化窒素層)の堆積後に基板を少なくとも約摂氏100度に加熱することで、保護キャップの形成を促進させてよい。 In some embodiments, doping of the interconnect metal by diffusing the dopant into and / or reacting with the interconnect metal occurs after deposition of the dielectric diffusion barrier or etch stop layer. For example, the formation of the protective cap may be facilitated by heating the substrate to at least about 100 degrees Celsius after deposition of the etch stop layer (eg, a nitrogen carbide layer).
一部の実施形態では、キャップ形成プロセスおよび拡散バリア(またはエッチングストップ)堆積プロセス全体を、真空状態を解除することなく1つのモジュールで順次実行することができるという利点を有する。複数のステーションが1つのチャンバに含まれるような、または、複数のチャンバを有するようなPECVDモジュール装置が、この堆積を行う装置として適している。1つのPECVD装置内で真空状態を解除することなく、金属を含む層および誘電体層の両方が順次堆積される点が優れている。例えば一実施形態では、プロセスには、金属を含むソース層を堆積して、ソース層の上部をパッシベーション層に変換して、金属インターコネクト内に活性成分により保護キャップを形成して、誘電体拡散バリアまたはエッチングストップ層を形成する処理が含まれ、これら全ての処理が、真空状態を解除することなく1つの装置の中で行われる。 Some embodiments have the advantage that the entire capping process and diffusion barrier (or etch stop) deposition process can be performed sequentially in one module without releasing the vacuum. A PECVD module apparatus in which a plurality of stations are included in one chamber or has a plurality of chambers is suitable as an apparatus for performing this deposition. An advantage is that both the metal-containing layer and the dielectric layer are sequentially deposited without releasing the vacuum in one PECVD apparatus. For example, in one embodiment, the process includes depositing a source layer comprising a metal, converting the top of the source layer to a passivation layer, and forming a protective cap with an active component within the metal interconnect to form a dielectric diffusion barrier. Alternatively, a process of forming an etching stop layer is included, and all these processes are performed in one apparatus without releasing the vacuum state.
これら方法を利用して形成される素子は、エレクトロマイグレーション特性に優れ、金属/誘電体拡散界面における付着特性に優れる。 An element formed using these methods has excellent electromigration characteristics and excellent adhesion characteristics at the metal / dielectric diffusion interface.
別の側面においては、半導体素子が提供される。半導体素子は、誘電体材料領域と、誘電体材料内に埋め込まれた銅または銅合金の領域とを含む。素子はさらに、誘電体層上および銅または銅合金の領域上に設けられるBNxを含む層を含む。素子はさらに、ボロンを含むキャップを銅または銅合金の領域内に含む。 In another aspect, a semiconductor device is provided. The semiconductor device includes a dielectric material region and a region of copper or copper alloy embedded in the dielectric material. The device further includes a layer comprising BN x provided on the dielectric layer and on the copper or copper alloy region. The device further includes a cap containing boron in the region of copper or copper alloy.
別の側面においては、部分的に製造された半導体素子の金属部分の上に、またはその中に保護キャップを形成する装置が提供される。装置は、(a)反応物を導入する入口を有するプロセスチャンバと、(b)保護キャップ形成中にウェハを支持するウェハ支持部と、(c)保護キャップを堆積するプログラム命令を含むコントローラとを含む。この命令には、(i)ボロンまたは第2の金属を含むソース層を、ウェハ基板上の金属または誘電体の露呈した部分の上に堆積させ、(ii)活性成分層の上部を修正してパッシベーション層を形成させ、(iii)ソース層の活性成分を、基板の上の金属内に拡散させ、および/または、金属と反応させて、保護キャップを形成させる各命令を含む。一部の実施形態では装置はPECVD装置である。記載した処理は、マルチステーション装置における1つのステーションで順次実行することもできる。他の実施形態では、一部の処理を装置の第1のステーションで行い、他の処理は他のステーションで行うようにすることもできる。1つのステーションは第1の温度で処理を行い、他のステーションは他の温度で処理を行うようにすることもできる。例えば、ソース層の堆積は、マルチステーション装置の1つのステーションで第1の温度で行い、後続して行うソース層の修正処理については、異なるステーションで異なる温度で行うようにすることができる。基板のステーション間の移動は、真空状態を解除することなく行うことができる。他の実施形態では、処理はマルチチャンバ装置でも同様に行うこともでき、この場合にも基板のチャンバ間の移動を、基板を周囲の条件に曝すことなく行うことができる。 In another aspect, an apparatus is provided for forming a protective cap on or in a metal portion of a partially fabricated semiconductor device. The apparatus includes: (a) a process chamber having an inlet for introducing a reactant; (b) a wafer support that supports the wafer during formation of the protective cap; and (c) a controller that includes program instructions for depositing the protective cap. Including. The instructions include: (i) depositing a source layer containing boron or a second metal over the exposed portion of metal or dielectric on the wafer substrate, and (ii) modifying the top of the active component layer. Including instructions for forming a passivation layer and (iii) diffusing the active component of the source layer into the metal on the substrate and / or reacting with the metal to form a protective cap. In some embodiments, the apparatus is a PECVD apparatus. The described process can also be executed sequentially at one station in a multi-station device. In other embodiments, some processing may be performed at the first station of the apparatus and other processing may be performed at other stations. One station may perform processing at a first temperature and the other station may perform processing at another temperature. For example, the deposition of the source layer can be performed at a first temperature in one station of the multi-station apparatus, and subsequent source layer modification processes can be performed at different temperatures at different stations. The movement of the substrate between the stations can be performed without releasing the vacuum state. In other embodiments, the process can be performed in a multi-chamber apparatus as well, in which case movement of the substrate between the chambers can be performed without exposing the substrate to ambient conditions.
別の側面においては、アルミニウムを含む保護キャップを、酸化物を含まない銅表面に形成する方法が提供される。本方法は、(a)酸化物を含まない銅または銅合金の露呈層、および、誘電体の露呈層を有する基板を、アルミニウムを含む化合物に曝して、誘電体、および、銅または銅合金の両方の上に、アルミニウムを含む第1の層を形成する処理と、(b)第1の層の少なくとも一部を化学的に修正して、アルミニウムを含むパッシベーション層を形成する処理と、(c)パッシベーション層の上に誘電体層を堆積させる処理とにより特徴付けられる。ある実施形態においては、処理(a)、(b)、および(c)各々は、化学気相成長(CVD)装置で行われる。さらなる実施形態においては、処理(c)で堆積される誘電体層は、エッチングストップ誘電体層である。エッチングストップ誘電体層は、例えば、窒化珪素または炭化珪素等のドーピングされた、またはドーピングされない材料であってよい。別の実施形態では、処理(c)で堆積される誘電体層は、パッシベーション層に直接堆積される層間誘電体(ILD)層である。 In another aspect, a method is provided for forming a protective cap comprising aluminum on an oxide free copper surface. The method comprises: (a) exposing a substrate having an oxide-free copper or copper alloy exposed layer and a dielectric exposed layer to a compound comprising aluminum to form the dielectric and the copper or copper alloy; A process for forming a first layer comprising aluminum on both; (b) a process for chemically modifying at least a portion of the first layer to form a passivation layer comprising aluminum; and (c) And) a process of depositing a dielectric layer over the passivation layer. In some embodiments, each of processes (a), (b), and (c) is performed in a chemical vapor deposition (CVD) apparatus. In a further embodiment, the dielectric layer deposited in step (c) is an etch stop dielectric layer. The etch stop dielectric layer may be a doped or undoped material such as, for example, silicon nitride or silicon carbide. In another embodiment, the dielectric layer deposited in step (c) is an interlayer dielectric (ILD) layer deposited directly on the passivation layer.
ある実施形態では、方法はさらに、処理(a)の前に追加処理を含む。具体的には、基板の表面を洗浄して、銅または銅合金の表面から銅酸化物を完全に除去する。洗浄技術の例としては、(1)直接プラズマ処理、(2)遠隔プラズマ処理、(3)UV処理、および(4)N2、NH3、およびH2のうち少なくとも1つを含むガスにおける熱処理が挙げられる。 In certain embodiments, the method further includes additional processing prior to processing (a). Specifically, the surface of the substrate is cleaned to completely remove copper oxide from the surface of copper or copper alloy. Examples of cleaning techniques include (1) direct plasma treatment, (2) remote plasma treatment, (3) UV treatment, and (4) heat treatment in a gas containing at least one of N 2 , NH 3 , and H 2. Is mentioned.
上述した実施形態では、処理(a)は、基板温度を少なくとも約摂氏350度(例えば少なくとも約摂氏400度)として、プラズマを利用せずに基板を有機アルミニウム化合物に曝す処理を含む。例えば、有機アルミニウム化合物はトリメチルアルミニウムであってよい。 In the embodiments described above, process (a) includes a process wherein the substrate temperature is at least about 350 degrees Celsius (eg, at least about 400 degrees Celsius) and the substrate is exposed to the organoaluminum compound without using plasma. For example, the organoaluminum compound may be trimethylaluminum.
ある実施形態では、処理(b)は、銅の層にアルミニウムを実質的に拡散させることなく、銅または銅合金の上の第1の層を実質的に完全にパッシベーションさせる。この代わりに、処理(b)が、銅の層内にアルミニウムを部分的に拡散させ、銅または銅合金の上の第1の層を部分的にパッシベーションさせてもよい。 In certain embodiments, treatment (b) substantially completely passivates the first layer on the copper or copper alloy without substantially diffusing aluminum into the copper layer. Alternatively, process (b) may partially diffuse aluminum into the copper layer and partially passivate the first layer on the copper or copper alloy.
ある実施形態では、処理(b)における層のパッシベーションは、Al―N結合を有する実質的な不動化合物(immobile compound)を形成する処理を含む。特定の実施形態では、パッシベーションに、窒素を含む物質(agent)で基板を処理することが含まれ、この処理には、例えば直接プラズマ処理、遠隔プラズマ処理、UV処理、または熱処理が含まれてよい。より具体的には、処理において、プラズマを利用せずに、窒素を含む物質に基板を曝すこともできる。この後者の処理は、例えば誘電体がULK誘電体であるような場合に適している。 In certain embodiments, the passivation of the layer in step (b) includes a step of forming a substantially immobile compound having an Al-N bond. In certain embodiments, passivation includes treating the substrate with a nitrogen containing agent, which may include, for example, direct plasma treatment, remote plasma treatment, UV treatment, or heat treatment. . More specifically, the substrate can be exposed to a substance containing nitrogen without using plasma in the processing. This latter process is suitable, for example, when the dielectric is a ULK dielectric.
また他の実施形態では、処理(b)における層のパッシベーションに、AL−O結合を含む実質的な不動化合物を形成する処理が含まれる。この処理は、酸素を含む物質で基板を処理することを含み、この処理は、例えば、直接プラズマ処理、遠隔プラズマ処理、UV処理、または熱処理のいずれか1つであってよい。特定の実施形態では、処理には、プラズマを利用せずに、酸素を含む物質に基板を曝すことが含まれてよい。この処理は、例えば誘電体がULK誘電体であるような場合に適している。酸素を含む物質の例としては、O2、N2O、CO2、およびO3が挙げられる。 In yet another embodiment, the passivation of the layer in step (b) includes a step of forming a substantially immobile compound containing an AL-O bond. The treatment includes treating the substrate with a substance that includes oxygen, and the treatment may be, for example, any one of direct plasma treatment, remote plasma treatment, UV treatment, or heat treatment. In certain embodiments, the treatment may include exposing the substrate to a material containing oxygen without utilizing a plasma. This process is suitable when the dielectric is, for example, a ULK dielectric. Examples of substances containing oxygen include O 2 , N 2 O, CO 2 , and O 3 .
本発明の別の側面は、半導体素子構造を形成する装置に係り、本装置は、(a)ガスの、あるいは、揮発性の金属を含む反応物を導入する入口を有するプロセスチャンバと、(b)プロセスチャンバのウェハ基板上に金属を含む層を堆積させる間にウェハを支持するウェハ支持部と、(c)プログラム命令を含むコントローラという特徴を有する。プログラム命令は、(i)酸化物を含まない銅または銅合金の露呈層、および、誘電体の露呈層を有する基板を、アルミニウムを含む反応物に曝して、誘電体および第1の金属の両方の上に、アルミニウムを含む第1の層を形成する処理と、(ii)第1の層の少なくとも一部を化学的に修正して、アルミニウムを含むパッシベーション層を形成する処理とを実行する命令を含んでよい。 Another aspect of the present invention relates to an apparatus for forming a semiconductor device structure, the apparatus comprising: (a) a process chamber having an inlet for introducing a reactant containing a gas or a volatile metal; A) a wafer support for supporting the wafer while depositing a layer containing metal on the wafer substrate of the process chamber; and (c) a controller including program instructions. The program instructions include: (i) exposing a substrate having an oxide-free copper or copper alloy exposed layer and a dielectric exposed layer to a reactant comprising aluminum, both a dielectric and a first metal; And (ii) instructions to perform a process of chemically modifying at least a portion of the first layer to form a passivation layer including aluminum. May be included.
本発明の上述した特徴およびその他の特徴および利点を、関連図面を参照しながら以下に詳述する。 The foregoing and other features and advantages of the present invention are described in detail below with reference to the associated drawings.
<導入部および概説>
素子の寸法が縮小を続け、インターコネクトが受ける電流密度が高くなるなか、エレクトロマイグレーションは、IC製造における信頼性を脅かす顕著な課題となっている。エレクトロマイグレーションは、電流を帯びた金属原子をマイグレートさせ、インターコネクト内に空隙を形成する。空隙の形成は素子の故障につながる。金属原子のマイグレーションは、金属/拡散バリアの界面および粒界において顕著に生じる。現在の90nmおよび45nmの技術ノードの水準においては、エレクトロマイグレーション性能を向上させる方法が必要である。エレクトロマイグレーション性能は、ドーパント元素をインターコネクトに導入することにより向上させることができるが、これらドーパントは通常インターコネクト金属(例えばCu)よりも高抵抗であるものが殆どであり、インターコネクト抵抗を大幅に上げる。従って、インターコネクトを無制御にドーピングすると、インターコネクトが許容できないほどの高抵抗になることがある。
<Introduction and outline>
As device dimensions continue to shrink and the current density experienced by interconnects increases, electromigration has become a significant challenge that threatens reliability in IC manufacturing. Electromigration causes current-carrying metal atoms to migrate and form voids in the interconnect. Formation of voids leads to device failure. Metal atom migration occurs significantly at the metal / diffusion barrier interface and grain boundaries. At the current 90 nm and 45 nm technology node levels, there is a need for methods to improve electromigration performance. Electromigration performance can be improved by introducing dopant elements into the interconnect, but most of these dopants are usually higher in resistance than the interconnect metal (eg, Cu), greatly increasing the interconnect resistance. Therefore, uncontrolled doping of the interconnect may result in an unacceptably high resistance.
本願はドーパントの注入を制御する方法を提供する。方法は、ドーパントの量を制御しながらインターコネクトに注入することにより、金属インターコネクト中に保護キャップを形成する処理を含む。この処理の結果、金属線の上部内の、特に金属と誘電体拡散バリア(またはエッチングストップ)層との間の界面において、非常に薄い保護キャップを形成することができる。保護キャップは、インターコネクト金属のドーパントとの固溶体、合金、または化合物を含むと好適である(必須ではない)。例えば銅は、B、Al、Hf、Ti、Co、Ta、Mo、Ru、Sn、またはSbでドーピングされてよい。これらドーパントは互いに組み合わせることも、他の元素と組み合わせることもできる。一般的には様々なドーパントが利用可能である。インターコネクト金属との固溶体、合金、および化合物を形成可能なドーパント、および、金属/拡散バリア界面に、および、インターコネクト内の粒界に累積可能なドーパントが特に好適である。 The present application provides a method for controlling dopant implantation. The method includes a process of forming a protective cap in the metal interconnect by implanting the interconnect with a controlled amount of dopant. As a result of this treatment, a very thin protective cap can be formed in the upper part of the metal line, especially at the interface between the metal and the dielectric diffusion barrier (or etch stop) layer. Suitably, the protective cap comprises (but is not essential) a solid solution, alloy or compound with the interconnect metal dopant. For example, copper may be doped with B, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, or Sb. These dopants can be combined with each other or with other elements. In general, various dopants are available. Particularly suitable are dopants that can form solid solutions, alloys, and compounds with interconnect metals, and dopants that can accumulate at the metal / diffusion barrier interface and at grain boundaries within the interconnect.
ここに記載する保護キャップおよびキャップの形成方法は、インターコネクトのエレクトロマイグレーション性能を向上させるという利点を有するが、記載される素子およびプロセスはこの特定の用途に限定はされない。例えば、保護キャップは、金属線と、誘電体拡散バリアまたはエッチングストップ層との間の付着性能を向上させる機能を有して、IC素子製造中にインターコネクト金属が酸化するのを防止する機能もある。 Although the protective caps and cap formation methods described herein have the advantage of improving the electromigration performance of the interconnect, the described devices and processes are not limited to this particular application. For example, the protective cap has a function of improving the adhesion performance between the metal line and the dielectric diffusion barrier or the etching stop layer, and also has a function of preventing the interconnect metal from being oxidized during the manufacture of the IC element. .
インターコネクトへの保護キャップの形成を、銅デュアルダマシンプロセスのコンテクストで示す。ここに開示する方法は、シングルダマシンプロセスを含む他の処理方法において利用することもでき、銅以外の様々なインターコネクト金属への応用が可能である。例えば、これら方法を、アルミニウム、金、および銀等を含むインターコネクトに応用することもできる。 The formation of a protective cap on the interconnect is shown in the context of a copper dual damascene process. The method disclosed herein can be used in other processing methods including a single damascene process, and can be applied to various interconnect metals other than copper. For example, these methods can be applied to interconnects including aluminum, gold, silver, and the like.
図1Aから図1Dは、デュアルダマシン製造プロセスの各段階における半導体基板上に作成される素子構造の横断面図である。デュアルダマシンプロセスにより作成される完全な構造の横断面図を図1Eに示す。本願で利用する「半導体基板」という用語は、IC素子の半導体部分に限らず、半導体を含む基板として広義に定義される。図1Aは、デュアルダマシン製造に利用される、部分的に製造されたIC構造100の一例を示す。図1Aから図1Dに示す構造100は、半導体基板の一部であり、一部の実施形態では、能動素子(例えばトランジスタ)を含む層の上に直接設けられてよい。他の実施形態では、導電材料を含む金属化層その他の層(例えばメモリコンデンサを含む層)の上に設けることもできる。
1A to 1D are cross-sectional views of an element structure formed on a semiconductor substrate at each stage of a dual damascene manufacturing process. A cross-sectional view of the complete structure created by the dual damascene process is shown in FIG. 1E. The term “semiconductor substrate” used in the present application is not limited to a semiconductor portion of an IC element, but is broadly defined as a substrate including a semiconductor. FIG. 1A shows an example of a partially fabricated
図1Aに示す層103は、金属間誘電体層であり、二酸化珪素であってもよいが、通常はkの少ない誘電体材料である。金属間誘電体積層の誘電率を最小とするべく、約3.5未満のk値である材料(好適には、3.0未満であり、約2.8未満である場合も多い)が層間誘電体として利用される。これらの材料は、当業者に公知なフッ素または炭素をドーピングされた二酸化珪素、有機物を含む低kの材料および多孔質のドーピングされた二酸化珪素材料が含まれるが、これらに限定はされない。これらの材料は、例えばPECVDまたはスピンオン法により堆積することができる。層103は、部分的に導電性を有する金属拡散バリア105が堆積されるライン経路(トレンチまたはビア)でエッチングされ、銅の導電性ルート107をはめ込まれる。銅その他の移動導電材料は半導体基板の導電経路を提供するので、その下の、金属線に隣接したシリコン素子および誘電体層を金属イオン(例えばCu2+)から保護して、シリコンまたは層間誘電体に拡散または浮遊してしまい特性が劣化することがないようにする必要がある。IC素子の誘電体層を保護するために様々な種類の金属拡散バリアが利用される。これら様々な種類は、105等の部分的に導電性を有する金属を含む層と、図1Bを参照して詳述する誘電体バリア層とに分類することができる。部分的に導電性を有する拡散バリア105に適した材料には、タンタル、窒化タンタル、チタン、窒化チタン等の材料が含まれる。これらは通常、PVDまたはALD法によりビアおよびトレンチを有する誘電体層上に堆積される。
銅製の導電性ルート107は、PVD、電気めっき法、無電極堆積法、CVD等を含む複数の技術による形成が可能である。一部の実装例では、銅充填を形成する際に好適な方法は、銅の薄いシード層をPVDにより堆積して、次いで、電気めっき法によりバルク銅充填を堆積する、というものである。通常、銅は電界領域に過剰に堆積するので、化学機械研磨(CMP)処理を行って余剰分を取り除き、平坦な構造100を得る必要がある。
The copper
次に図1Bに示すように、構造100の完成後に、基板100の表面を予め洗浄して、汚染物質および金属酸化物を取り除いておく。この前洗浄処理の後に、活性成分(ボロンまたは金属を含むドーパントを生成する成分のこと)を含むドーパントソース層を、銅線107および誘電体103両方の上に堆積させる。次に、例えばソース層を窒化または酸化させることにより、ソース層をパッシベーション層109に変換する。例えば、パッシベーション層はBNx、BOx、ALOx、TiOx等を含んでよい。ソース層は、誘電体領域の上の非導電性のパッシベーション層に完全に変換されて、隣接する金属線107の間の短絡を防止する。銅線107の上に直接設けられるソース層の部分については、部分的にしかパッシベーション層に変換しないことで、未修正のソース層の部分が銅と接触し続けるようにする。ソース層のパッシベーションされない部分からのドーパントを銅内に拡散、および/または、銅と接触させた後で、金属線107の上部内に保護キャップ108を形成する。保護キャップの厚みは、ソース層を部分的にパッシベーションする際の修正程度を制御することで、および、銅に対するドーパントの拡散および/または反応中に利用される条件を制御することで、ソース層に堆積させる材料の量を制御することにより制御可能である。保護キャップは、例えば、銅とB、Al、Ti等との固溶体または合金を含んでよい。一部の実施形態では、合金または固溶体内のドーパント量は、ソース層からのドーパントの拡散を促進する際の温度および時間を制御することにより制御される。保護キャップおよびパッシベーション層の組成については後段で詳述する。
Next, as shown in FIG. 1B, after the
一部の実施形態では、パッシベーション層は拡散バリア層としても機能する。他の実施形態では、パッシベーション層の上に別の拡散バリア(またはエッチングストップ)層を堆積する。通常、これら拡散バリア層は、ドーピングされた、またはドーピングされない炭化珪素または窒化珪素を含む。 In some embodiments, the passivation layer also functions as a diffusion barrier layer. In other embodiments, another diffusion barrier (or etch stop) layer is deposited over the passivation layer. Typically, these diffusion barrier layers comprise doped or undoped silicon carbide or silicon nitride.
図1Bに示すように、膜109は、単一のパッシベーション層(例えばBNxまたはAlOx層)、または、銅線107に隣接するパッシベーション層とパッシベーション層の上に設けられた上部誘電体拡散バリア層(ドーピングされた炭化珪素層)とからなる二重層を含んでよい。これら実施形態については図2A−図2Cを参照して後段で詳述する。膜109は、Cu/誘電体界面膜または単に「界面膜」と称する。
As shown in FIG. 1B, the
界面膜が別個の誘電体拡散バリア層を含む実施形態では、誘電体拡散バリア層は、通常はPECVD法でパッシベーション層の上に堆積される。一実施形態では、パッシベーション層の堆積、保護キャップ108の形成、および誘電体拡散バリア層の堆積を、1つのPECVD装置により、真空状態を解除することなく行う。界面膜109は、後続するダマシンプロセスにおいてエッチングストップとして利用可能である。
In embodiments where the interfacial film includes a separate dielectric diffusion barrier layer, the dielectric diffusion barrier layer is deposited over the passivation layer, typically by PECVD. In one embodiment, passivation layer deposition,
図1Bの参照に戻ると、デュアルダマシン誘電体構造の第1の誘電体層111が、膜109に堆積される。オプションとして、この後で、第1の誘電体層111の上にPECVD法によりエッチングストップ膜113を堆積させることもできる。誘電体層111は通常、誘電体層103の材料として前述したようなkが少ない誘電体材料から形成される。層111および103は、必ずしも同一の組成を有する必要はない。
Returning to the reference of FIG. 1B, a first
次いで図1Cに示すように、デュアルダマシン誘電体構造の第2の誘電体層115を、第1の誘電体層111と同様の方法でエッチングストップ膜113上に堆積させる処理を行う。次に反射保護層(不図示)およびCMPストップ膜117を堆積させる処理が行われる。第2の誘電体層115は通常、層103および111の材料として上述したようなkが少ない誘電体材料を含む。CMPストップ膜117は、後続するCMP処理における金属間誘電体(IMD)層115の繊細な誘電体材料を保護する役目を持つ。通常、CMPストップ層は、拡散バリアおよびエッチングストップ膜109および113と同様の集積要件で集積され、炭化珪素または窒化珪素に基づく材料を含むことができる。
Next, as shown in FIG. 1C, a process of depositing a
デュアルダマシンプロセスでは、次に、図1Dから図1Eに示すような第1および第2の誘電体層にビア119およびトレンチ121をエッチングする処理が行われる。標準的なリソグラフィー技術を利用して、図1Dに示すようなパターンがエッチングにより形成される。当業者には公知である先ずトレンチを形成する方法または先ずビアを形成する方法が利用されてよい。
Next, in the dual damascene process, a process of etching the via 119 and the
次に図1Eに示すように、これらの新たに形成されたビアおよびトレンチを、上述したように金属拡散バリア123でコーティングする。この金属拡散バリア123は、誘電体層への銅原子の拡散を効果的に遮蔽するタンタル、窒化タンタル、窒化チタン、その他のバリア材料を含むことができる。
These newly formed vias and trenches are then coated with a
拡散バリア123を堆積した後、銅のシード層を(通常はPVDプロセスにより)設けて、後でエレクトロフィルによりフィーチャに銅をはめ込ませる。銅の層は、例えばエレクトロフィルにより堆積され、電界に堆積された余剰金属は、CMPがCMPストップ膜117で止まるよう行われるCMP処理で取り除かれる。図1Eは、銅の導電性ルート124および125がバリア123上のビアおよびトレンチ表面にはめ込まれた(シード層は不図示)、デュアルダマシンプロセスの完成を示す。図1Eは、銅線のドーピングが制御しながら行われた3つのインターコネクトを示す。
After the
さらなる処理が必要な場合には、膜109に類似した界面膜と、キャップ108に類似した保護キャップとを、図1Eに示す構造の上に形成して、新たな金属化層の堆積を行う。
If further processing is required, an interfacial film similar to
保護キャップ108および界面層109の構造および組成を、以下に図2A−図2Cを参照しながら詳述する。
The structure and composition of the
<素子構造>
図2Aは、部分的なIC構造の横断面図の一例を示す。本素子では、層間誘電体201に形成されるビアおよびトレンチは、拡散バリア材料203と位置合わせされており、銅または銅合金205を充填されている。銅線205の上部には、銅線205とパッシベーション層209との間の界面に設けられた薄い保護キャップ207が含まれている。パッシベーション層209は、ILD層201の上および保護キャップ207の上の両方に設けられて、これら両方の層と接している。誘電体拡散バリアまたはエッチングストップ層211は、パッシベーション層211の上に設けられている。明瞭性を優先して図面には示さないが、誘電体拡散バリアまたはエッチングストップ層211の上にはさらなる別のILD層も設けられている。パッシベーション層209および拡散バリア(またはエッチングストップ)層211は協働して、金属/ILD境界に位置する界面膜を構成する(図1Bを参照して説明した層109同様に)。
<Element structure>
FIG. 2A shows an example of a cross-sectional view of a partial IC structure. In this device, the vias and trenches formed in the
一実施形態では、層間誘電体層201は、約1,000および10,000オングストロームの間の厚みを有する。層201は、当業者に公知な、kが少ない、kが非常に少ない誘電体等の様々なILD材料を含むことができる。例えば、炭素ドーピングされた酸化珪素またはkが約2.8より小さい有機誘電体材料を利用することができる。銅線205は、約500および10,000オングストロームの間の厚みを有してよく、このうち好適には層の厚みの約10%以下を(より好適には約2%以下を)保護キャップが占める。多くの実施形態で保護キャップは、グレーデッド組成を有し、パッシベーション層の界面でドーパントの濃度が最大になっている。保護キャップとして許容範囲の厚みは、ドーパントの抵抗に応じて決定される。一般的には、保護キャップは、記載された方法に従って、ビアの抵抗シフトが約10%未満となるよう(好適には約5%未満であり、より好適には約3%未満となるよう)形成される。抵抗シフトは、キャップを有さないインターコネクトの抵抗と、キャップを有するインターコネクトの抵抗との差異として計測される。一部の実施形態では、許容範囲の抵抗シフトは、500オングストロームを超えない(好適には100オングストロームを超えない)厚みを有する保護キャップを形成することにより達成される。
In one embodiment,
異なるドーパントを利用すると、銅インターコネクト内での拡散状態も異なり、インターコネクト抵抗に及ぼす影響の度合いも変わってくる。従って上述した数値はほんの一例にすぎず、上述した厚みのパラメータに構造を限定する意図はないことを理解されたい。例えば、ドーパントのなかには、銅インターコネクト内に拡散して銅線全体に堆積して区別可能なキャップを形成しないものもあれば、粒界に累積したり、および/または、他の界面(例えば拡散バリア203を有する銅の層205の界面)に累積したりするものがある。提供される方法においては、層の厚みが正確に定義はされなくても、これらドーパントの注入量の制御によりインターコネクト抵抗を制御可能であると好適である。
When different dopants are used, the diffusion state in the copper interconnect is also different and the degree of influence on the interconnect resistance is also changed. Accordingly, it should be understood that the above-described numerical values are only examples and are not intended to limit the structure to the above-described thickness parameters. For example, some dopants do not diffuse into the copper interconnect and deposit across the copper wire to form a distinguishable cap, accumulate at grain boundaries, and / or other interfaces (eg, diffusion barriers). Or accumulated on the interface of the
保護キャップには複数のドーピング元素を利用することができる。なかでも、銅との固溶体、合金、または化合物を形成するドーパント、および、銅の粒界、および、銅と他の層との間の界面に累積することのできるドーパントが好適である。多くの場合に金属等の低抵抗率の材料が好まれる。さらに多くの場合に低温で(例えば好適には約摂氏100度未満の温度で)容易に銅内に拡散しない材料が好まれる。好適なドーパントの例としては、B、Al、Hf、Ti、Co、Ta、Mo、Ru、Sn、およびSbが挙げられるが、これらに限定はされない。一般的に、CVD法による堆積を実行するには、選択されるドーパントが揮発性の前駆体を有すると好適である。従って揮発性の水素化物、カルボニル、ハロゲン化物、および有機金属系の前駆体を有する金属ドーパントが通常は好まれる。摂氏450度までの温度且つ約1Torrを超える圧力において気相で注入することのできる化合物を好適な前駆体としてよい。 A plurality of doping elements can be used for the protective cap. Among them, a dopant that forms a solid solution, an alloy, or a compound with copper, and a dopant that can accumulate at the grain boundary of copper and the interface between copper and another layer are preferable. In many cases, low resistivity materials such as metals are preferred. Furthermore, materials that do not readily diffuse into copper at low temperatures (eg, preferably at temperatures below about 100 degrees Celsius) are preferred. Examples of suitable dopants include, but are not limited to, B, Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, and Sb. In general, it is preferred that the selected dopant has a volatile precursor for performing CVD deposition. Accordingly, metal dopants having volatile hydrides, carbonyls, halides, and organometallic precursors are usually preferred. Compounds that can be injected in the gas phase at temperatures up to 450 degrees Celsius and pressures greater than about 1 Torr may be suitable precursors.
特定の実施形態では、保護キャップ207は、銅およびボロン、または銅およびアルミニウム、または銅およびチタンを含む。一部の実施形態では、ドーパント同士を組み合わせて利用する。例えば保護キャップ207は、銅、アルミニウム、およびチタン、または銅とドーパントの他の組み合わせを含んでよい。一部の実施形態では、上述したドーパントを、保護自己整合緩衝(PSAB)層の形成に利用される材料(例えば、CuSix、CuGex、SiNx、およびSiCx等の材料)と組み合わせて利用する。これら層は、同一出願人による「ダマシンインターコネクト用の保護自己整合緩衝層:Protective Self-aligned Buffer Layers for Damascene Interconnects」なる名称の米国特許出願第11/726,363号明細書(出願日:2007年3月20日、発明者Yu等)、「ダマシンインターコネクト用の保護自己整合緩衝層:Protective Self-aligned Buffer Layers for Damascene Interconnects」なる名称の米国特許出願第11/709,293号明細書(出願日:2007年2月20日、発明者Chattopadhyay等)、「自己整合緩衝層の形成によるCuダマシンインターコネクトの保護:Protection of Cu Damascene Interconnects by Formation of a Self-aligned Buffer Layer」なる名称の米国特許出願第10/980,076号明細書(出願日:2004年11月3日、発明者Schravendijk等)に詳しく説明されており、これら全体を全ての目的から本明細書に組み込む。
In certain embodiments, the
ILD層201の上および保護キャップ207の上の両方に設けられるパッシベーション層209は、一実施形態では、約50から500オングストロームの厚みを有する。パッシベーション層は通常、隣接するインターコネクト間の短絡を防ぐ非導電性材料を含む。パッシベーション層は通常、修正されたドーパントを含む(例えば、ボロンまたは金属であるドーパントの窒化物、酸化物、炭化物、硫化物、セレン化物、リン化物およびヒ化物を含んでよい)。さらに、パッシベーション層は、炭化水素CxHyを含んでよい。一実施形態では、パッシベーション層はBNxを含む。BNx層は、さらに水素を含んでよく、一部の実施形態では他の元素を含んでもよい。別の例では、パッシベーション層は、AlOx、HfOx、TiOx、CoOx、TaOx、MoOx、RuOx、SnOx、およびSbOx等の金属酸化物を含む。
The
図2Aに示すように、誘電体拡散バリアまたはエッチングストップ層211は、パッシベーション層の上に設けられる。層211は一実施形態では、約50から500オングストロームの間の厚みを有する。従来この用途には窒化珪素および窒素ドーピングされた炭化珪素(NDC)が利用されてきた。現在は窒化珪素よりも誘電率の低い材料が誘電体拡散バリアとして利用されることが多い。これら材料は、同一出願人による米国特許出願第10/869,474号明細書(出願日:2004年6月15日、発明者Yu等)等に記載されている炭素に富む炭化珪素材料、米国特許出願第10/915,117号明細書(出願日:2004年8月9日、発明者Yu等)および米国特許出願第11/373,847号明細書(出願日:2006年3月8日、発明者Yu等)等に記載されているボロンドーピングされた炭化珪素材料、および、米国特許第6,855,645号明細書(発行日:2005年2月15日、発明者Tang等)等に記載されている酸素ドーピングされた炭化珪素材料を含む。本段落で言及した全ての特許出願全体を全ての目的から本明細書に組み込む。一部の実施形態では、層211は、幾つかの副層(例えば、拡散バリアおよびエッチングストップ特性を向上させるべく調節された異なる組成のドーピングされた、および/またはドーピングされない炭化珪素を含む副層)を含んでよい。例えば、バリアは、ドーピングされない炭化物の副層、窒素ドーピングされた炭化物の副層、および酸素ドーピングされた炭化物の副層を含んでよい。バリアは2つの副層、3つの副層、それ以上の数の副層を含んでよい。バリア層の組み合わせに関しては、2004年6月15日出願の米国特許出願第10/869,474号明細書(現在では特許第7,282,438号(発行日:2007年10月16日)として特許化されている)にその例が提示されており、この全体を本明細書に組み込む。一般的には、誘電体拡散バリア層は、ドーピングされた、またはドーピングされない炭化珪素、窒化珪素、または炭窒化珪素を含んでよい。
As shown in FIG. 2A, a dielectric diffusion barrier or
図2Aに示す実施形態では、層209および211は協働して、2つのILD層の間に設けられる界面層を形成する(上部ILD層のほうは不図示)。 In the embodiment shown in FIG. 2A, layers 209 and 211 cooperate to form an interface layer provided between two ILD layers (the upper ILD layer is not shown).
ある実施形態では、パッシベーション層209は、炭化珪素または窒化珪素層211を別途設ける必要なく、拡散バリアまたはエッチングストップ層として機能することができる。この実施形態では、図2Bに示すように、2つのILD層の間に設けられる界面層が、パッシベーション層209のみから構成されている。例えば、一定の金属酸化物および金属窒化物を、エッチングストップまたは拡散バリア層として機能させることができる。
In some embodiments, the
図2Cは、ドーパント層またはドーパント生成化合物208が保護キャップ207とパッシベーション層209との間に設けられ、これら2つの層に接触している実施形態を示す。層208は、銅線205上に位置合わせされ、誘電体層201の上には設けられない。層208は、純粋なドーパントまたはドーパント生成化合物を含んでよい。例えば、層208はBHx、Al、Ti、Ta、Hf、Ru等を含むことができる。この層内の金属は自由であっても、他の元素(H、C、N等)と結合されていてもよい。一部の実施形態では、層208の上にかけて銅を拡散させることで、層208内のドーパントとの間で合金、混合物、または固溶体を形成してもよい。これら実施形態では、207/208の二重層を保護キャップとして利用することもできる。一般的にはここで記載する保護キャップは、周囲の誘電体201と同じレベルで銅線に完全に含まれてもよいし、周囲の誘電体201のレベルよりは上の部分を含んでもよい。
FIG. 2C shows an embodiment in which a dopant layer or dopant-generating
1つの特定の例における素子は、ボロンをドーピングした保護キャップ207と、BNxを含むパッシベーション層209とを含む図2Aに示すような構造を有する。銅線205は、約3,500オングストロームの厚みを有するULK誘電体(約2.5のk)の層に設けられている。保護キャップ207は、銅とボロンとを含み、約100オングストロームの厚みを有する。保護キャップは、銅線の上部と、そのパッシベーション層との界面とに設けられてよい。パッシベーション層は、約150オングストロームの厚みを有し、BNxを含む。パッシベーション層はさらに水素を含んでもよく、その場合には実験セクションで(BNH)x層と称される。拡散バリア層211は、窒素をドーピングした炭化珪素、酸素をドーピングした炭化珪素、または、ドーピングされない炭化珪素を含むことができる。層211は100オングストロームから500オングストロームの厚みを有する。
The device in one particular example has a structure as shown in FIG. 2A that includes a boron-doped
別の特定の例における素子は、チタン製の保護キャップ207と、TiNxを含むパッシベーション層209とを含む図2Aに示すような構造を有する。銅線205は、約3,500オングストロームの厚みを有するULK誘電体(約2.5のk)の層に設けられている。保護キャップ207は、銅とチタンとを含み、約100オングストロームの厚みを有する。保護キャップは、銅線の上部と、そのパッシベーションとの界面とに設けられている。パッシベーション層は、約150オングストロームの厚みを有し、TiNxを含む。パッシベーション層はさらに水素を含んでもよい。拡散バリア層211は、窒素をドーピングした炭化珪素、酸素をドーピングした炭化珪素、または、ドーピングされない炭化珪素を含むことができる。層211は100オングストロームから500オングストロームの厚みを有する。
The device in another specific example has a structure as shown in FIG. 2A including a
別の特定の例における素子は、アルミニウムをドーピングした保護キャップ207を含む図2Aに示すような構造を有する。銅線205は、約3,500オングストロームの厚みを有するULK誘電体(約2.5のk)の層に設けられている。保護キャップ207は、銅とアルミニウムとを含み、約100オングストロームの厚みを有する。保護キャップは、銅線の上部と、そのパッシベーションとの界面とに設けられている。パッシベーション層の厚みは約100オングストローム未満であり、実質的にAlOxからなる。約100オングストロームから500オングストロームの厚みの拡散バリア層211が、AlOxと接触するよう設けられ、窒素をドーピングした炭化珪素、酸素をドーピングした炭化珪素、または、ドーピングされない炭化珪素を含むことができる。
The device in another specific example has a structure as shown in FIG. 2A including a
<保護キャップ層の形成方法>
保護キャップ層の形成方法の一例を、図3Aのプロセスフロー図に示す。図4Aから図4Eは、このプロセスから得られる素子構造の横断面図を示す。ここに示す方法は、多くの種類の装置で実施可能であり、一部の実施形態ではPECVD(プラズマ化学気相成長)装置が好適である。一部の実施形態では、PECVD装置は、高周波(HF)および低周波(LF)プラズマ生成源を提供することができる。
<Method for forming protective cap layer>
An example of a method for forming the protective cap layer is shown in the process flow diagram of FIG. 3A. 4A to 4E show cross-sectional views of the device structure resulting from this process. The method shown here can be implemented in many types of apparatus, and in some embodiments, a PECVD (plasma chemical vapor deposition) apparatus is preferred. In some embodiments, the PECVD apparatus can provide high frequency (HF) and low frequency (LF) plasma generation sources.
図3Aを参照すると、プロセスは、誘電体に銅線のパターンを有する、部分的に製造された半導体素子を提供することから始まる(処理301参照)。図4Aに示すような素子を利用することができる。この素子においては、銅または銅合金の層405が誘電体層401に埋め込まれている。拡散バリア材料の薄い層(例えばTa、TaNx、TiNx、Ru、Wを含む)が、銅と誘電体との間の界面に設けられている。銅の層および誘電体層は、基板表面に露呈している。
Referring to FIG. 3A, the process begins by providing a partially fabricated semiconductor device having a copper wire pattern in a dielectric (see operation 301). An element as shown in FIG. 4A can be used. In this element, a copper or
基板は、オプションとして、処理303で予め洗浄され、その表面から汚染物質が取り除かれていてもよい。例えば、基板は、プラズマ中の還元ガス(例えばプラズマ放電中のH2、N2、NH3、およびこれらの混合物からなる群から選択されるガス)に曝されることにより、この前洗浄処理を受け、銅酸化物が銅の表面から取り除かれる。一部の実施形態では、H2のプラズマで前洗浄することにより、特に特性の向上した素子が得られた。前洗浄処理におけるプロセスガスはさらに、キャリアガス(N2、He、Ar等)を含んでよい。一例では、前洗浄は、約摂氏200度から摂氏400度の温度、約1.5から4Torrの圧力、および、約4,000から10,000sccmの流量のH2のPECVDチャンバで行われる。HFおよびLF成分を含んでよいプラズマを点火して、300mmのウェハ毎の全出力を200から1000Wに維持する。一部の実施形態では、前洗浄処理中のHF電力が0.1から1.5W/cm2およびLF電力が0から0.8W/cm2であることが望ましい。別の例では、還元ガスとしてH2の代わりにNH3を約6,000から8,000sccmの範囲の流量でプロセスチャンバに流入させる。N2キャリアガスをチャンバに約2,000から4,000sccmの流量で流入させる。前洗浄処理は数秒間(例えば約6から20秒間)行われる。
The substrate may optionally be pre-cleaned in
一部の実施形態では、直接プラズマに曝すのではなくてよりマイルドな方法で前洗浄処理を行うことが好適である場合もある。このよりマイルドな方法は、特に銅線が直接プラズマに曝すことで損傷し易い繊細なULK誘電体に埋め込まれているような場合に特に好適である。 In some embodiments, it may be preferable to perform the precleaning process in a milder manner rather than direct exposure to plasma. This milder method is particularly suitable when the copper wire is embedded in a delicate ULK dielectric that is easily damaged by direct exposure to plasma.
一部の実施形態では、H2、N2、NH3、およびこれらの混合物からなる群から選択されるガスを含む遠隔プラズマを利用して銅酸化物を完全にまたは部分的に除去する。この実装例においてこれらガスの1以上(例えば、H2とN2との混合物またはNH3とN2との混合物)を利用して、ウェハ基板を保持しているチャンバとは物理的に別のチャンバにプラズマを形成する。このように形成されたプラズマを、配送ライン経由でイオンフィルタへ送り、ここでイオンのプラズマが枯渇し、ラジカルが残存する。この結果生じるラジカルに富むプロセスガスを、入口(例えばシャワーヘッド)から基板のチャンバ筐体へと送る。ラジカルに富むプロセスガス(一部の実施形態ではイオン種をあまり、または実質的に全く含まない)は、基板の表面に接触して、所望に応じて部分的にまたは完全に銅酸化物を除去する。直接プラズマに含まれる高エネルギーイオンは、誘電体を損うことが示唆されているので、イオンが少ない遠隔プラズマを利用することで、前洗浄がマイルド且つ効果的に行われる。遠隔プラズマシステムに適した例としては、カリフォルニア州サンノゼのNovellus Systems社が提供するGamma(登録商標)ラインの製品が挙げられる。 In some embodiments, H 2, N 2, NH 3, and completely or partially removing copper oxide by utilizing a remote plasma comprises a gas selected from the group consisting of mixtures. In this implementation, one or more of these gases (eg, a mixture of H 2 and N 2 or a mixture of NH 3 and N 2 ) is used to physically separate from the chamber holding the wafer substrate. A plasma is formed in the chamber. The plasma thus formed is sent to the ion filter via the delivery line, where the ion plasma is depleted and radicals remain. The resulting radical rich process gas is sent from the inlet (eg, showerhead) to the chamber housing of the substrate. A radical rich process gas (in some embodiments, containing little or substantially no ionic species) contacts the surface of the substrate to remove copper oxide partially or completely as desired. To do. It is suggested that high-energy ions directly contained in the plasma damage the dielectric, so pre-cleaning is mild and effective by using a remote plasma with few ions. A suitable example for a remote plasma system is the product of the Gamma® line from Novellus Systems, Inc. of San Jose, California.
他の実施形態では、還元ガス(例えば、H2、N2、NH3、およびこれらの混合物からなる群から選択されるガス)を利用して紫外線(UV)照射処理を行うことにより、銅酸化物を完全にまたは部分的に除去する。この実装例においてこれらガスの1以上(例えば、H2とN2との混合物またはNH3とN2との混合物)に基板を曝し、且つ、基板にUV光を照射する。例えば、同一出願人による「半導体プロセスにおけるK復元および表面洗浄のためのUVおよび還元処理:UV and Reducing Treatment for K Recovery and surface Clean in Semiconductor Processing」なる名称の米国仮特許出願第61/260,789号明細書(出願日:2009年11月12日、発明者B.Varadarajan等)に記載されるものを一例とする装置およびプロセス条件を利用することができ、この全体を、ここに記載する実施形態における利用に適したUV処理装置および方法を詳述する目的から本明細書に組み込む。記載されるUV処理を利用することで、銅酸化物を制御しながら除去することが可能となり、除去される酸化物の厚みを、UVに曝す期間、プロセスガス組成、基板温度、その他の条件によって制御することができるようになる。 In another embodiment, copper oxidation is performed by performing ultraviolet (UV) irradiation treatment using a reducing gas (for example, a gas selected from the group consisting of H 2 , N 2 , NH 3 , and a mixture thereof). Remove the object completely or partially. In this mounting example, the substrate is exposed to one or more of these gases (for example, a mixture of H 2 and N 2 or a mixture of NH 3 and N 2 ), and the substrate is irradiated with UV light. For example, US Provisional Patent Application No. 61 / 260,789 entitled “UV and Reducing Treatment for K Recovery and Surface Clean in Semiconductor Processing” by the same applicant. The apparatus and process conditions exemplified by those described in the specification (application date: November 12, 2009, inventor B. Varadarajan et al.) Can be used, the entire implementation of which is described herein Incorporated herein for purposes of detailing UV processing apparatus and methods suitable for use in the form. By utilizing the described UV treatment, it becomes possible to remove copper oxide in a controlled manner, and the thickness of the removed oxide depends on the duration of UV exposure, process gas composition, substrate temperature, and other conditions. Will be able to control.
一部の実施形態では、前洗浄処理を、プラズマを用いない環境において熱処理することで行う。例えば、ウェハを、少なくとも約摂氏200度の温度で約15から60秒間、H2、N2、NH3、およびこれらの混合物を含む環境で加熱することができる。この熱処理を利用することで部分的に銅酸化物を除去することができ、この方法は繊細なULK誘電体を含む基板の処理に特に好適である。 In some embodiments, the pre-cleaning process is performed by heat treatment in an environment that does not use plasma. For example, the wafer may be heated in an environment containing at least about at a temperature of 200 degrees Celsius for about 15 to 60 seconds, H 2, N 2, NH 3, and mixtures thereof. By utilizing this heat treatment, the copper oxide can be partially removed, and this method is particularly suitable for processing a substrate containing a delicate ULK dielectric.
前洗浄処理が終わった後で、ドーパントを含む材料のソース層を基板の表面に堆積させる(処理305)。ドーパントを含む材料は、金属の表面上には選択的に堆積しないこともでき、誘電体の表面上に、および、金属の上の両方に堆積させることもできる。ソース層は、部分的に製造された素子を、ドーパントを含む(例えばボロンを含む、または金属を含む)ソース層を堆積させるような条件下で、ドーパントを含む反応物(例えば、ボロンを含む反応物または金属を含む反応物)に曝すことで堆積させることができる。 After the pre-clean process is completed, a source layer of a material containing dopant is deposited on the surface of the substrate (process 305). The material containing the dopant may not be selectively deposited on the surface of the metal, or may be deposited both on the surface of the dielectric and on the metal. The source layer is a reactant containing a dopant (eg, a reaction containing boron) under conditions such that a partially fabricated device deposits a source layer containing the dopant (eg, containing boron, or containing a metal). Or a reactant containing a metal).
一実施形態では、ドーパントを含むソース層を、プラズマ放電を用いずに熱的に堆積させる。例えば、揮発性水素化物、ハロゲン化物、カルボニル、または有機金属化合物等の揮発性前駆体を高温で反応(例えば分解)させて、ドーパントを含む材料層を基板の表面に堆積することができる。当業者であれば、温度範囲、基板を曝す時間、その他の堆積条件が特定の前駆体に応じて調節可能であることを理解する。 In one embodiment, the source layer containing the dopant is thermally deposited without using a plasma discharge. For example, volatile precursors such as volatile hydrides, halides, carbonyls, or organometallic compounds can be reacted (eg, decomposed) at high temperatures to deposit a material layer containing a dopant on the surface of the substrate. One skilled in the art will appreciate that temperature ranges, substrate exposure times, and other deposition conditions can be adjusted depending on the particular precursor.
一実施形態では、B2H6を前駆体として利用することで、Bドーピングされた保護キャップを形成する。プロセスの一例では、B2H6を、1以上のキャリアガスの添加物(N2、O2、CO2、He、NH3、Ar等)とともにプロセスチャンバに導入する。この例では、B2H6の濃度は、約0.5から約20%の間であり、圧力は約0.5Torrから約10Torrの間である。プラズマ放電を利用せずに、約摂氏200度から400度の間のチャンバ温度でB2H6を基板に曝して、基板の上にボロンを含む層を堆積させる。この層はB−H結合を含むことが分かっているのでBHx層と称される。BHx層はBドーパントのソースとして機能して、銅線内に拡散させて保護キャップを形成する。 In one embodiment, B 2 H 6 is used as a precursor to form a B-doped protective cap. In one example process, B 2 H 6 is introduced into the process chamber along with one or more carrier gas additives (N 2 , O 2 , CO 2 , He, NH 3 , Ar, etc.). In this example, the concentration of B 2 H 6 is between about 0.5 and about 20% and the pressure is between about 0.5 Torr and about 10 Torr. Without utilizing a plasma discharge, B 2 H 6 is exposed to the substrate at a chamber temperature between about 200 degrees Celsius and 400 degrees Celsius to deposit a layer containing boron on the substrate. Since this layer is known to contain B—H bonds, it is referred to as a BH x layer. The BH x layer functions as a source of B dopant and diffuses into the copper wire to form a protective cap.
別の例では、揮発性の金属を含む前駆体をチャンバ内に導入する。適した前駆体の例としては、有機金属化合物、金属水素化物、金属ハロゲン化物、および金属カルボニルが挙げられる。例えば、アルキル置換金属誘導体およびシクロペンタジエニル置換金属誘導体を利用することができる。前駆体を高温で反応させて、金属を含むソース層を基板上に形成する。一部の実施形態では、Bを含むキャップの堆積に利用されるものと類似した圧力および温度範囲を利用することができる。一般的には、前駆体の性質の応じて堆積条件を最適化して、最良の品質の、金属を含むソース層を堆積させることができる。例えば、温度範囲は、前駆体の特定の分解メカニズムに好適なように最適化して、適宜、金属を含むソース層の組成を調節することができる。当業者であれば、分解条件の最適化方法、および、最適化された分解条件で、金属を含むソース層を得る方法について想到する。 In another example, a precursor containing a volatile metal is introduced into the chamber. Examples of suitable precursors include organometallic compounds, metal hydrides, metal halides, and metal carbonyls. For example, alkyl-substituted metal derivatives and cyclopentadienyl-substituted metal derivatives can be used. The precursor is reacted at a high temperature to form a source layer containing a metal on the substrate. In some embodiments, pressure and temperature ranges similar to those utilized for depositing caps containing B can be utilized. In general, the deposition conditions can be optimized depending on the nature of the precursor to deposit the best quality metal-containing source layer. For example, the temperature range can be optimized to suit the specific decomposition mechanism of the precursor, and the composition of the source layer containing the metal can be adjusted accordingly. A person skilled in the art has conceived a method for optimizing the decomposition conditions and a method for obtaining a source layer containing a metal under the optimized decomposition conditions.
既に示唆したように、ドーパントとしては様々な金属を利用することができる。例えば、銅線は、Al、Hf、Ti、Co、Ta、Mo、Ru、Sn、Sbでドーピングすることができる。揮発性の前駆体用に知られている他の金属を利用することもできる。アルミニウムを含むソース層の堆積に適した前駆体の例としては、トリメチルアルミニウム、ジメチルアルミニウム水素化物、トリエチルアルミニウム、トリイソブチルアルミニウム(triisobutylaluminum)、および、トリス(ジエチルアミノ)アルミニウム(tris(diethylamino)aluminum)が含まれるが、これらに限定はされない。ソース層の堆積に利用可能な前駆体の例としては、ビス(シクロペンタジエニル)コバルト(bis(cyclopentadienyl)cobalt)、コバルト(II)アセチルアセトネート(cobalt (II) acetylacetonate)、テトラキス(ジエチルアミド)ハフニウム(tetrakis(diethylamido)hafnium)、テトラキス(ジメチルアミド)ハフニウム(tetrakis(dimethylamido)hafnium)、テトラキス(ジメチルアミド)モリブデン(tetrakis(dimethylamido)molybdenum)、テトラキス(ジメチルアミノ)チタン(TDMAT(tetrakis(dimethylamino)titanium(TDMAT))、テトラキス(ジエチルアミノ)チタン(TDEAT)(tetrakis(diethylamino)titanium(TDEAT))、テトラキス(エチルメチルアミド)チタン(tetrakis(ethylmethylamido)titanium)、ビス(ジエチルアミノ)ビス(ジイソプロピルアミノ)チタン(bis(diethylamino)bis(diisopropylamino)titanium)、ペンタキス(ジメチルアミノ)タンタルム(pentakis(dimethylamino)tantalum)、三次(ブチルイミドトリス)(ジエチルアミド)タンタルム(TBTDET)tert(butylimidotris)(diethylamido)tantalum(TBTDET))、ペンタキス(ジエチルアミド)タンタルム(pentakis(diethylamido)tantalum)、ビス(エチルシクロペンタジエニル)ルテニウム(bis(ethylcyclopentadienyl)ruthenium)、トリス(ジメチルアミド)アンチモン(tris(dimethylamido)antimony)、および、テトラメチルスズ(tetramethyltin)が含まれるが、これらに限定はされない。 As already suggested, various metals can be used as the dopant. For example, the copper wire can be doped with Al, Hf, Ti, Co, Ta, Mo, Ru, Sn, Sb. Other metals known for volatile precursors can also be utilized. Examples of suitable precursors for deposition of source layers containing aluminum include trimethylaluminum, dimethylaluminum hydride, triethylaluminum, triisobutylaluminum, and tris (diethylamino) aluminum. Including, but not limited to. Examples of precursors available for source layer deposition include bis (cyclopentadienyl) cobalt, cobalt (II) acetylacetonate, tetrakis (diethylamide) Hafnium (tetrakis (dimethylethyl) hafnium), tetrakis (dimethylamido) hafnium, tetrakis (dimethylamido) molybdenum, tetrakis (dimethylamino) titanium (TDMAT (tetrakis (dimethylamino)) titanium (TDMAT)), tetrakis (diethylamino) titanium (TDEAT), tetrakis (ethylmethylamido) titanium, bis (diethylamino) bis (diisopropylamino) titanium (Bis (diethylamino) bis (diisopropylamino) titani um), pentakis (dimethylamino) tantalum, tertiary (butylimidotris) (diethylamido) tantalum (TBTDET) tert (butylimidotris) (diethylamido) tantalum (TBTDET)), pentakis (diethylamido) tantalum (pentakis) (diethylamido) tantalum), bis (ethylcyclopentadienyl) ruthenium, tris (dimethylamido) antimony, and tetramethyltin, These are not limited.
ソース層は必ずしも純粋な元素ドーパントを含む必要はなく、他の元素(例えばH、C、N等)とドーパントとの化合物を含んでもよい。しかしドーパントは、これらの層から容易に生成され易く、一旦生成されると、銅内に拡散、および/または、銅と反応し易い。他の実施形態では、ソース層に実質的に純粋な金属またはボロンを含めることもできる。 The source layer does not necessarily need to contain a pure element dopant, and may contain a compound of another element (for example, H, C, N, etc.) and a dopant. However, dopants are easily generated from these layers and, once generated, are likely to diffuse into and / or react with copper. In other embodiments, the source layer can include substantially pure metal or boron.
ソース層は、銅線の上のみに選択的に堆積する必要はなく、誘電体層の上および銅の上の両方に堆積させてもよい。しかし多くの実施形態では、銅と誘電体との間である程度の選択が行われ、図4Bが示すように、より厚みのあるソース層を銅線の上に設け、銅線408の上に設けられるソース層408(BHx層であっても金属を含む層であってもよい)の厚みは、誘電体層401の上に設けられたものの厚みよりも大きい。特定の前駆体および堆積条件に応じて、例えばソース層を銅線の上のみに堆積するという完全な選択性を発揮する例から、ソース層を銅および誘電体両方の上に均等な厚みで堆積させるというように選択性を発揮しないプロセス例まで、様々な選択が可能である。本明細書に記載する方法は、選択性を発揮する例および選択性を発揮しない例両方においてドーパントを制御しながら導入するために利用可能であるが、図示された方法では、一例として部分的な選択を行う例を用いて堆積された層を用いた例示が行われている。この部分的な選択により、誘電体に堆積されたソース層の厚みよりも銅線の上に堆積するソース層の厚みが大きくなっており、これはボロンを含むソース層および多くの金属を含むソース層の両方でも同じことが観察される。一部の実施形態では、銅の上のソース層の厚みは、誘電体の上のソース層の厚みの約10から500%大きい。
The source layer need not be selectively deposited only on the copper wire, but may be deposited both on the dielectric layer and on the copper. However, in many embodiments, some choice is made between copper and dielectric, and a thicker source layer is provided on the copper wire and provided on the
図3Aに示すプロセスフロー図を再度参照すると、ソース層を処理305で形成した後の処理307で、銅の上に設けられたソース層の上部を修正して、パッシベーション層を形成し、未修正のソース層の一部は、銅の層に接触させ続ける。これは図4Cの構造に示されており、ソース層408の僅かな部分が未修正のまま残り、銅線405に接触し続けており、銅の上にあるソース層の上部は変換されてパッシベーション層409を形成していることが分かる。ソース層の誘電体上にある部分は、パッシベーション材料へと完全に変換されている。パッシベーション処理309は2つの目的を有する。第一に、ソース層を部分的にパッシベーションさせることで利用可能なドーパント量が制限されることから、インターコネクト抵抗の制御を助ける。パッシベーション層は、パッシベーション材料から銅線へと容易に拡散しない材料を含むと好適である。例えば、ボロンが窒化ボロンに変換され、アルミニウムが酸化アルミニウムに変換される。自由なボロンおよびアルミニウムは銅線へと拡散可能であるが、これら材料は窒化物および酸化物に変換されるとパッシベーション層内にトラップされるので、銅線に入りその抵抗を上昇させることはない。ソース層の上部はパッシベーション層に修正されるので、銅線に導入されるドーパントの量は、銅線と接触し続けるソース層の未修正の部分の厚みにより決定される。銅線に導入する必要のあるドーパント量に応じて、パッシベーション層に変換するソース層の量の大小を決定することができる。例えば、最初に堆積されるソース層の厚みは、約50から500オングストロームであってよく、このうち約20から60%をパッシベーション層に変換してよい。
Referring again to the process flow diagram shown in FIG. 3A, in
パッシベーションはさらに、ソース層が銅および誘電体両方の上に堆積される導電材料を含む実施形態でも必要である。これらの実施形態では、パッシベーションによって導電材料(例えば金属)を、導電性の少ないまたは導電性を全く持たない材料に変換して、隣接する銅線間の短絡を防止する。例えば、誘電体の上にある部分的に導電性を有するBHxソース層は、実質的に導電性を有さないBNxを含むパッシベーション層に完全に変換することができる。同様に、アルミニウムを含むソース層は、導電性を有さないアルミニウム酸化物に変換することができる。 Passivation is also necessary in embodiments where the source layer includes a conductive material deposited on both copper and dielectric. In these embodiments, passivation converts a conductive material (eg, metal) to a material that is less conductive or not conductive at all to prevent shorting between adjacent copper wires. For example, a partially conductive BH x source layer overlying a dielectric can be completely converted to a passivation layer comprising BN x that is substantially non-conductive. Similarly, a source layer containing aluminum can be converted into an aluminum oxide having no conductivity.
複数の化合物(例えば窒化物、酸化物、硫化物、セレン化物、テルル化物、リン化物、および炭化物)がパッシベーション層の材料として適切である。もちろん、窒化物および酸化物が多くの実施形態で好適である。 A plurality of compounds (eg, nitrides, oxides, sulfides, selenides, tellurides, phosphides, and carbides) are suitable as materials for the passivation layer. Of course, nitrides and oxides are suitable in many embodiments.
パッシベーション層は、ドーパントを含むソース層を、ソース層材料をパッシベーション材料に修正するのに適した試薬に曝すことにより形成可能である。一部の実施形態では、この修正をプラズマを利用せずに熱的に行うこともできるが、多くの場合にプラズマ放電によるソース層の修正が好適である。例えば窒化は、プラズマ内で窒素を含む反応物(例えばN2、NH3、N2H4、アミン等)に基板を曝すことにより行うことができる。酸化物も、プラズマ内で酸素を含む反応物(O2、CO2、N2O等)に曝すことにより同様に形成可能である。硫化物、セレン化物、テルル化物、リン化物、および炭化物も、同様に基板を、必要な元素(例えば各H2S、H2Se、H2Te、PH3、CxHy)に曝すことにより同様に形成可能である。 The passivation layer can be formed by exposing the source layer containing the dopant to a reagent suitable for modifying the source layer material into a passivation material. In some embodiments, this modification can be done thermally without the use of plasma, but in many cases, modification of the source layer by plasma discharge is preferred. For example, nitriding can be performed by exposing the substrate to a reactant containing nitrogen (eg, N 2 , NH 3 , N 2 H 4 , amine, etc.) in the plasma. Oxides can also be formed in the same manner by exposure to reactants containing oxygen (O 2 , CO 2 , N 2 O, etc.) in plasma. Sulfides, selenides, tellurides, phosphides, and carbides similarly expose the substrate to the required elements (eg, each H 2 S, H 2 Se, H 2 Te, PH 3 , C x H y ). Can be formed similarly.
一部の実施形態では、後処理に、直接プラズマ処理が含まれる。例えば、露呈したソース層を有する基板は、H2、N2、NH3、およびこれらの混合物からなる群から選択されるプロセスガスに形成されるプラズマで処理可能である。一部の実施形態では、ソース層を有する基板を、プラズマ内で、H2で処理する。水素プラズマ処理により、前駆体層に残留する有機基を除去して、末端金属―H結合を形成することができる。他の例では、基板を、プラズマの中でH2およびN2混合物で後処理する、あるいは、プラズマの中でNH3で後処理することで、有機基を除去して金属―N結合を形成する。一部の実施形態では、例えばN2H4およびアミン等の他の窒化物質(nitridizing agent)を利用することもできる。 In some embodiments, the post treatment includes direct plasma treatment. For example, a substrate having an exposed source layer can be treated with a plasma formed in a process gas selected from the group consisting of H 2 , N 2 , NH 3 , and mixtures thereof. In some embodiments, a substrate having a source layer is treated with H 2 in a plasma. By the hydrogen plasma treatment, an organic group remaining in the precursor layer can be removed, and a terminal metal-H bond can be formed. In other examples, the substrate is post-treated with a mixture of H 2 and N 2 in plasma, or post-treated with NH 3 in plasma to remove organic groups and form metal-N bonds. To do. In some embodiments, other nitridizing agents such as N 2 H 4 and amines may be utilized.
前処理同様に、直接プラズマ処理よりもマイルドな処理方法を利用することが望ましい場合もある。例えば一部の実施形態では、H2、N2、NH3、およびこれらの混合物からなる群から選択されるガスに形成される遠隔プラズマを利用して基板を処理することができる。前述したように、基板を収容しているチャンバとは物理的に別のチャンバに遠隔プラズマを生成させて、基板に送る前にイオン種を枯渇させることで、誘電体が損傷する可能性を下げることができる。これは通常、遠隔プラズマに含まれるラジカルが高エネルギーイオンよりも損傷を起こす可能性が低いからである。遠隔プラズマによっても、金属−H、および、金属−N結合の形成が、層からの有機基の除去とともに行われる。 As with pretreatment, it may be desirable to use a milder treatment method than direct plasma treatment. For example, in some embodiments, the substrate can be processed utilizing a remote plasma formed in a gas selected from the group consisting of H 2 , N 2 , NH 3 , and mixtures thereof. As described above, a remote plasma is generated in a chamber that is physically different from the chamber containing the substrate to deplete the ionic species before being sent to the substrate, thereby reducing the possibility of damage to the dielectric. be able to. This is because radicals contained in remote plasma are usually less likely to cause damage than high energy ions. Even with remote plasma, the formation of metal-H and metal-N bonds occurs with the removal of organic groups from the layer.
さらに、マイルドな後処理は、前述して参照として組み込んだ米国仮特許出願第61/260,789号明細書に記載された方法により、H2、N2、NH3、およびこれらの混合物からなる群から選択されるプロセスガス内でUV照射を行うことでも実行可能である。このUV処理は、金属−H結合および金属−N結合を形成する用途、前駆体層から有機置換基を除去する用途等にも利用可能である。 Further, the mild post-treatment consists of H 2 , N 2 , NH 3 , and mixtures thereof by the method described in US Provisional Patent Application No. 61 / 260,789, previously incorporated by reference. It can also be performed by performing UV irradiation in a process gas selected from the group. This UV treatment can also be used for applications such as forming metal-H bonds and metal-N bonds, and removing organic substituents from the precursor layer.
一部の実施形態では、後処理を、プラズマを用いない環境において熱処理することで行う。例えば、ウェハを、少なくとも約摂氏300度から350度の間の温度で、H2、N2、NH3、およびこれらの混合物を含む環境で加熱することができる。この熱処理法は繊細なULK誘電体を含む基板の処理に特に好適である。 In some embodiments, the post-treatment is performed by heat treatment in an environment that does not use plasma. For example, the wafer to a temperature between 350 degrees from at least about 3000C °, can be heated in an environment containing H 2, N 2, NH 3 , and mixtures thereof. This heat treatment method is particularly suitable for processing a substrate containing a delicate ULK dielectric.
一部の実施形態では、後処理は、ソース層を室温で反応物により処理することで行うこともでき、プラズマを用いない環境において高温で処理することで行うこともできる。例えば一部の実施形態では、プラズマを用いない環境において酸素を含む反応物(例えばO2、H2O、N2O)で基板を処理することにより、(例えばAlを含む、またはTiを含む層等において)金属―酸素結合を含むパッシベーション層を形成することができる。 In some embodiments, the post-treatment can be performed by treating the source layer with the reactants at room temperature, or by treating at a high temperature in an environment that does not use plasma. For example, in some embodiments, treating a substrate with a reactant comprising oxygen (eg, O 2 , H 2 O, N 2 O) in a plasma-free environment (eg, containing Al or containing Ti). A passivation layer containing metal-oxygen bonds can be formed (in the layer etc.).
遠隔プラズマ後処理法、熱後処理法、およびUV後処理法は、ULK誘電体を(特に損傷し易い多孔質且つ有機の誘電体を)ILD層で利用している場合に特に好適である。 Remote plasma aftertreatment, thermal aftertreatment, and UV aftertreatment are particularly suitable when ULK dielectrics (especially porous and organic dielectrics that are easily damaged) are utilized in the ILD layer.
窒化後処理法は多くの実施形態で好まれるが、一部の実施形態では他の種類の後処理法を利用することもできる。 Although nitridation post-treatment methods are preferred in many embodiments, other types of post-treatment methods may be utilized in some embodiments.
例えば、酸化後処理法を利用して、プラズマを用いて、または用いずに、酸素を含むガス(例えばO2、H2O、N2O等)に、露呈した前駆体層を有する基板を曝すことで、金属−O結合を形成することもできる。他の実施形態では、例えばプラズマ内で炭化水素によりソース層を処理することにより、金属−C結合を後処理工程で形成することもできる。また、後処理工程で、プラズマを用いて、または用いずに、H2S、H2Se、H2Te、およびPH3等の必要な元素をそれぞれ含む反応物に基板を曝すことにより、金属−S、金属−Se、金属−Te、および金属−P結合を形成することもできる。直接プラズマ法および遠隔プラズマ法の両方をこれら後処理法として利用することもできる。 For example, a substrate having a precursor layer exposed to a gas containing oxygen (for example, O 2 , H 2 O, N 2 O, or the like) using a post-oxidation treatment method with or without using plasma. By exposing, a metal-O bond can also be formed. In other embodiments, metal-C bonds can be formed in a post-processing step, for example, by treating the source layer with a hydrocarbon in a plasma. Also, by exposing the substrate to reactants each containing necessary elements such as H 2 S, H 2 Se, H 2 Te, and PH 3 with or without plasma in post-processing steps, -S, metal-Se, metal-Te, and metal-P bonds can also be formed. Both the direct plasma method and the remote plasma method can be used as these post-treatment methods.
図4Cを参照すると、(BNx、AlOx、TiOx等を含む)パッシベーション層409が、誘電体層401の上、および、銅の層405の上に設けられていることが分かる。未修正のドーパントソースを含む薄い層408が、銅線とパッシベーション材料の層との間に設けられている。
Referring to FIG. 4C, it can be seen that a passivation layer 409 (including BN x , AlO x , TiO x, etc.) is provided on the
パッシベーション層の形成後に、未修正のソース層からの活性成分(ドーパント)を、銅の中に拡散させ、および/または、銅と反応させ、銅の層の内部に保護キャップを形成させる(処理309)。これは、図4Cに示す構造の矢印が示している。結果生じる構造を図4Dに示し、保護キャップ407が銅線の上部内に形成されているのが分かる。本例では、ドーパント全体がソース層408から銅線に移動している。他の実施形態では、ドーパントの一部はソース層内に残留してよい。また他の実施形態では、銅が未修正のソース層内に拡散するのと同時に、ドーパントが銅の層内に拡散するようにしてもよい。後者の2つの場合では、保護キャップは、最初に提示した銅線の内部または上部に存在してよい(図2C参照のこと)。
After formation of the passivation layer, the active component (dopant) from the unmodified source layer is diffused into and / or reacted with copper to form a protective cap within the copper layer (treatment 309). ). This is indicated by the arrows in the structure shown in FIG. 4C. The resulting structure is shown in FIG. 4D and it can be seen that a
保護キャップの形成は、未修正のソース層内の特定のドーパント源に応じて様々な条件下で行うことができる。一部の実施形態では、ソース層内のドーパントを含む材料が容易に銅内に拡散したり、銅と反応しないようにしたりすることができる。これらの実施形態では、例えば基板を高温に曝すことにより、先ずドーパントを生成する。他の実施形態では、ドーパントの拡散および/または反応は、さらに基板を加熱することで促進される。一部の実施形態では、基板を高温に曝す時間、およびその温度を制御することにより保護キャップの厚みを制御可能とすることもできる。一部の実施形態では、保護キャップの形成は、基板を少なくとも約摂氏100度の温度で所定の時間の間(例えば約0.25から60分)加熱することにより促進される。 The formation of the protective cap can be performed under a variety of conditions depending on the particular dopant source in the unmodified source layer. In some embodiments, the material comprising the dopant in the source layer can easily diffuse into the copper or not react with the copper. In these embodiments, the dopant is first generated, for example, by exposing the substrate to a high temperature. In other embodiments, dopant diffusion and / or reaction is further facilitated by heating the substrate. In some embodiments, the thickness of the protective cap may be controllable by controlling the time and temperature at which the substrate is exposed to high temperatures. In some embodiments, the formation of the protective cap is facilitated by heating the substrate at a temperature of at least about 100 degrees Celsius for a predetermined time (eg, about 0.25 to 60 minutes).
保護キャップの形成において、ドーピングされた、またはドーピングされない炭化珪素を堆積させる(処理311)。結果生じる構造を図4Eに示す。炭化珪素の層411が、銅線の層の上、および、誘電体領域の上のパッシベーション層409の上に堆積していることが分かる。炭化珪素の層は、エッチングストップまたは誘電体拡散バリア層として機能し、通常は約100から500オングストロームの厚みで堆積される。炭化珪素の層は、CVD(好適にはPECVD)により、例えばプラズマ放電中に珪素を含む前駆体および炭素を含む前駆体に基板を曝すことにより、堆積することができる。例えば、シラン、アルキルシラン、および炭化水素を前駆体として利用することができる。ドーピングされた炭化珪素を堆積させる場合には、ドーパントを含む前駆体をプロセスチャンバ内に添加する。例えば、酸素を含む炭化珪素の堆積においてはCO2、O2、またはN2Oを添加することができ、ボロンをドーピングした炭化珪素を堆積するためにはB2H6を添加することができ、窒素をドーピングした炭化珪素を堆積するためにはNH3およびN2を添加することができる、といった具合である。他の実施形態では、ドーピングされた、またはドーピングされない窒化珪素をパッシベーション層の上に堆積させて、エッチングストップまたは拡散バリア層として機能させる。一部の実施形態では、誘電体拡散バリア層の堆積は、キャップ層の形成(ソース層およびパッシベーションの形成を含む)に利用された温度よりも高い温度で行われる。例えば一部の実施形態では、保護キャップの形成は、摂氏350度未満の温度(例えば約摂氏200から350度)で行われ、拡散バリアの堆積は、少なくとも約摂氏350度(例えば摂氏375から450度)の温度で行われる。
In forming the protective cap, doped or undoped silicon carbide is deposited (process 311). The resulting structure is shown in FIG. 4E. It can be seen that a layer of
場合によっては、パッシベーション層自身が拡散バリアまたはエッチングストップとして機能するのに適した特性を有している場合があるので、誘電体拡散バリアまたはエッチングストップ層の堆積をオプションとしてもよい。例えば、ある種の金属酸化物を含むパッシベーション層は、拡散バリア層として機能することができるので、別途炭化珪素層を堆積させる必要はない。 In some cases, the deposition of the dielectric diffusion barrier or etch stop layer may be optional since the passivation layer itself may have properties suitable to function as a diffusion barrier or etch stop. For example, a passivation layer containing a certain type of metal oxide can function as a diffusion barrier layer, so that it is not necessary to deposit a separate silicon carbide layer.
図3A−3Bに示すプロセスでは、次に処理313を行い、ここでは、層間誘電体(例えば二酸化珪素、有機珪素ガラス、多孔質有機誘電体等)を堆積させる。誘電体は、拡散バリアまたはエッチングストップ層いずれかの上に(例えば炭化珪素層の上に)堆積される、または、パッシベーション層が拡散バリアとして機能するのに適した特性を有する場合にはパッシベーション層の上に直接堆積される。誘電体はPECVDまたはスピンオン法により堆積することができ、通常は約3,000から10,000オングストロームの厚みに堆積される。次いで図1Cから図1Eに示すようにダマシンプロセスをさらに行う。
In the process shown in FIGS. 3A-3B,
図3A−3Bに示すフロー図のプロセスは例示を目的としたものであり、このプロセスの様々な変形例が可能であることを理解されたい。例えば、図3A−3Bに示すプロセスの様々な処理は、異なる順序で行うことができる。特に、銅の層への活性成分(ドーパント)の導入は、プロセス中の異なる時点に行うことができる。一部の実施形態では、ドーパントの生成および拡散は、エッチングストップまたは拡散バリア層の堆積後に開始することもできる。一部の実施形態では、ILD層の形成後の後処理によりドーパントの拡散を促進させる。多くの場合この処理は、基板を少なくとも約摂氏100度の温度に加熱することにより行われる。また別の実施形態では、活性成分(ドーパント)は、ソース層のパッシベーションの前に、銅内に拡散させる、および/または、銅と反応させることができる。本実施形態では、導入されるドーパントの量は、未修正のソース層を銅と接触させる時間を制御することにより、および/または、このプロセスの温度を制御することにより、制御可能である。 It should be understood that the process of the flow diagram shown in FIGS. 3A-3B is for illustration purposes and that various variations of this process are possible. For example, the various processes of the processes shown in FIGS. 3A-3B can be performed in different orders. In particular, the introduction of the active component (dopant) into the copper layer can take place at different points in the process. In some embodiments, dopant generation and diffusion can also begin after an etch stop or diffusion barrier layer deposition. In some embodiments, post-treatment after formation of the ILD layer facilitates dopant diffusion. In many cases, this process is performed by heating the substrate to a temperature of at least about 100 degrees Celsius. In yet another embodiment, the active component (dopant) can be diffused into and / or reacted with copper prior to passivation of the source layer. In this embodiment, the amount of dopant introduced can be controlled by controlling the time that the unmodified source layer is contacted with copper and / or by controlling the temperature of the process.
一部の実施形態では、図3Aに示すプロセスを、銅線の上のソース層を部分的にではなくて完全にパッシベーションさせて、ドーパント元素が銅線に拡散することを実質的に防止することにより修正する。この修正は、ドーパント拡散によるインターコネクト抵抗の上昇を最小限に抑えつつも、エレクトロマイグレーション性能の向上は依然として達成されることから、場合によって好適なこともある。 In some embodiments, the process shown in FIG. 3A can be fully passivated, rather than partially, over the source layer on the copper wire to substantially prevent the dopant element from diffusing into the copper wire. To correct. This modification may be preferred in some cases because an increase in interconnect resistance due to dopant diffusion is minimized while an improvement in electromigration performance is still achieved.
プロセスの別の実施形態を図3Bのプロセスフロー図に示す。このプロセスでは、酸素を含まない銅の表面に、アルミニウムを含むソース層を高温で堆積させる。本プロセスは、処理301で、誘電体に銅線のパターンを含む部分的に製造された半導体素子を提供することで開始される。例えば図4Aに示す基板のような基板を利用することができる。一部の実施形態では、ULK誘電体層(例えば誘電率が2.8以下である多孔質且つ有機の誘電体)に銅線を埋め込む。図3Bに示す実施形態では、酸素を含まない銅の表面を提供することで、銅酸化物と有機アルミニウム前駆体との間の反応を起こさないようにすることが非常に重要となる。銅酸化物の薄い層はアルミニウム堆積のメカニズムを変更させて、アルミニウム酸化物を形成する。図3Bに示す実施形態では、このように、銅の表面に直近する位置にアルミニウム酸化物が形成されることは好ましくない。
Another embodiment of the process is shown in the process flow diagram of FIG. 3B. In this process, a source layer containing aluminum is deposited at a high temperature on a copper surface that does not contain oxygen. The process begins at
銅酸化物を除去するべく、基板を処理303で前洗浄する。この前洗浄は、銅酸化物を銅の表面から完全に除去するよう制御される。これは、適切な前洗浄の期間とプロセス条件とを選択することで行うことができる。図3Aを参照して前述したように、前洗浄処理は直接プラズマ処理、遠隔プラズマ処理、UV処理、または熱処理により行うことができる。繊細なULK誘電体を利用する場合には、一部の実施形態において直接プラズマを利用しない前処理を行う。
The substrate is pre-cleaned at
酸化物を含まない銅の層を得た後で、部分的に製造した素子を、少なくとも約摂氏350度の基板温度で(例えば少なくとも約摂氏400度)で、有機アルミニウム反応物に曝して、アルミニウムを含む層を形成する(処理305参照)。注目に値する点は、これより低い温度では、適切な比率のアルミニウムを含む層が酸化物を含まない銅の表面に堆積しないことである。様々な有機アルミニウム反応物を利用することができ、その中には、トリアルキルアルミニウムが含まれ、特に一部の実施形態で好まれるのはトリメチルアルミニウムである。適切な反応物の例としては、トリメチルアルミニウム、ジメチルアルミニウム水素化物、トリエチルアルミニウム、トリイソブチルアルミニウム(triisobutylaluminum)、および、トリス(ジエチルアミノ)アルミニウム(tris(diethylamino)aluminum)が含まれるが、これらに限定はされない。反応物は、プラズマを用いずにCVDチャンバ内で基板に曝され、通常は、アルミニウムを含む層を、露呈した誘電体および銅の表面両方の上に形成する。層の厚みは、例えば、反応物の流量および基板温度を制御することにより制御可能である。誘電体の上に堆積される層は通常、堆積時に自然に酸化して、Al−O結合を含む導電性を有さない層を形成する(誘電体内に酸化種が含まれていることから)。誘電体の上のアルミニウムを含む層が完全に酸化していない場合には、層を後処理工程で修正して、誘電体の上の全ての導電性材料を導電性を有さない形態に変換して、インターコネクト間の短絡を防止する。誘電体の上に堆積するアルミニウムを含む層が、堆積後すぐに自然に酸化するか否かに関らず後処理工程を行うことで、銅の上のアルミニウムを含む層の少なくとも一部を不動化合物(immobile compound)に変換することもでき、一部の実施形態ではこれは導電性を有さなくてよい。 After obtaining the oxide-free copper layer, the partially fabricated device is exposed to an organoaluminum reactant at a substrate temperature of at least about 350 degrees Celsius (eg, at least about 400 degrees Celsius) to form aluminum. Is formed (see process 305). It is noteworthy that at lower temperatures, a layer containing the proper proportion of aluminum does not deposit on the copper surface without the oxide. A variety of organoaluminum reactants can be utilized, including trialkylaluminum, particularly preferred in some embodiments is trimethylaluminum. Examples of suitable reactants include, but are not limited to, trimethylaluminum, dimethylaluminum hydride, triethylaluminum, triisobutylaluminum, and tris (diethylamino) aluminum. Not. The reactants are exposed to the substrate in a CVD chamber without using a plasma, and typically a layer comprising aluminum is formed on both the exposed dielectric and copper surfaces. The thickness of the layer can be controlled, for example, by controlling the reactant flow rate and the substrate temperature. The layer deposited on the dielectric usually oxidizes spontaneously during deposition to form a non-conductive layer containing Al-O bonds (since the dielectric contains oxidizing species). . If the layer containing aluminum on the dielectric is not fully oxidized, the layer is modified in a post-processing step to convert all conductive material on the dielectric to a non-conductive form Thus, a short circuit between interconnects is prevented. Regardless of whether the aluminum-containing layer deposited on the dielectric oxidizes spontaneously immediately after deposition or not, at least part of the aluminum-containing layer on the copper is immobilized by performing a post-processing step. It can also be converted to an immobile compound, which in some embodiments may not be conductive.
処理307で、2つの後処理工程がオプションとして与えられる。第1の実施形態では、銅の上のアルミニウムを含む層の上部のみを修正してパッシベーション層を形成し、未修正の層の一部は銅の層と接触し続けるようにして、未修正の部分からのアルミニウムを銅内に拡散させる(処理309)。別の実施形態では、銅の上のアルミニウムを含む層全体を修正して不動化合物を形成し、アルミニウムの銅線内への拡散を実質的に防止する。アルミニウムが銅のリードに過度に拡散すると、インターコネクト抵抗が不当に上昇して、薄い不動キャップ(例えばAl−OまたはAl−N結合を含むキャップ)を銅の上に形成することで誘電体への付着特性が向上することから、一部の実施形態では、アルミニウムの拡散を最小限に抑えること、または完全に防止することが好ましい。
In
図3Aを参照して説明したように、直接プラズマ処理、遠隔プラズマ処理、UV処理、および高温または室温における熱(プラズマを用いない)処理を含む様々な後処理方法が利用可能である。 As described with reference to FIG. 3A, various post-treatment methods are available including direct plasma treatment, remote plasma treatment, UV treatment, and thermal (no plasma) treatment at high or room temperature.
一実施形態では、プラズマを用いない酸化処理(室温または高温)を利用して、銅の表面にAl−O結合を含む層を形成する。例えば、(有機アルミニウム反応物による処理の後で)アルミニウムを含む層を有する基板を、プラズマを用いずに、酸素を含む反応物(O2、O3、N2O、H2O、またはCO2)に曝して、不動のAl−Oを含む材料を形成する。 In one embodiment, a layer containing Al—O bonds is formed on the surface of copper using an oxidation process (room temperature or high temperature) that does not use plasma. For example, a substrate having an aluminum-containing layer (after treatment with an organoaluminum reactant) can be applied to a reactant containing oxygen (O 2 , O 3 , N 2 O, H 2 O, or CO without using plasma). 2 ) to form an immobile Al-O containing material.
別の実施形態では、プラズマを用いない窒化処理(室温または高温)を利用して、銅の表面にAl−N結合を含む層を形成する。例えば、(有機アルミニウム反応物による処理の後で)アルミニウムを含む層を有する基板を、プラズマを用いずに、酸素を含む反応物(アンモニアまたはヒドラジン)に曝すことができる。 In another embodiment, a layer containing Al—N bonds is formed on the surface of copper using a nitridation process (room temperature or high temperature) without using plasma. For example, a substrate having a layer containing aluminum (after treatment with an organoaluminum reactant) can be exposed to a reactant containing oxygen (ammonia or hydrazine) without using a plasma.
プラズマを用いない後処理(UVおよび熱処理を含む)は、基板が機械的に脆弱なULK誘電体を含む場合、最小限の誘電体損傷で済むことから特に好適である。 Post-treatment without plasma (including UV and heat treatment) is particularly suitable when the substrate contains a mechanically fragile ULK dielectric because minimal dielectric damage is required.
後処理の後で、処理311および313でプロセスが終了し、誘電体拡散バリア層が堆積し、界面誘電体が堆積される(図3Aに関する記載を参照のこと)。
After the post-processing, the process ends at
上述した方法は、抵抗を制御可能であり、エレクトロマイグレーション特性が向上したインターコネクトを提供することができる。これら方法で形成された保護キャップ層の厚みは、約10から10,000オングストロームの範囲であってよい。これら方法が、キャップ層の厚みを約10から100オングストロームの範囲で制御可能であると特に好適であり、特に10から60オングストロームの範囲であると特に好適である。キャップ膜の厚みが約10から60オングストロームの範囲であると、インターコネクトの抵抗シフトを特に小さくする(現在IC産業で要求されている1%未満から3%未満といったレベル)ことができる。 The method described above can provide an interconnect with controllable resistance and improved electromigration characteristics. The thickness of the protective cap layer formed by these methods may range from about 10 to 10,000 angstroms. These methods are particularly preferred when the thickness of the cap layer can be controlled in the range of about 10 to 100 angstroms, particularly preferably in the range of 10 to 60 angstroms. When the thickness of the cap film is in the range of about 10 to 60 angstroms, the resistance shift of the interconnect can be particularly reduced (a level of less than 1% to less than 3%, which is currently required in the IC industry).
<装置>
一般的に、保護キャップの形成は、揮発性の前駆体を導入させ、反応条件(チャンバ温度、前駆体の流量、露呈時間等)を制御することのできる任意の種類の装置で実行可能である。処理301から311を、基板を周囲環境に曝すことなく行うことができると、基板を不注意に酸化および汚染させることがないので、一般的には好適である。一実施形態では、処理301から311が、真空状態を解除することなく実質的に1つのモジュールで行われる。一部の実施形態では、処理301から311を、1つのチャンバ内に複数のステーションを有する、または複数のチャンバを有する1つのCVD(好適にはPECVD)装置で行う。カリフォルニア州のサンノゼのNovellus Systems,Inc社から入手可能なVECTOR(登録商標)PECVD装置が適切な装置の一例である。
<Device>
In general, the formation of the protective cap can be performed in any type of apparatus that can introduce volatile precursors and control reaction conditions (chamber temperature, precursor flow rate, exposure time, etc.). . It is generally preferred that
装置の一例には、1以上のウェアを収容してウェハプロセシングに適した1以上のチャンバまたは「反応器」(しばしば複数のステーションを含む)が含まれる。各チャンバは、処理する1以上のウェハを収容してよい。これら1以上のチャンバは、ウェハを所定の位置(1または複数)に保持することができる(その位置において例えば回転、振動、その他の動き等の運動が可能であっても、不可能であってもよい)。一実施形態では、ソース層およびエッチング層の堆積が行われたウェハを、プロセス中に反応器内のステーション間で移動させる。プロセス中に各ウェハはペデスタル、ウェハチャック、および/または、他のウェハ支持装置により支持される。ウェハを加熱する特定の処理に関して、装置は加熱板等のヒータを含んでよい。本発明の好適な実施形態ではPECVDシステムを利用することができる。さらに好適な実施形態ではPECVDシステムがLF RF電源を含む。 An example of an apparatus includes one or more chambers or “reactors” (often including multiple stations) that contain one or more wares and are suitable for wafer processing. Each chamber may contain one or more wafers to be processed. These one or more chambers are capable of holding the wafer in place (s) (even if movement such as rotation, vibration or other movement is possible at that position, May be good). In one embodiment, the wafer with the deposited source and etch layers is moved between stations in the reactor during the process. During the process, each wafer is supported by a pedestal, wafer chuck, and / or other wafer support device. For the specific process of heating the wafer, the apparatus may include a heater such as a heating plate. A preferred embodiment of the present invention can utilize a PECVD system. In a further preferred embodiment, the PECVD system includes an LF RF power source.
図5は、本発明を実装するために配置される様々な反応コンポーネントを示す簡略ブロック図である。示されているように、反応器500はプロセスチャンバ524を含み、プロセスチャンバ524は、反応器の他のコンポーネントを含み、接地されたヒータブロック520と協働するシャワーヘッド514を含むコンデンサタイプのシステムにより生成されるプラズマを含む機能を有する。高周波RFジェネレータ502および低周波RFジェネレータ504が整合ネットワーク506に接続され、これがシャワーヘッド514に接続される。
FIG. 5 is a simplified block diagram illustrating the various reaction components arranged to implement the present invention. As shown, the
反応器内で、ウェハペデスタル518は基板516を支持する。ペデスタルは通常チャック、フォーク、またはリフトピンを含み、堆積反応中または堆積反応間に基板を保持および移動させることができる。チャックは、産業および/または研究で利用入手可能な静電気チャック、機械チャック、または様々な他の種類のチャックであってよい。
Within the reactor,
プロセスガスが入口512から導入される。複数のソースガスライン510をマニホルド508に接続する。ガスは予め混合されていてもいなくてもよい。適切なバルブおよびマスフロー制御メカニズムを利用して、前洗浄中、ソース層の形成中、パッシベーション層の形成中、およびプロセスにおけるドーピング期間中に、適切なガスを確実に提供することができる。化学的前駆体(1または複数)を液体形状で提供する場合には、液体流量制御メカニズムを利用する。次に液体を気化させて、堆積チャンバに到達する前に気化点を越える温度に加熱されたマニホルド内の輸送中に他のプロセスガスと混合する。
Process gas is introduced from
プロセスガスは出口522からチャンバ500を出る。通常、真空ポンプ526(例えば1つまたは2つのステージの機械ドライポンプ(mechanical dry pump)および/またはターボ分子ポンプ)により、プロセスガスを取り出して、スロットルバルブまたはペンダラムバルブ等の閉ループ制御流量制限素子により反応器内を適切な低圧に保つ。
Process gas exits
一実施形態では、マルチステーション装置を利用して、キャップ層および拡散バリアを形成してよい。マルチステーション反応器により、異なるプロセスを同時に1つのチャンバ環境内で行うことができ、これによりウェハプロセスの効率が上がる。この装置の一例が図6に示されている。ここでは上面概略図が示されている。装置チャンバ601は、4つのステーション603から609、および2つのロードロック(入口ロードロック619および出口ロードロック617)を含む。他の実施形態では、単一のロードロックをウェハの入口および出口で兼用する。マルチステーション装置の単一のチャンバ内に任意の数のステーションが含まれてよい。ステーション603は、基板のウェハをロードしたり、アンロードしたりする際に利用される。ステーション603から609は、同じまたは異なる機能を有してもよい。例えば、ステーションの幾つかがキャップ層の形成に特化して設けられてよく、他のステーションが誘電体拡散バリア膜の堆積用に設けられてよい。さらに複数のステーションが銅酸化物還元に特化して設けられてよい。
In one embodiment, a multi-station device may be utilized to form the cap layer and diffusion barrier. Multi-station reactors allow different processes to be performed simultaneously in one chamber environment, which increases the efficiency of the wafer process. An example of this device is shown in FIG. Here, a schematic top view is shown. The
一実施形態では、個々のステーションが個別のプロセス条件により動作してもよいし、実質的に互いから隔離されていてもよい。例えばあるステーションがある温度範囲で動作して、別のステーションがこれとは異なる温度範囲で動作してよい。 In one embodiment, individual stations may operate with individual process conditions or may be substantially isolated from one another. For example, one station may operate in one temperature range and another station may operate in a different temperature range.
一実施形態では、前洗浄処理、ソース層堆積、およびパッシベーション層の形成を、1つの好適な温度範囲で行い、且つ、マルチステーション装置の1つのステーションで行う。誘電体拡散バリアの堆積には、一部の実施形態では異なる温度範囲が必要となる場合があり、異なるステーション(1または複数)で実行する必要がある場合がある。一部の実施形態では、前処理、ソース層の形成、パッシベーション、およびドーパントを含むキャップの形成を含む全キャッププロセスを、単一のステーションまたはマルチステーションの装置のうちの1つのステーションで行う。一部の実施形態では、誘電体拡散バリア層の堆積を、キャップ処理と同じステーションで行うこともできる。場合によっては、ロードロック619全体をウェハの前洗浄または前処理に利用することもできる。このなかには、例えば化学的な還元による酸化物の除去が含まれてよい。
In one embodiment, the precleaning process, source layer deposition, and passivation layer formation are performed at one suitable temperature range and at one station of the multi-station apparatus. Dielectric diffusion barrier deposition may require different temperature ranges in some embodiments and may need to be performed at different station (s). In some embodiments, the entire cap process, including pretreatment, source layer formation, passivation, and formation of caps containing dopants, is performed at one of the single station or multi-station devices. In some embodiments, the dielectric diffusion barrier layer may be deposited at the same station as the capping process. In some cases, the
一例では、ステーション603を前洗浄およびキャップ層の形成に特化して利用することができる(前駆体層からパッシベーション層へと)。ステーション603は、約摂氏200から400度の温度範囲で動作可能であり、これは一部の実施形態ではキャップおよび前洗浄処理両方で好ましい。誘電体拡散バリア材料(例えば炭化珪素)の堆積は、ステーション605、607、および609で、約摂氏350から400度の温度範囲で行うことができ、これは炭化珪素堆積プロセスによるプロセス温度として好適である。
In one example, the
好適には、前洗浄、ソース層の堆積、パッシベーション、およびドーパントの導入には、一部の実施形態で同様の条件が必要となる場合があり1つのステーション603で行うことができる。
Preferably, precleaning, source layer deposition, passivation, and dopant introduction may require similar conditions in some embodiments and may be performed at one
上述した一実施形態では、ステーション603は前洗浄ステーションおよび保護キャップ形成ステーションである。ステーション605、607、および609は、全て誘電体拡散バリア層の堆積に利用される。インデックスプレート611を利用して、基板をペデスタルから外し、基板を次のプロセスステーションに正確に位置合わせする。ウェハ基板がステーション603にロードされ、プロセス(例えば前洗浄および前駆体層堆積およびパッシベーションを含むキャップ処理)を受けた後に、ステーション605にインデックスされて、ここでキャップ処理(ソース層堆積およびパッシベーションを含む)および/または誘電体堆積を行う。次にウェハをステーション607に移動させ、ここで拡散バリア誘電体の堆積を開始する、または継続する。基板はさらにステーション609にインデックスされて、ここでバリア誘電体のさらなる堆積を行い、ステーション603にさらにインデックスされ、ここでアンロードされて、モジュールに新たなウェハを搭載する。通常処理においては、別個の基板が各ステーションを占有し、プロセスが繰り返されるたびに基板を新たなステーションに移動させる。4つのステーション603、605、607、および609を有する装置は、4つのウェハを同時にプロセスして、少なくとも1つのステーションが他のステーションで行われるプロセスとは異なるプロセスを行う。または、特定のステーションが特定の層の堆積を行うのではなく、全ての4つのステーションが4つのウェハに対して同一の動作を行うこともできる。
In one embodiment described above, the
ステーション対ステーションの処理シーケンスの特定の例の幾つかを以下に示す。第1の例では、入口ロードロックが、前処理(例えば銅酸化物の還元)を行う。そして装置の第1のステーション(例えばステーション603または複数の連続配置された第1のステーション)がキャップ層を形成する(例えば、TMA等の前駆体に曝すことにより)。第2のステーション(例えば図6のステーション605)は、次いで、パッシベーション等の後処理を行う(例えば、ここで説明する窒素、アンモニア、および/または水素に曝すことにより)。次に、装置の残りのステーション(例えばステーション607および609)が、拡散バリア形成を行う。
Some specific examples of station-to-station processing sequences are shown below. In the first example, the inlet load lock performs pretreatment (eg, reduction of copper oxide). The first station of the device (eg,
別の例では、第1のステーション(例えばステーション603)が前処理を行い、第2のステーション(例えばステーション605または一連の連続ステーション)が、キャップ層の形成および後処理(例えばパッシベーション)の両方を行い、残りのステーションが誘電体拡散バリア層堆積を行う。また別の例では、第1のステーションが前処理、キャップ層堆積、および後処理を行う。残りのステーションが拡散バリア形成を行う。
In another example, a first station (e.g., station 603) performs pre-processing, and a second station (e.g.,
プロセス条件およびプロセスフロー自身は、例えばHFおよびLF電力、ガス流量および時間、温度、圧力等の特定のプロセス変数の監視、メンテナンス、および/または、調節を行うプログラム命令を有するコントローラユニット613により制御可能である。例えば、ソース層の堆積およびパッシベーションのためのボランおよびアンモニアの流量を指定する命令を含めることができる。命令は、上述した方法に従って処理を行うための全てのパラメータを指定することができる。例えば命令は、前洗浄、ソース層堆積、パッシベーション層の形成、ドーパントの銅線への導入、および誘電体拡散バリア堆積のパラメータを含むことができる。コントローラは、異なる装置ステーションについて異なるまたは同じ命令を含んでよく、装置ステーションを独立して、または同期して動作させることができる。
Process conditions and the process flow itself can be controlled by a
マルチステーション装置の別の例を図7に示す。マルチステーション装置701においては、2つのステーションが各チャンバに存在するように、6つのステーション703、705、707、709、711、および713が3つの別個のプロセスチャンバ717、719、および721に位置している。チャンバ717、719、および721に隣接する、ロボットを含むチャンバ715が、ウェハをステーションに対してロード/アンロードするメカニズムを提供する。コントローラ723は、マルチステーション装置701の動作に関する命令を提供する。1つのチャンバ内の個々のステーションは互いに隔離されており、同一または異なる処理を実行してよい。一実施形態では、2つのウェハが同時に1つのチャンバ721内のステーション703および705に移動させられ、同時に同一の処理(前洗浄、ソース層堆積、パッシベーション層の形成および銅のドーピング)を受ける。この処理の完了後に、2つのウェハをチャンバ721から取り外し、同時にチャンバ709内のステーション707および709に移す。このチャンバ内では、拡散バリア材料層を同時に堆積させる。次いでこれらウェハをチャンバ719から取り外し、チャンバ717内のステーション711および713に移して、ここでさらなるプロセスを行う。一部の実施形態では、保護キャップ層の形成を、異なるサブプロセス(例えば、ソース層堆積、パッシベーション、ドーパント拡散)を異なるチャンバ内で行うマルチチャンバ装置で行うことができる。
Another example of the multi-station device is shown in FIG. In
キャッププロセスをマルチステーションツールにより実装する方法は様々なものがあり、その数例が図6および図7に示されている。一般的には記載されるプロセスは簡単にダマシンフローに組み込むことができ、実質的にリソースを消費する基板処理を必要とせず、誘電体拡散バリア堆積と同様の装置で実行可能である。さらに、ドーパントの制御しながらの提供による抵抗制御は特に好適である。記載された方法は、銅と誘電体拡散バリアとを良好に付着させたインターコネクトを形成するのにも有用である。 There are various ways to implement the capping process with a multi-station tool, several examples of which are shown in FIGS. In general, the process described can be easily incorporated into a damascene flow, does not require substantially resource consuming substrate processing, and can be performed in an apparatus similar to dielectric diffusion barrier deposition. Furthermore, resistance control by providing while controlling the dopant is particularly suitable. The described method is also useful for forming interconnects with good adhesion between copper and a dielectric diffusion barrier.
記載した方法の複数の実施形態を以下に特定の例により詳述する。 Embodiments of the described method are detailed below by specific examples.
<実験例>
ボロンでドーピングされた保護キャップと、ボロンおよび窒素を含むパッシベーション層とを含む銅のインターコネクトの製造を、実験例により説明する。
<Experimental example>
An experimental example illustrates the fabrication of a copper interconnect that includes a boron-doped protective cap and a passivation layer containing boron and nitrogen.
記載された例では、処理は、プラズマ前洗浄処理から始まる。誘電率kが非常に低い(k=2.5;5,000オングストロームの厚み)銅線の露呈パターンを有する、部分的に製造された半導体素子をCMP処理の後に得て、PECVD VECTOR(登録商標)装置のプロセスチャンバに配置した。全キャッププロセスを、4つのステーションを有する装置のうち、1つのステーションで行った。先ず、基板を摂氏350度に予め加熱して、H2をプロセスチャンバに4,000sccmの流量で導入した。H2を、4Torrの圧力でプロセス時点0秒から30秒の間流入させた。30秒のプロセス時点に、HF RFプラズマを点火して、プロセス時点45秒まで、電力1.23W/cm2で維持した。基板をH2プラズマで前洗浄して、H2の流入およびプラズマ電力の印加を止め、B2H6をアルゴンと混合してプロセスチャンバに導入した。混合物のB2H6の濃度は、体積比約5%であり、この混合物を流量約3600sccmで、流量2400sccmで導入されるN2とともに、導入した。ガスをプロセス時点45秒から85秒までの間流入して、この間に、BHxを含むソース層を基板の上に堆積させた。堆積は、約摂氏350度の温度、および、約2.3Torrの圧力で行われた。銅の上部に堆積させたソース層の厚みは、約215オングストロームと測定され、誘電体層の上部に堆積させたソース層の厚みは、約159オングストロームと測定された。ソースBHx層を堆積させた後で、ボランの導入を止め、層をパッシベーションして(BNH)xを形成した。パッシベーションはプロセス時点85秒から90秒の間行われ、流量2800sccで導入されるN2に加えて、NH3を流量約7000sccmで導入した。プロセス時点90秒から96秒の間に、0.80W/cm2の電力レベルのHF成分および、0.37W/cm2の電力レベルのLF成分を有するプラズマを点火して維持した。パッシベーションを約摂氏350度の温度および約2.3Torrの圧力で行った。誘電体の上のBHx層の全厚みを、(BNH)xに変換し、銅の上のソース層の厚みの約25%を(BNH)xに変換した。(BNH)x層を、後にFT IR分光法で解析した。IR分光器では、3430cm−1(υN−H)、2560cm−1(υB−H)、および1375cm−1(υB-N)でピークが観察された。 In the example described, the process begins with a plasma preclean process. A partially fabricated semiconductor device having a very low dielectric constant k (k = 2.5; 5,000 angstroms thick) copper wire exposed pattern was obtained after CMP processing to obtain PECVD VECTOR®. ) Placed in the process chamber of the apparatus. The full cap process was performed at one of the four station devices. First, the substrate was preheated to 350 degrees Celsius and H 2 was introduced into the process chamber at a flow rate of 4,000 sccm. H 2 was flowed in at a pressure of 4 Torr for 0 to 30 seconds at the process time. At the 30 second process time, the HF RF plasma was ignited and maintained at a power of 1.23 W / cm 2 until the process time 45 seconds. The substrate was pre-cleaned with H 2 plasma to stop H 2 inflow and plasma power application, and B 2 H 6 was mixed with argon and introduced into the process chamber. The concentration of B 2 H 6 in the mixture was about 5% by volume, and the mixture was introduced at a flow rate of about 3600 sccm with N 2 introduced at a flow rate of 2400 sccm. Gas was flowed in for 45 to 85 seconds during the process, during which time a source layer containing BH x was deposited on the substrate. Deposition was performed at a temperature of about 350 degrees Celsius and a pressure of about 2.3 Torr. The thickness of the source layer deposited on top of the copper was measured to be about 215 angstroms, and the thickness of the source layer deposited on top of the dielectric layer was measured to be about 159 angstroms. After the source BH x layer was deposited, the introduction of borane was stopped and the layer was passivated to form (BNH) x . Passivation was performed for 85 to 90 seconds at the time of the process, and NH 3 was introduced at a flow rate of about 7000 sccm in addition to N 2 introduced at a flow rate of 2800 scc. Between the process time 90 sec 96 sec, 0.80 W / cm HF component of the two power levels and were maintained by igniting a plasma with a power level of the LF component of 0.37W / cm 2. Passivation was performed at a temperature of about 350 degrees Celsius and a pressure of about 2.3 Torr. The total thickness of the BH x layer on the dielectric was converted to (BNH) x and about 25% of the thickness of the source layer on the copper was converted to (BNH) x . The (BNH) x layer was later analyzed by FT IR spectroscopy. In the IR spectrometer, peaks were observed at 3430 cm −1 (ν N—H ), 2560 cm −1 (ν B—H ), and 1375 cm −1 (ν B—N ).
ボロンを銅線内に拡散させて、ボロンをドーピングしたキャップ層を形成した。ボロン拡散は、ソース層の上部を窒化する(パッシベーションする)前後に実行可能であることを理解されたい。銅線内のボロンをドーピングしたキャップの厚みは、約25から75オングストロームと測定された。 Boron was diffused into the copper wire to form a cap layer doped with boron. It should be understood that boron diffusion can be performed before and after nitriding (passivation) the top of the source layer. The thickness of the boron doped cap in the copper wire was measured to be about 25 to 75 angstroms.
全キャッププロセスを、摂氏350度の温度で、単一ステーションで行った。次いで、SixCyNz拡散バリア層(約500オングストローム)を、プラズマ内でテトラメチルシラン、アンモニア、および窒素をプロセスガスとして用いて、摂氏350度でPECVD装置の3つの異なるステーションで基板に堆積させた。 The entire cap process was performed in a single station at a temperature of 350 degrees Celsius. A Si x C y N z diffusion barrier layer (approximately 500 Å) is then applied to the substrate at three different stations of the PECVD apparatus at 350 degrees Celsius using tetramethylsilane, ammonia, and nitrogen as process gases in the plasma. Deposited.
ボロンを含むキャップを含む、または含まないCu(5,000オングストローム)−SixCyNz(500オングストローム)の挟持体を、4点を湾曲させる付着テストにより計測した。Bをドーピングしたキャップを含まない従来の挟持構造で得られた15.3J/m2という付着エネルギーに比して、上述した方法で得られた挟持体では、28.4J/m2という付着エネルギーが観察された。付着力の向上は、エレクトロマイグレーション性能の向上と相関性を有することが知られている。 Cu (5,000 angstrom) -Si x C y N z (500 angstrom) sandwiches with or without a boron-containing cap were measured by an adhesion test with 4 points curved. Compared to the adhesion energy of 15.3 J / m 2 obtained with the conventional clamping structure not including the B-doped cap, the clamping body obtained by the above-described method has an adhesion energy of 28.4 J / m 2. Was observed. It is known that the improvement in adhesion has a correlation with the improvement in electromigration performance.
リーク電流および飽和静電容量も、Bをドーピングした保護キャップおよび(BNH)xパッシベーション層を含む構造について計測した。これらパラメータは上述したキャップ処理の影響を実質的に受けないことが観察された。 Leakage current and saturation capacitance were also measured for structures containing a B-doped protective cap and a (BNH) x passivation layer. It has been observed that these parameters are substantially unaffected by the capping process described above.
明瞭化を期して様々な詳細を省いてきたが、様々な設計に関する代替例の実装が可能である。従って本例示は、制限ではなくて例示を意図しており、本発明は示された詳細に限定はされず、添付請求項の範囲内の修正が可能である。 While various details have been omitted for clarity, alternative implementations for various designs are possible. Accordingly, this example is intended to be illustrative rather than limiting, and the present invention is not limited to the details shown and modifications within the scope of the appended claims are possible.
Claims (23)
(a)酸化物を含まない銅または銅合金の露呈領域と誘電体の露呈領域とを含む基板を、アルミニウムを含む化合物に、少なくとも摂氏350度の基板温度で曝して、前記誘電体および前記銅または銅合金の層の両方の上にアルミニウムを含む第1の層を形成する工程と、
(b)前記第1の層の少なくとも一部を化学的に修正して、アルミニウムを含むパッシベーション層を形成する工程と、
(c)前記パッシベーション層の上に誘電体層を堆積させる工程と
を備える方法。 A method of forming a semiconductor device structure comprising:
(A) exposing a substrate including an oxide-free copper or copper alloy exposed region and a dielectric exposed region to a compound containing aluminum at a substrate temperature of at least 350 degrees Celsius, so that the dielectric and the copper Or forming a first layer comprising aluminum on both of the copper alloy layers;
(B) chemically modifying at least a portion of the first layer to form a passivation layer comprising aluminum;
(C) depositing a dielectric layer on the passivation layer.
(a)気体の、または揮発性の金属を含む反応物を導入する入口を有するプロセスチャンバと、
(b)前記プロセスチャンバ内でウェハ基板の上に金属を含む層を堆積する間に、前記ウェハを支持するウェハ支持部と、
(c)プログラム命令を有するコントローラとを備え、
前記プログラム命令は、
(i)露呈した銅または銅合金と露呈した誘電体とを含む基板を処理して、前記露呈した銅または銅合金から酸化物を除去させるプログラム命令と、
(ii)酸化物を含まない銅または銅合金の露呈領域と誘電体の露呈領域とを含む前記基板を、アルミニウムを含む反応物に、少なくとも摂氏350度の基板温度で曝して、前記誘電体および第1の金属の両方の上にアルミニウムを含む第1の層を形成させるプログラム命令と、
(iii)前記第1の層の少なくとも一部を化学的に修正して、アルミニウムを含むパッシベーション層を形成させるプログラム命令と
を含む装置。 An apparatus for forming a semiconductor element structure,
(A) a process chamber having an inlet for introducing a gaseous or volatile metal containing reactant;
(B) a wafer support for supporting the wafer while depositing a metal-containing layer on the wafer substrate in the process chamber;
(C) a controller having program instructions,
The program instructions are:
(I) a program instruction for processing a substrate comprising exposed copper or copper alloy and exposed dielectric to remove oxide from the exposed copper or copper alloy;
(Ii) exposing the substrate comprising an oxide-free copper or copper alloy exposed region and a dielectric exposed region to a reactant comprising aluminum at a substrate temperature of at least 350 degrees Celsius to provide the dielectric and Program instructions for forming a first layer comprising aluminum on both of the first metals;
(Iii) a program instruction for chemically modifying at least a portion of the first layer to form a passivation layer comprising aluminum.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/688,154 US8268722B2 (en) | 2009-06-03 | 2010-01-15 | Interfacial capping layers for interconnects |
US12/688,154 | 2010-01-15 | ||
US12/689,803 | 2010-01-19 | ||
US12/689,803 US7858510B1 (en) | 2008-02-28 | 2010-01-19 | Interfacial layers for electromigration resistance improvement in damascene interconnects |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2011146711A JP2011146711A (en) | 2011-07-28 |
JP2011146711A5 JP2011146711A5 (en) | 2014-02-27 |
JP5773306B2 true JP5773306B2 (en) | 2015-09-02 |
Family
ID=44268066
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011004797A Active JP5773306B2 (en) | 2010-01-15 | 2011-01-13 | Method and apparatus for forming a semiconductor device structure |
Country Status (4)
Country | Link |
---|---|
JP (1) | JP5773306B2 (en) |
KR (1) | KR101742825B1 (en) |
CN (1) | CN102130046B (en) |
TW (2) | TWI612618B (en) |
Families Citing this family (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7727881B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
US7727880B1 (en) | 2004-11-03 | 2010-06-01 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
TWI541938B (en) | 2011-06-03 | 2016-07-11 | 諾菲勒斯系統公司 | Metal and silicon containing capping layers for interconnects |
CN104008995B (en) * | 2013-02-22 | 2017-09-01 | 中芯国际集成电路制造(上海)有限公司 | A kind of semiconductor devices and preparation method thereof |
EP2965347A4 (en) * | 2013-03-05 | 2017-02-15 | Entegris, Inc. | Ion implantation compositions, systems, and methods |
WO2015013266A1 (en) * | 2013-07-24 | 2015-01-29 | Applied Materials, Inc | Cobalt substrate processing systems, apparatus, and methods |
CN104576514B (en) * | 2013-10-29 | 2017-11-24 | 中芯国际集成电路制造(上海)有限公司 | The preparation method of semiconductor devices |
CN104637864B (en) * | 2013-11-14 | 2017-11-24 | 中芯国际集成电路制造(上海)有限公司 | The method for improving data holding ability |
US9368448B2 (en) * | 2013-12-20 | 2016-06-14 | Applied Materials, Inc. | Metal-containing films as dielectric capping barrier for advanced interconnects |
US9465071B2 (en) * | 2014-03-04 | 2016-10-11 | Mediatek Inc. | Method and apparatus for generating featured scan pattern |
US10319908B2 (en) * | 2014-05-01 | 2019-06-11 | Crossbar, Inc. | Integrative resistive memory in backend metal layers |
US9633896B1 (en) | 2015-10-09 | 2017-04-25 | Lam Research Corporation | Methods for formation of low-k aluminum-containing etch stop films |
CN109844930B (en) * | 2016-10-02 | 2024-03-08 | 应用材料公司 | Doped selective metal capping with ruthenium liner to improve copper electromigration |
US9859153B1 (en) * | 2016-11-14 | 2018-01-02 | Lam Research Corporation | Deposition of aluminum oxide etch stop layers |
CN107256845A (en) * | 2017-05-25 | 2017-10-17 | 上海集成电路研发中心有限公司 | A kind of copper interconnection structure and its manufacture method |
US20190127212A1 (en) * | 2017-10-31 | 2019-05-02 | Texas Instruments Incorporated | Forming a passivation coating for mems devices |
US10707119B1 (en) * | 2019-01-14 | 2020-07-07 | Globalfoundries Inc. | Interconnect structures with airgaps and dielectric-capped interconnects |
CN111769074A (en) * | 2019-04-02 | 2020-10-13 | 长鑫存储技术有限公司 | Semiconductor interconnection structure and manufacturing method thereof |
Family Cites Families (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0765179B2 (en) * | 1987-05-15 | 1995-07-12 | 日本電信電話株式会社 | Chemical vapor deposition method |
US6605531B1 (en) * | 1997-11-26 | 2003-08-12 | Applied Materials, Inc. | Hole-filling technique using CVD aluminum and PVD aluminum integration |
US20020048926A1 (en) * | 2000-09-14 | 2002-04-25 | Konecni Anthony J. | Method for forming a self-aligned copper capping diffusion barrier |
US6664182B2 (en) * | 2001-04-25 | 2003-12-16 | Macronix International Co. Ltd. | Method of improving the interlayer adhesion property of low-k layers in a dual damascene process |
US6518167B1 (en) * | 2002-04-16 | 2003-02-11 | Advanced Micro Devices, Inc. | Method of forming a metal or metal nitride interface layer between silicon nitride and copper |
JP2006505127A (en) * | 2002-10-29 | 2006-02-09 | エーエスエム インターナショナル エヌ.ヴェー. | Oxygen cross-linking structure and method |
KR100564801B1 (en) | 2003-12-30 | 2006-03-28 | 동부아남반도체 주식회사 | Method for fabricating semiconductor |
US7102232B2 (en) * | 2004-04-19 | 2006-09-05 | International Business Machines Corporation | Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer |
US7704873B1 (en) * | 2004-11-03 | 2010-04-27 | Novellus Systems, Inc. | Protective self-aligned buffer layers for damascene interconnects |
TW200802703A (en) * | 2005-11-28 | 2008-01-01 | Nxp Bv | Method of forming a self aligned copper capping layer |
JP2007180408A (en) * | 2005-12-28 | 2007-07-12 | Matsushita Electric Ind Co Ltd | Semiconductor device and manufacturing method thereof |
DE102007004867B4 (en) * | 2007-01-31 | 2009-07-30 | Advanced Micro Devices, Inc., Sunnyvale | A method of increasing the reliability of copper-based metallization structures in a microstructure device by using aluminum nitride |
US7754588B2 (en) * | 2007-09-28 | 2010-07-13 | Tel Epion Inc. | Method to improve a copper/dielectric interface in semiconductor devices |
-
2011
- 2011-01-13 JP JP2011004797A patent/JP5773306B2/en active Active
- 2011-01-14 CN CN201110021170.4A patent/CN102130046B/en active Active
- 2011-01-14 TW TW100101507A patent/TWI612618B/en active
- 2011-01-14 TW TW105123303A patent/TW201709418A/en unknown
- 2011-01-17 KR KR1020110004334A patent/KR101742825B1/en active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
CN102130046B (en) | 2015-01-14 |
TWI612618B (en) | 2018-01-21 |
KR20110084130A (en) | 2011-07-21 |
TW201138024A (en) | 2011-11-01 |
CN102130046A (en) | 2011-07-20 |
JP2011146711A (en) | 2011-07-28 |
TW201709418A (en) | 2017-03-01 |
KR101742825B1 (en) | 2017-06-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5773306B2 (en) | Method and apparatus for forming a semiconductor device structure | |
US7858510B1 (en) | Interfacial layers for electromigration resistance improvement in damascene interconnects | |
US7799671B1 (en) | Interfacial layers for electromigration resistance improvement in damascene interconnects | |
US8268722B2 (en) | Interfacial capping layers for interconnects | |
TWI541938B (en) | Metal and silicon containing capping layers for interconnects | |
US11587829B2 (en) | Doping control of metal nitride films | |
US7521379B2 (en) | Deposition and densification process for titanium nitride barrier layers | |
US7576006B1 (en) | Protective self-aligned buffer layers for damascene interconnects | |
KR102036245B1 (en) | Doped tantalum nitride for copper barrier applications | |
US20110244680A1 (en) | Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices | |
TW201330174A (en) | High temperature tungsten metallization process | |
WO2023033901A1 (en) | Method of forming a metal liner for interconnect structures |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110506 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140110 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20140110 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20141022 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20141028 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20150123 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150602 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150619 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5773306 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |