JP5051594B2 - Apparatus and method for processing dielectric material - Google Patents

Apparatus and method for processing dielectric material Download PDF

Info

Publication number
JP5051594B2
JP5051594B2 JP2008518099A JP2008518099A JP5051594B2 JP 5051594 B2 JP5051594 B2 JP 5051594B2 JP 2008518099 A JP2008518099 A JP 2008518099A JP 2008518099 A JP2008518099 A JP 2008518099A JP 5051594 B2 JP5051594 B2 JP 5051594B2
Authority
JP
Japan
Prior art keywords
substrate
ultraviolet
processing chamber
radiation source
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008518099A
Other languages
Japanese (ja)
Other versions
JP2008547217A (en
Inventor
ヴァルトフリート カルロ
ガーマー クリストファー
エスコーシア オーランド
ベリー イバン
サクシーベル パラニクマラ
Original Assignee
アクセリス テクノロジーズ インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクセリス テクノロジーズ インコーポレーテッド filed Critical アクセリス テクノロジーズ インコーポレーテッド
Publication of JP2008547217A publication Critical patent/JP2008547217A/en
Application granted granted Critical
Publication of JP5051594B2 publication Critical patent/JP5051594B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)

Description

本発明は、誘電体材料からのポロゲン(porogen)をキュア、及び/又は除去するための装置に関し、特に、制御された環境において、紫外線放射を用いて、低kの誘電体材料からポロゲンをキュア、及び/又は除去するための装置に関する。   The present invention relates to an apparatus for curing and / or removing porogen from a dielectric material, and more particularly to curing porogen from a low-k dielectric material using ultraviolet radiation in a controlled environment. And / or an apparatus for removing.

半導体や他のマイクロ電子工学のデバイスのサイズが革新的に小さくなるにつれて、デバイス部品上の要求が増え続けている。例えば、交差線間の容量性クロストークを防止することは、デバイスがより小さくなればなるほど、より重大になる。容量性クロストークは、基本的に、導体間の距離と、導体間に置かれた材料の誘電体定数(k)との両方の関数である。関心の多くが、低誘電体定数を持つ新しい絶縁体を用いて導電体を互いから電気的に絶縁することに注がれてきた。これは、約4の比較的低い誘電体定数のために、当該デバイス中に、従来から使用されてきたシリカ(SiO2)は、より早い(すなわち、より大きな)応用・適用の要求を満たしたが、シリカが、今後のより小さなデバイスとしては、相応しくないためである。上記の低k(すなわち、4未満の誘電率)の材料は、例えば、内層誘電体層(ILD)としての利用に望ましい。   As semiconductor and other microelectronic devices have become innovatively smaller in size, the demand on device components continues to increase. For example, preventing capacitive crosstalk between crossing lines becomes more critical as devices get smaller. Capacitive crosstalk is basically a function of both the distance between conductors and the dielectric constant (k) of the material placed between the conductors. Much interest has been devoted to electrically insulating the conductors from each other using new insulators with low dielectric constants. This is because, due to the relatively low dielectric constant of about 4, the conventionally used silica (SiO2) in the device met the requirements for faster (ie, larger) applications. This is because silica is not suitable as a smaller device in the future. The low k (ie, dielectric constant less than 4) material is desirable for use as, for example, an inner dielectric layer (ILD).

低誘電率を達成するために、低誘電率を持つ材料を使用すること、及び/又は、ポロシティ(多孔質)を当該材料に導入することが可能である。後者によれば、空気の誘電率が公称1であるため、その誘電率を効果的に低下する。ポロシティは、様々な手段で、低kの材料に導入されてきた。低kの誘電体のスピンの場合には、kの値の低下は、高沸点溶媒を用いること、又は鋳型(テンプレート)を用いること、又は連続処理時に孔を形成する方法に基づくポロゲンによって達成可能である。しかし、半導体装置の製造において、多孔性かつ低kの材料の集積化は、困難であることが判明した。   In order to achieve a low dielectric constant, it is possible to use a material with a low dielectric constant and / or to introduce porosity into the material. According to the latter, since the dielectric constant of air is nominally 1, the dielectric constant is effectively reduced. Porosity has been introduced into low-k materials by various means. In the case of low-k dielectric spins, a reduction in the value of k can be achieved by using porogens based on using high-boiling solvents, or using templates (templates), or forming pores during continuous processing. It is. However, it has been found difficult to integrate porous and low-k materials in the manufacture of semiconductor devices.

低kの誘電体のフィルムのキュアのためにUV放射を利用することが、最近見い出され、他のキュア方法との比較において、得られる誘電体材料の持つ、電気的、機械的、化学的特性の向上が示された。さらに、該UVを補助する方法は、ポロゲン材料、多孔性構造を生成するのに使用される犠牲的な有機物を、効果的に除去することができるのである。
異なる低kの材料に基づく試験結果が、適当なバックグランド(background chemistry)と組み合わされたUV光の異なる波長分布に対する露光と十分高いウエハ温度により、低kのフィルムのいくつかの異なる改良方法を得る結果が得られた。特に、いくつかの波長分布(A)が、ポロゲンの除去と、改善された低kの母組織(マトリックス)の架橋のためには大変効果的であり、一方、他の波長分布(B)が、ポロゲンを除去することなく、低k値の母組織の架橋に寄与することが判った。それゆえに、多くの異なる低kのキュアの流れの態様(スキーム;schemes)が実行可能であり、該態様は、多孔性かつ低kの誘電体の合成や集積のために有益である。
The use of UV radiation for curing low-k dielectric films has recently been found, and the electrical, mechanical and chemical properties of the resulting dielectric materials in comparison with other curing methods Improvement was shown. Furthermore, the UV assisted method can effectively remove the porogen material, the sacrificial organics used to create the porous structure.
Test results based on different low-k materials have shown several different improvements to low-k films due to exposure to different wavelength distributions of UV light combined with a suitable background and sufficiently high wafer temperature. Obtained results were obtained. In particular, some wavelength distributions (A) are very effective for porogen removal and improved low-k matrix (matrix) crosslinking, while other wavelength distributions (B) It has been found that it contributes to the cross-linking of the low-k matrix without removing the porogen. Therefore, many different low-k cure flow aspects are feasible (schemes), which are beneficial for the synthesis and integration of porous, low-k dielectrics.

様々な誘電体材料から、ポロゲンを除去すること、及び/又はキュアすることに関連する、特別な問題点や関連事項について真に取り組む紫外線放射装置は、現存していない。したがって、革新的なデバイスの製造のために、低kの材料、酸化物、窒化物、プレメタル誘電体、バリア層のような誘電体材料を処理するのに適した装置技術にニーズがあるのである。   There are no existing ultraviolet radiation devices that truly address the special issues and concerns associated with removing and / or curing porogens from various dielectric materials. Therefore, there is a need for equipment technologies suitable for processing dielectric materials such as low-k materials, oxides, nitrides, premetal dielectrics, barrier layers for the manufacture of innovative devices. .

ここに、革新的な半導体デバイスのために、低k誘電体やプレメタル誘電体等の誘電体材料を処理する装置及び方法が開示されている。
一実施形態では、本装置は、誘電体材料を処理するための装置であって、
リフレクタ、紫外線放射源、及び150nm〜300nmの波長を透過するプレートを有し、シールされた内部領域を形成して、該内部領域が第1流体源と流体連通している放射源モジュールと、該放射源モジュールに結合されて、前記紫外線放射源に連通して作動するシールされたチャンバーを形成し、かつ、基板を受け入れる閉鎖可能な開口、前記基板を支持するための支持体、及び第2流体源と流体連通するガス入口を有する処理チャンバーモジュールと、第3流体源およびチャックに流体連通するエアロックチャンバーを有し、前記処理チャンバーモジュールとウエハハンドラーに流体連通して作動するロードロックチャンバーモジュールとを含み、
前記放射源モジュールは、さらに前記リフレクタに冷却媒体を供給する第4流体源に流体連通する流体入口と、前記放射源と前記基板との間に配置され、複数の開口を有するメッシュスクリーンを備える光学フィルターとを含むことを特徴とする。
Disclosed herein are apparatus and methods for processing dielectric materials such as low-k dielectrics and pre-metal dielectrics for innovative semiconductor devices.
In one embodiment, the apparatus is an apparatus for processing a dielectric material comprising:
A radiation source module having a reflector, an ultraviolet radiation source, and a plate that transmits wavelengths between 150 nm and 300 nm, forming a sealed interior region, wherein the interior region is in fluid communication with the first fluid source; A closable opening coupled to the radiation source module to operate in communication with the ultraviolet radiation source and receive the substrate, a support for supporting the substrate, and a second fluid A process chamber module having a gas inlet in fluid communication with the source; a load lock chamber module having an air lock chamber in fluid communication with the third fluid source and the chuck and operating in fluid communication with the process chamber module and the wafer handler; Including
The radiation source module further includes a fluid inlet in fluid communication with a fourth fluid source for supplying a cooling medium to the reflector, and a mesh screen disposed between the radiation source and the substrate and having a plurality of openings. And an optical filter .

他の実施形態では、誘電体材料を処理する装置であって、リフレクタ、広帯域放射を発生させる紫外線放射源、及び150nm〜300nmの波長を透過するプレートを有し、シールされた内部領域を形成して、該内部領域が第1流体源と流体連通している放射源モジュールと、前記放射源と前記基板との間に配置され、複数の開口を有するメッシュスクリーンを含む光学フィルターと、前記放射源モジュールに結合されて、前記紫外線放射源に連通して作動するシールされたチャンバーを形成し、かつ、基板を受け入れる閉鎖可能な開口、前記基板を支持するための支持体、及び第2流体源と流体連通するガス入口を有する処理チャンバーモジュールと、を含み、
前記放射源モジュールは、さらに前記リフレクタに冷却媒体を供給する更なる流体源に流体連通する流体入口を含んでいることを特徴とする。
In another embodiment, an apparatus for processing a dielectric material, comprising a reflector, an ultraviolet radiation source that generates broadband radiation, and a plate that transmits wavelengths between 150 nm and 300 nm to form a sealed interior region. A radiation source module in fluid communication with the first fluid source; an optical filter including a mesh screen disposed between the radiation source and the substrate and having a plurality of openings; and the radiation source. A closable opening coupled to the module to operate in communication with the ultraviolet radiation source and to receive the substrate; a support for supporting the substrate; and a second fluid source; A processing chamber module having a gas inlet in fluid communication,
The radiation source module further includes a fluid inlet in fluid communication with a further fluid source that supplies a cooling medium to the reflector.

誘電体材料を処理する方法が、リフレクタ、紫外線放射源と、シールされた内部領域を形成して、150nm〜300nmの波長を透過するプレートと、前記紫外線放射源と基板との間に配置され、複数の開口を有するメッシュスクリーンを含む光学フィルターとを含む放射源モジュールに結合される処理チャンバーに、ロードロックチャンバーから基板を搬送する段階と、不活性ガスを、前記処理チャンバー及び前記シールされた内部領域へと流す段階と、150nm〜300nmの波長で紫外線の広帯域放射を発生させ、前記基板を前記広帯域放射で露光する段階と、前記リフレクタの回りに冷却媒体を流す段階とを含む誘電体材料を処理する、各段階を含んでいる。 A method of processing a dielectric material is disposed between a reflector , an ultraviolet radiation source , a plate that forms a sealed internal region and transmits wavelengths between 150 nm and 300 nm, and the ultraviolet radiation source and the substrate. Transporting the substrate from the load lock chamber to a processing chamber coupled to a radiation source module including an optical filter including a mesh screen having a plurality of openings; and an inert gas, the processing chamber and the sealed A dielectric material comprising: flowing into an internal region; generating ultraviolet broadband radiation at a wavelength of 150 nm to 300 nm; exposing the substrate with the broadband radiation; and flowing a cooling medium around the reflector Includes each stage of processing.

上記及び他の特徴は、図面及び詳細な説明によって具体化される。   These and other features are embodied by the drawings and detailed description.

図1に示されるように、制御される環境において紫外線放射を用いて誘電体材料からポロゲンをキュア及び/又は除去する装置10は、放射源モジュール12と、この放射源モジュール12と作用して連通する処理チャンバーモジュール14と、基板をこの処理チャンバーモジュール14の中に、及び、この処理チャンバーモジュール14から外へ、搬送する処理チャンバーモジュール14に近いロードロックチャンバーモジュール16と、当該装置10を用いて処理するための基板を載置するための上記ロードロックチャンバーモジュール16に近い(不図示の)ウエハロードステーションモジュールとを含んでいる。有益なことに、各モジュール内の環境が、そこで処理される特別な誘電体材料のために制御かつ調整可能である。   As shown in FIG. 1, an apparatus 10 that cures and / or removes porogen from dielectric material using ultraviolet radiation in a controlled environment includes a radiation source module 12 and the radiation source module 12 in communication therewith. Using the processing chamber module 14, the load lock chamber module 16 close to the processing chamber module 14 for transferring the substrate into and out of the processing chamber module 14, and the apparatus 10. And a wafer load station module (not shown) close to the load lock chamber module 16 for mounting a substrate for processing. Beneficially, the environment within each module is controllable and adjustable for the particular dielectric material processed therein.

次に、図2を参照する。放射源モジュール12は、基本的に、紫外線放射源22と、プレート24と、リフレクタ26とによって画定されるシールされた内部領域20を含んでいる。紫外線放射源22の部分27は、シールされた内部領域20から突設し、及び/又は、シールされた内部領域20との境界で接合し、並びに、紫外線放射に対して基本的に透過性であるがマイクロ波に対しては基本的に非透過性であり、もってハイパスフィルターとして機能する。例えば、シールされた内部領域20から突設し、及び/又は、この内部領域との境界で接合する部分27の末端部28が、十分小さな開口を備えたタングステンのメッシュ材料により形成可能であり、その結果、ほとんどのマイクロ波の放射を遮断し、一方で、紫外線放射を基本的に透過する。   Reference is now made to FIG. The radiation source module 12 basically includes a sealed interior region 20 defined by an ultraviolet radiation source 22, a plate 24, and a reflector 26. The portion 27 of the ultraviolet radiation source 22 projects from the sealed inner region 20 and / or is joined at the boundary with the sealed inner region 20 and is essentially transparent to ultraviolet radiation. However, it is basically impermeable to microwaves and functions as a high-pass filter. For example, the end portion 28 of the portion 27 protruding from the sealed inner region 20 and / or joining at the boundary with this inner region can be formed of a tungsten mesh material with a sufficiently small opening, As a result, most microwave radiation is blocked, while UV radiation is essentially transmitted.

リフレクタ26は、アルミニウム金属、ダイクロイック(二色性)材料、又は多層コーティングにより形成される反射層を含む。なお、該反射層は、さらにマグネシウム、シリコン酸化物、アルミニウム酸化物、及びこれらの材料の少なくとも一つを含む組み合わせの保護層を含むようにしてもよい。他の適当な材料は、本願の開示内容を鑑みて、当業者には自明である。上記の材料は、より短い波長、例えば、200nm未満の波長を持つ紫外線放射より大きく、かつ、より十分な反射率を提供することが見出されている。   The reflector 26 includes a reflective layer formed by aluminum metal, a dichroic (dichroic) material, or a multilayer coating. The reflective layer may further include a protective layer of a combination including at least one of magnesium, silicon oxide, aluminum oxide, and these materials. Other suitable materials will be apparent to those skilled in the art in view of the present disclosure. The above materials have been found to provide greater reflectivity than UV radiation with shorter wavelengths, eg, less than 200 nm.

放射源モジュール12はさらに、シールされた内部領域20と流体連通する流体入口33と、流体源35とを含む。流体源35は、処理中にシールされた内部領域20内に含まれる雰囲気を浄化(パージ;purge)するように構成されている。さらに、流体源35は、例えば、無電極の管球(無電極管球、無電極バルブ)のような紫外線放射源を冷却するために使用されうる。適した流体は、例えば、シールされた内部領域20からの周囲の気体を浄化するための不活性ガスを含む。しかし、これらに限定される意図はない。適した不活性ガスは、窒素、アルゴン、ヘリウム、これらのガスの少なくとも一つを含む組み合わせ等を含む。しかし、これらに限定されない。同様にして、シールされた内部領域20は、さらに真空ポンプ、排気管等(不図示)の手段によって排気可能であり、UV光の最適な透過を可能とする。すなわち、200nm未満の波長で紫外線放射を吸収する酸素または他の種のものが除去される。ここで使用されているような、放射源モジュール(処理チャンバーも同様であるが)について使用された“シールされた(密閉された;sealed)”の語句は、処理中に適当に浄化されうる放射源モジュールの内部の領域を指すものである。シールされた内部領域は、真空でシールされる必要はない。浄化によって、簡便に、内部領域(又は処理チャンバー)内に、有利な雰囲気の提供を可能とする。しかし、いくつかの実施形態においては、シールされた内部領域は、その応用・適用次第で、真空でシールされうる。   The radiation source module 12 further includes a fluid inlet 33 in fluid communication with the sealed interior region 20 and a fluid source 35. The fluid source 35 is configured to purify the atmosphere contained within the inner region 20 that is sealed during processing. Further, the fluid source 35 can be used to cool an ultraviolet radiation source such as an electrodeless tube (electrodeless tube, electrodeless bulb). Suitable fluids include, for example, an inert gas for purifying ambient gas from the sealed interior region 20. However, it is not intended to be limited to these. Suitable inert gases include nitrogen, argon, helium, combinations containing at least one of these gases, and the like. However, it is not limited to these. Similarly, the sealed inner region 20 can be further evacuated by means of a vacuum pump, an exhaust pipe or the like (not shown), allowing optimal transmission of UV light. That is, oxygen or other species that absorb ultraviolet radiation at wavelengths less than 200 nm are removed. As used herein, the phrase “sealed” used for a radiation source module (as well as a processing chamber) is radiation that can be appropriately purified during processing. It refers to the area inside the source module. The sealed inner region need not be sealed with a vacuum. By purifying, an advantageous atmosphere can be easily provided in the internal region (or the processing chamber). However, in some embodiments, the sealed inner region can be sealed in a vacuum depending on the application.

放射源チャンバー12は、流体源36と流体連通した流体入口34を含むこともできる。この態様では、水もしくはいくつかの他の冷媒(冷却媒体)のような流体が、処理中に加熱されることになるリフレクタ26や部品等を冷却することに使用されうる。例えば、リフレクタ26は、流体がそこを流れて、所望量の冷却を行う水冷ジャケットをさらに含むようにしてもよい。冷却のために選択された流体は、シールされた内部領域20を浄化するのに使用される流体と同じ、若しくは異なるようにすることができる。このように、流体源35又は36は、単一の流体に限定されるといった意図はなく、異なる適用・応用に対して望まれうる複数の流体を提供でき、そこでは、各流体が、マニホルド等を通じて入口33、34と流体連通している圧力がかけられた容器等に蓄積されうる。   The radiation source chamber 12 can also include a fluid inlet 34 in fluid communication with a fluid source 36. In this aspect, a fluid such as water or some other refrigerant (cooling medium) may be used to cool the reflector 26, components, etc. that will be heated during processing. For example, the reflector 26 may further include a water cooling jacket through which fluid flows to provide a desired amount of cooling. The fluid selected for cooling can be the same as or different from the fluid used to clean the sealed interior region 20. Thus, the fluid source 35 or 36 is not intended to be limited to a single fluid, but can provide multiple fluids that may be desired for different applications, where each fluid is a manifold, etc. Can be stored in a pressurized container or the like in fluid communication with the inlets 33, 34.

(処理チャンバー14ばかりでなく)放射源モジュール12のシールされた内部領域20をも浄化することで、とりわけ、低kの誘電体材料の処理中に、非常に多くの利点を提供する。例えば、空気が約21%の酸素を含んでおり、この酸素が、約200nm未満の波長の放射を吸収することが知られており、そして、酸素の生成物のうち、とりわけ、オゾンを形成するために反応する。オゾンが、250nmと同じ高さで吸収を開始し、かつ波長を短くすることを続けるので、オゾンの生成は、波長の減衰を鈍化させる。その結果、低kの材料からのポロゲンの紫外線のキュア及び/又は除去の、処理効率が悪くなる。放射源モジュール12のシールされた内部領域20(及び処理チャンバー14)を浄化すること、又は紫外線放射パターンに対して基板を露光する前にそこを排気することで、波長の吸収が減じられ、その結果、処理効率が向上する。他の浄化のための流体が、使用される特別な放射源に対して固有の紫外線放射パターンについて選択的な波長を吸収するのに使用されうる。適した吸収用のガス(吸収ガス)は、O(酸素ガス)、O(オゾンガス)、NO(一酸化窒素ガス)、CO(二酸化炭素ガス)、HO(水蒸気)等を含む。しかし、これらに限定される意図はない。 Purifying the sealed interior region 20 of the source module 12 (as well as the processing chamber 14) provides numerous advantages, especially during processing of low-k dielectric materials. For example, air contains about 21% oxygen, which is known to absorb radiation of wavelengths below about 200 nm, and among other products of oxygen, forms ozone, among others. To react. As ozone begins to absorb at the same height as 250 nm and continues to shorten the wavelength, the generation of ozone slows down the wavelength decay. As a result, the processing efficiency of curing and / or removing the porogen from the low-k material is degraded. Purifying the sealed interior region 20 (and processing chamber 14) of the radiation source module 12 or evacuating it before exposing the substrate to the ultraviolet radiation pattern reduces the absorption of the wavelength, As a result, the processing efficiency is improved. Other cleaning fluids can be used to absorb wavelengths that are selective for the ultraviolet radiation pattern inherent to the particular radiation source used. Suitable absorption gas (absorption gas) is O 2 (oxygen gas), O 3 (ozone gas), N 2 O (nitrogen monoxide gas), CO 2 (carbon dioxide gas), H 2 O (water vapor), etc. including. However, it is not intended to be limited to these.

放射源モジュール12は、好ましくは、約400nm未満の、さらに好ましくは約150nmから約300nmの、よりさらに好ましくは約150nmから約250nmの、少なくとも一つの広帯域の波長パターンを発する。   The radiation source module 12 preferably emits at least one broadband wavelength pattern of less than about 400 nm, more preferably from about 150 nm to about 300 nm, and even more preferably from about 150 nm to about 250 nm.

図示されているような放射源モジュール12は、無電極管球30の使用を例示しており、この無電極管球は、熱源、例えばマイクロ波キャビティと接続されており、当業者には周知の態様で、所望の広帯域の放射パターンを発生し、もって、所望の広帯域の紫外線放射パターンを発生する。一例として、マイクロ波のエネルギー源を用いながら、マグネトロンおよび導波部が、マイクロ波のキャビティ32に接続され、もって、無電極管球内部に充満たされたガスを励起し、かつ紫外線放射を発生させる。異なる充満物(フィル;fill)をマイクロ波の無電極のバルブ28に使用することができ、もって、異なる放射パターンを提供する。上記充満物の量は、例えば、充満物が比較的高い出力密度で励起されるときの動作温度で、少なくとも、約1atm、好ましくは、2大気圧(atm)から20大気圧で存在しうるようなものである。例えば、マイクロ波のエネルギーの出力密度は、少なくとも、50ワット/cc、好ましくは、100ワット/ccより大であろう。無電極管球28が、高周波数の出力で、所望の広帯域の放射パターンを発しうる。   The radiation source module 12 as shown illustrates the use of an electrodeless tube 30 that is connected to a heat source, such as a microwave cavity, well known to those skilled in the art. In an embodiment, a desired broadband radiation pattern is generated, thereby generating a desired broadband ultraviolet radiation pattern. As an example, while using a microwave energy source, a magnetron and a waveguide are connected to the microwave cavity 32 to excite the gas filled inside the electrodeless tube and generate ultraviolet radiation. Let Different fills can be used for microwave electrodeless bulbs 28, thus providing different radiation patterns. The amount of the filling may be present, for example, at least about 1 atm, preferably from 2 atmospheres (atm) to 20 atmospheres, at the operating temperature when the filling is excited at a relatively high power density. It is a thing. For example, the power density of microwave energy will be at least 50 watts / cc, preferably greater than 100 watts / cc. The electrodeless tube 28 can emit a desired broadband radiation pattern at a high frequency output.

異なるスペクトル分布を持つUVを発生する無電極管球は、その応用・適用次第で選択される。例えば、その応用は、異なるマイクロ波用の無電極管球の使用であり、この管球は、例えば、アクセリステクノロジー社(マサチューセッツ州のベバリー在)から供給可能なタイプIとタイプIIのマイクロ波用の無電極管球である。上記タイプI及びタイプIIの管球から得られるスペクトルであって、キュアリング及び/又はポロゲン除去処理で使用されるのに適当なものが、それぞれ図3及び図4に示されている。他の適したマイクロ波で駆動される無電極管球は、その全体についてここに参照することで本願明細書の内容をなすウッドら(Woodet al.)の米国特許5,541,475号に開示されている。さらに、上記無電極管球の代わりに、アーク放電、誘電体バリア放電、又は電子衝撃発生器が、所望の紫外線放射パターンを発生するのに使用されうる。   An electrodeless tube that generates UV having a different spectral distribution is selected depending on its application. For example, the application is the use of electrodeless bulbs for different microwaves, for example for Type I and Type II microwaves available from Axelis Technology, Inc. (Beverly, Massachusetts). It is an electrodeless tube. Spectra obtained from the above type I and type II tubes suitable for use in curing and / or porogen removal processes are shown in FIGS. 3 and 4, respectively. Another suitable microwave driven electrodeless bulb is disclosed in Wood et al., US Pat. No. 5,541,475, which is hereby incorporated by reference in its entirety. Has been. Further, instead of the electrodeless tube, an arc discharge, a dielectric barrier discharge, or an electron impact generator can be used to generate the desired ultraviolet radiation pattern.

例えば、誘電体バリア放電の光源は、基本的に電極の一つ又は電極間に配置された誘電体絶縁層を持つ二つの平行電極を含み、基本的に略大気圧で作動する。処理される基板は、平面電極の一つとしてしばしば用いられ、又は、一般的には二つの平面電極の間に配置される。この誘電体バリア放電の光源は、好ましくは、所望の放射パターンを生成するための多くのガス混合物を用いて、充填し直すことが可能とされている。コンピュータ制御が、動作中にガス混合物を変更するために使用され、放射パターンで発生された波長を変化させる。   For example, a light source for dielectric barrier discharge basically includes two parallel electrodes having a dielectric insulating layer disposed between one of the electrodes or between the electrodes, and basically operates at substantially atmospheric pressure. The substrate to be processed is often used as one of the planar electrodes, or generally disposed between two planar electrodes. The dielectric barrier discharge light source is preferably capable of being refilled with a number of gas mixtures to produce the desired radiation pattern. Computer control is used to change the gas mixture during operation, changing the wavelength generated in the radiation pattern.

一実施形態では、基板が、光源によって上方から照射されながら、高強度のランプによって下方から加熱される。この構成により、プログラム可能な基板温度が施与されるであろう。この実施形態では、ピンが、加熱用の窓の上を覆うようにして存在している基板を支持するのに使用されるであろう。この窓の下方に、上記加熱用のランプが配置されるであろう。この実施形態では、所望により、温度を制御するために基板温度をモニターし、かつ、この温度情報をランプコントローラへとフィードバックし、その温度を制御するため、一又はそれ以上のピンが、さらに実装されるばね、若しくは埋め込まれる熱電対のような温度センサーを含むであろう。代わりに、近接型の熱チャック(近接熱チャック;proximity thermal chuck)が、埋め込まれた閉ループの熱伝対の制御により、基板温度を制御する。   In one embodiment, the substrate is heated from below by a high intensity lamp while being illuminated from above by a light source. This configuration will provide a programmable substrate temperature. In this embodiment, the pins will be used to support the existing substrate over the heating window. Below this window, the heating lamp will be arranged. In this embodiment, if desired, one or more pins are further implemented to monitor the substrate temperature to control the temperature and feed back this temperature information to the lamp controller to control the temperature. Temperature sensors such as springs to be embedded, or embedded thermocouples. Instead, a proximity thermal chuck (proximity thermal chuck) controls the substrate temperature by controlling the embedded closed loop thermocouple.

放射源モジュール12の内部のプレート24は、(図1に示されたように)下に横たわる処理チャンバー14からの紫外線放射源22を絶縁するのに有効に機能する。有益なことには、プレート24は、基板から紫外線放射源22への微粒子の汚染物を取り除き、個別のアクセスを許容するために処理チャンバー14から紫外線放射源22を絶縁し、さらに、もし存在するのであれば、紫外線放射源22及び/又はマイクロ波キャビティを冷却するためのガスの利用を許容する。プレート24はまた、紫外線放射源22の動作を妨害することなく、処理チャンバー14で、特に選択された処理ガスを使用することを許容する。   The plate 24 inside the radiation source module 12 functions effectively to isolate the ultraviolet radiation source 22 from the underlying processing chamber 14 (as shown in FIG. 1). Beneficially, the plate 24 removes particulate contaminants from the substrate to the ultraviolet radiation source 22, insulates the ultraviolet radiation source 22 from the processing chamber 14 to allow individual access, and if present. If so, the use of gas to cool the ultraviolet radiation source 22 and / or the microwave cavity is allowed. Plate 24 also allows the use of a specifically selected process gas in process chamber 14 without interfering with the operation of ultraviolet radiation source 22.

一実施形態では、プレート24は、低kの誘電体材料からポロゲンをキュア及び/又は除去するための、所望の放射パターンに対して実質的に透過性の光学透過率を持つ水晶材料から製造される。このような水晶材料の例は、ニュージャージ州のウェストベルリンにあるダイナシル社(Dynasil Corporation)による、商標名Dynasil1000により業としての利用が可能である。利用する材料は、上記の特徴を有する限りにおいて、水晶以外の材料を利用することが可能である。例えば、プロジェン除去のために固有の、220nm未満の波長を持つ紫外線放射に、基板を露光することが望ましい。プレート24は、放射源モジュール12内に、従来の実装手段によって実装される。なお、この実装手段はさらに適当なスペーサを含む。さらに、プレート24は、一枚又はそれ以上に積み重ねられるように配列されたプレートによって形成される。いくつかの実施形態では、プレートが、非反射材を用いてコーティングされ、もって、UV放射の放射源モジュール12へのUV放射の後ろ方向への反射を最小にする。例えば、プレート24は、マグネシウムフッ化物を用いてコーティングされるか、又はプレート24上にシリコン、フッ素等を堆積(deposit)させる。   In one embodiment, the plate 24 is fabricated from a quartz material that has optical transmission substantially transparent to the desired radiation pattern for curing and / or removing porogen from the low-k dielectric material. The An example of such a quartz material is commercially available under the trade name Dynasil 1000 from Dynasil Corporation in West Berlin, New Jersey. As long as it has the above-mentioned characteristics, it is possible to use materials other than quartz. For example, it may be desirable to expose the substrate to ultraviolet radiation having a wavelength of less than 220 nm that is unique for progen removal. The plate 24 is mounted in the radiation source module 12 by conventional mounting means. This mounting means further includes a suitable spacer. Further, the plate 24 is formed by plates arranged to be stacked one or more. In some embodiments, the plate is coated with a non-reflective material, thus minimizing the reflection of UV radiation back to the radiation source module 12. For example, the plate 24 is coated with magnesium fluoride, or silicon, fluorine, etc. are deposited on the plate 24.

一実施形態では、光フィルター40、例えばスクリーン(網)のようなもの等が、プレート24の上に配置される。スクリーンの場合には、スクリーン40が、好適には、複数の開口を用いて構成され、これら複数の開口が、基板への、改善された放射の均一性をもたらす。開口は、下に横たわる基板への均一の放射を促進するように、紫外線放射源の強度特性に応じて、そのサイズの変更が可能である。スクリーン40は、金属から製造可能であり、メッシュの形態を有する。スクリーン40は、より大きな放射露光の均一性を提供するための一箇所又は複数箇所のゾーンを含みうる。図5は、三箇所のゾーン42、44及び46を持つ例としてのスクリーン40を示す。ゾーン42は、ゾーン44よりも細かいメッシュパターンを備えており、そして、ゾーン44は、ゾーン46よりも細かいメッシュパターンを備えている。スクリーンを用いずに、基板のもっとも中央部が、当該表面に入射する放射の最大の強度を呈することが見出された。例示された態様では、スクリーン40の開口密度を変更することによって、より優れた放射均一性が得られる。環状のリング48が、ゾーン42、44及び46によって画定されるメッシュスクリーンを保持する。   In one embodiment, a light filter 40, such as a screen, is placed on the plate 24. In the case of a screen, the screen 40 is preferably constructed with a plurality of apertures that provide improved radiation uniformity to the substrate. The aperture can be resized according to the intensity characteristics of the ultraviolet radiation source so as to promote uniform radiation to the underlying substrate. The screen 40 can be manufactured from metal and has the form of a mesh. The screen 40 can include one or more zones to provide greater radiation exposure uniformity. FIG. 5 shows an example screen 40 having three zones 42, 44 and 46. The zone 42 has a finer mesh pattern than the zone 44, and the zone 44 has a finer mesh pattern than the zone 46. It has been found that without using a screen, the central portion of the substrate exhibits the maximum intensity of radiation incident on the surface. In the illustrated embodiment, better radiation uniformity is obtained by changing the aperture density of the screen 40. An annular ring 48 holds the mesh screen defined by zones 42, 44 and 46.

他の実施形態では、スクリーン40が、処理チャンバーモジュール14と放射源モジュール12との間に配置される。さらに他の実施形態では、スクリーン40が、プレート24の内部に埋め込まれる。   In other embodiments, the screen 40 is disposed between the processing chamber module 14 and the radiation source module 12. In yet another embodiment, the screen 40 is embedded inside the plate 24.

図6により明示されているように、処理チャンバー14は、キャビティ58を形成するために、底壁54とそこから延びる側壁56と含むベースユニット52を、基本的に含んでいる。チャックアセンブリ60は、例えば、重力チャック(gravity chuck;重力を利用したチャック)アセンブリ等であって、キャビティ58内に配置される。当業者に一般に知られているように、基板が、基板の重力以外のいかなる手段によっても支持表面に接触して力が施与されないように、重力チャックアセンブリが、基板を支持し、表面に固定する手段として重力を使用する。このチャックは、いくつかの応用・適用およびチャックアセンブリのために望まれうるような真空保持機構を含む。   As clearly shown by FIG. 6, the processing chamber 14 basically includes a base unit 52 that includes a bottom wall 54 and a side wall 56 extending therefrom to form a cavity 58. The chuck assembly 60 is, for example, a gravity chuck (gravity chuck) assembly or the like, and is disposed in the cavity 58. As is generally known to those skilled in the art, a gravity chuck assembly supports and secures the substrate so that the substrate does not contact and apply force to the support surface by any means other than the gravity of the substrate. Use gravity as a means to do this. The chuck includes a vacuum holding mechanism as may be desired for several applications and applications and chuck assemblies.

ベースユニット52に接続され、そこから延びる側壁64によって画定される構造62であって、この構造62は、さらに放射源モジュール12と接続し、基板が処理可能なシールされたチャンバー68を形成する。処理チャンバー14は、限定はされないが、処理用ガス及び反応副産物を含む動作環境に対して不活性な材料から好適に製造される。構造62の側壁64は、少なくとも一つの開口66を含む。開口66は、(図1でも示されているように)隣のロードロックチャンバー16から、処理チャンバー14へと、及び、処理チャンバー14から、基板を搬送するように寸法が決められる。   A structure 62 connected to and extending from the base unit 52, which is further connected to the radiation source module 12 to form a sealed chamber 68 in which the substrate can be processed. The processing chamber 14 is suitably manufactured from materials that are inert to the operating environment including, but not limited to, processing gases and reaction byproducts. Side wall 64 of structure 62 includes at least one opening 66. The opening 66 is dimensioned to transport the substrate from and to the processing chamber 14 from and to the adjacent load lock chamber 16 (as also shown in FIG. 1).

他の開口(不図示)はさらに、側壁64内に配置される。これは当業者に一般に知られている目的のためのものであって、例えば、入口、並びに排気及び/又はポンプ用マニホルド、当該処理をモニターするための光学ポート、例えば、ポロゲンの処理中に、放出されたガス種を分析するための重量スペクトルメータの入口、酸素の濃度をモニターするための酸素分析器等のためのものである。例えば、入口や排気用マニホルドは、浄化中及び/又は処理中の、透過プレート(透過板)近くにおいて、ガスの交差状の流れ(クロスフロー)を提供するのに使用される。さらにまた、処理チャンバーが、排気又は真空ポンプ(排気部、真空部)に接続された出口を含む。その結果、流体の流れが、透過プレートの近くにガスのカーテンを提供し、もって、処理中の基板からのポロゲン又は脱ガスされた材料の堆積を最小にし、又は処理チャンバー14内の反応ガスのUV活性化を利用することでコーティングされたプレートを清掃する。一実施形態では、カーテンを形成するための処理チャンバーへの流体の流れが、透過プレートの近くに、対向する出口スロットと、入口スロットとを含んでおり、このプレートを横切る流体の交差状の流れを奏出し、もってカーテンを提供する。   Another opening (not shown) is further disposed in the sidewall 64. This is for purposes generally known to those skilled in the art, e.g. during the processing of inlets and exhaust and / or pump manifolds, optical ports for monitoring the process, e.g. porogens, For an inlet of a weight spectrometer for analyzing released gas species, an oxygen analyzer for monitoring the concentration of oxygen, and the like. For example, inlet and exhaust manifolds are used to provide a cross flow of gas near the permeation plate during permeation and / or processing. Furthermore, the processing chamber includes an outlet connected to an exhaust or vacuum pump (exhaust section, vacuum section). As a result, the fluid flow provides a curtain of gas near the transmission plate, thereby minimizing the deposition of porogen or degassed material from the substrate being processed, or of reactive gases within the processing chamber 14. Clean the coated plate by utilizing UV activation. In one embodiment, the flow of fluid to the processing chamber to form the curtain includes opposing outlet slots and inlet slots near the permeation plate, and a crossed flow of fluid across the plate. And provide curtains.

処理チャンバー14は、ガス源と流体連通するガス入口69及びシールされた内部領域68をさらに含む。シールされたチャンバー68への流体の流れは、所望の適用・応用次第で、軸状、交差状(クロスフロー状)、その他の態様にしうる。例えば、処理チャンバー14が、浄化及び/又は清掃処理の間、ガスの下流方向への流れのために調整されうる。このように、当該システムへ配管されたガス次第で、当該浄化システムは、不活性条件のためチャンバー準備、すなわち、及び/又はチャンバー清掃を提供することが可能である。例えば、インシトゥ(in situ;あるがままに、その場で)のチャンバーの清掃機能が望ましい。その理由は、キュアリング、及び/又はポロゲン除去の処理中に、いくつかの誘電体材料が有機的な揮発物を発し、その結果、チャンバー壁及び放射プレート24上に、これらの有機揮発物が堆積することとなるからである。上記のインシトゥ清掃機能は、動作モードを備え、このモードにおいて、基板のないチャンバー14が、酸素(及び/又は、活性ガス)を用いて、浄化され、かつ紫外線放射に露光され、有機物の堆積物と反応しうる及び当該堆積物を除去しうる十分な量のオゾン及び他の励起された酸素種を発生させる。例えば、処理チャンバーの周期的な清掃には、処理チャンバーへの紫外線の広帯域放射の伝達変化を検出することを含む。ここでは、その変化が所定のスレショルド値を超えると、清掃処理が始動される。伝達の変化の速度が、所定の変化速度未満、又は、所定の波長幅に対して約100%の伝達状態にあるときに、清掃処理を非連続にさせる。   The processing chamber 14 further includes a gas inlet 69 and a sealed interior region 68 in fluid communication with the gas source. The fluid flow into the sealed chamber 68 can be axial, crossed (crossflowed), or other depending on the desired application. For example, the processing chamber 14 can be tuned for the downstream flow of gas during the cleaning and / or cleaning process. Thus, depending on the gas piped to the system, the purification system can provide chamber preparation, ie, and / or chamber cleaning due to inert conditions. For example, an in situ chamber cleaning function is desirable. The reason for this is that during the curing and / or porogen removal process, some dielectric materials emit organic volatiles, which result in these organic volatiles on the chamber walls and the radiating plate 24. It is because it will deposit. The in-situ cleaning function includes an operating mode in which the substrate-free chamber 14 is cleaned using oxygen (and / or active gas) and exposed to ultraviolet radiation to deposit organic matter. A sufficient amount of ozone and other excited oxygen species can be generated that can react with and remove the deposits. For example, periodic cleaning of the processing chamber includes detecting changes in the transmission of ultraviolet broadband radiation to the processing chamber. Here, the cleaning process is started when the change exceeds a predetermined threshold value. The cleaning process is discontinuous when the rate of change of transmission is less than a predetermined rate of change or about 100% transmission for a given wavelength width.

浄化動作のために、浄化システムが、上述されたような吸収ガスばかりでなく、ヘリウム、窒素又はアルゴンのような複数のガスを、オプションとするために設計される。いくつかの誘電体のキュアの適用・応用のために、反応ガスを少量加えることが、キュアの結果を向上させるのに望ましい。このように、当該装置は、一又はそれ以上の量の流れ制御ガス流路(チャンネル)を備えることができ、この流れ制御ガスが、酸素(O)、一酸化炭素(CO)、及び二酸化炭素(CO)、並びに炭素と水素の化合物(CxHy),炭素とフッ素の化合物(CxFy)、及び窒素と水素の化合物(NxHy)等(ここでの、xとyは、それぞれ、1より大きく約10までの数である。)を制御して導入することができる一又はそれ以上の質量流(mass flow)制御ガスの流路(チャンネル)を備えることが可能である。 For the purification operation, the purification system is designed to make multiple gases such as helium, nitrogen or argon optional as well as the absorbing gas as described above. For some dielectric cure applications, it is desirable to add a small amount of reactive gas to improve the cure results. As such, the apparatus can include one or more quantities of flow control gas channels (channels) that contain oxygen (O 2 ), carbon monoxide (CO), and carbon dioxide. Carbon (CO 2 ), and a compound of carbon and hydrogen (CxHy), a compound of carbon and fluorine (CxFy), a compound of nitrogen and hydrogen (NxHy), etc. (where x and y are each greater than 1) It is possible to provide one or more mass flow control gas channels that can be introduced in a controlled manner.

処理チャンバー14は、チャンバー内に含まれる酸素の量を検出する酸素センサーをさらに含む。酸素レベルが所定量より小になるまで、装置が動作してしまうことを防止するために、フィードバックループを備えることができる。革新的な半導体製造の技術では知られているように、酸素の存在は、高温で誘電体物質の処理に影響を与えるばかりでなく、金属の内部接続において望まれない酸化反応を引き起こしうる。   The processing chamber 14 further includes an oxygen sensor that detects the amount of oxygen contained in the chamber. A feedback loop can be provided to prevent the device from operating until the oxygen level is below a predetermined amount. As is known in innovative semiconductor manufacturing techniques, the presence of oxygen not only affects the processing of dielectric materials at high temperatures, but can also cause unwanted oxidation reactions in metal interconnects.

図7で示されているように、チャックアセンブリ60は、基本的に支持体70、環状の絶縁リング72及びリフトピンアセンブリ機構74を含んでいる。環状の絶縁リング72が、支持体70の下側表面と処理チャンバー14のベースユニット52との間をシールしながら配置されている。支持体70の平らな表面は、複数の周辺ピン76を含んでいる。それらのうち2本が図7に示されている。一実施形態では、支持体70が静止しており、すなわち回転しない。他の実施形態では、支持体70は、アルミニウム又はアルミニウム合金から製造される。   As shown in FIG. 7, the chuck assembly 60 basically includes a support 70, an annular insulating ring 72, and a lift pin assembly mechanism 74. An annular insulating ring 72 is disposed while sealing between the lower surface of the support 70 and the base unit 52 of the processing chamber 14. The flat surface of the support 70 includes a plurality of peripheral pins 76. Two of them are shown in FIG. In one embodiment, the support 70 is stationary, i.e. does not rotate. In other embodiments, the support 70 is made from aluminum or an aluminum alloy.

リフトピンアセンブリ機構74が、支持体70の下方に配置されており、処理中にリフトピンスリーブ96(図8参照)により、リフトピン(持ち上げ用ピン)76を駆動するためのエアーシリンダー等を含んでいる。装置10に必要とされるいかなる他の配管と同様に、リフトピン機構74を動作するのに必要な気体ラインが、ベースユニット52内の側壁の内から選択された一枚に、好適に配置されている。他の実施形態では、チャックがピンによって支持されている間に、該チャックが垂直に動き、基板に接触する。   A lift pin assembly mechanism 74 is disposed below the support 70 and includes an air cylinder or the like for driving a lift pin (lifting pin) 76 by a lift pin sleeve 96 (see FIG. 8) during processing. As with any other piping required for the apparatus 10, the gas lines required to operate the lift pin mechanism 74 are suitably arranged on one of the side walls in the base unit 52. Yes. In other embodiments, while the chuck is supported by the pins, the chuck moves vertically and contacts the substrate.

図8から図10でさらに明示されているように、支持体70は平らな表面を含んでおり、その表面上に、基板が処理中に配置される。さらに、支持体70は、ガス移送穴78及びそこから延在する通路80を含む。その結果、熱伝達ガス、例えば、ヘリウム等は、穴78及び/又は通路80を通り、もって、基板と支持体70の表面との間の移動速度(transfer rate)を向上させることができる。ホール78及び/又は通路80はまた、基板の弾性変形等により、基板の底の表面と、支持体70の表面との間に多くの接触点を増やすために、基板38の背側へ真空を施与するために使用される。真空ホールドダウン(真空による押し下げ)が利用される場合には、真空から得られる、基板と支持体70の表面との間で増やされた多くの接触点が、基板が処理温度になる率を向上させる。この場合には、穴78及び/又は通路80が、好ましくは真空ライン82に接続される。そして、この真空ライン82は、処理チャンバー絶縁バルブ、流れ制御バルブ等(不図示)の下流に接続される。有益なことに、処理温度に対する時間を短縮すると、全体の処理時間が短縮する。   As further shown in FIGS. 8-10, the support 70 includes a flat surface on which a substrate is placed during processing. Further, the support 70 includes a gas transfer hole 78 and a passage 80 extending therefrom. As a result, the heat transfer gas, such as helium, can pass through the holes 78 and / or the passages 80 to improve the transfer rate between the substrate and the surface of the support 70. The holes 78 and / or passages 80 may also apply a vacuum to the back side of the substrate 38 to increase the number of contact points between the bottom surface of the substrate and the surface of the support 70, such as by elastic deformation of the substrate. Used to apply. When vacuum holddown is used, many contact points obtained from the vacuum and increased between the substrate and the surface of the support 70 increase the rate at which the substrate reaches the processing temperature. Let In this case, the holes 78 and / or the passages 80 are preferably connected to the vacuum line 82. The vacuum line 82 is connected downstream of a processing chamber insulation valve, a flow control valve and the like (not shown). Beneficially, reducing the time to process temperature reduces the overall process time.

通路84(図9)はまた、さらに支持体70内で、機械加工されるか、鋳造加工され、もって冷却システムからの流体が巡回(サーキュレート)し、基板の温度をさらに制御する。この態様では、流体が、冷却導管86を通過して循環され、この冷却導管86は、通路84と流体連通している。抵抗加熱要素88(図9)はまた、さらに増えていくツールのスループットのために利用される上昇処理温度を利用可能としながら支持体70の中へ投入される。支持体70は、好適には、基板の形状に対応する形状を持ち、約20℃から約450℃の動作範囲で動作可能である。好ましい実施形態では、加熱及び冷却能力を持つ比例積分微分(PID)制御器を用いながら、フィードバック又は閉ループ制御システムにより、支持体70の動作温度が好ましくは変化可能である。この制御器は、必要に応じて、電流を加熱要素88に供給することと、流体(空気又は水)を冷却することとを、交互に行うであろう。PID制御器へのフィードバックは、図10で示されるような支持体70の表面の内部に実装されたスプリング駆動の熱対90のような温度測定装置を用いる処理中に、基板の温度を測定することにより、具備されるであろう。熱対90は、接触部94と作用して連通したスプリング92を含み、その結果、接触部94が、その支持中に、基板の背表面と接触して維持される。一方、支持体70の温度は、開ループ処理で(すなわちフィードバック装置なしで)制御される。これは加熱要素88へ供給される電流を調整し、かつ、当該処理中の適正点で、通路84を通過する流体の流れ(空気又は水)を、支持体70の中へ投入することにより行われる。さらにまた、熱対は、チャック支持体の内部に埋め込まれて、基板の温度を測定可能とする。 The passages 84 (FIG. 9) are also machined or cast in the support 70 so that fluid from the cooling system circulates to further control the temperature of the substrate. In this embodiment, fluid is circulated through cooling conduit 86, which is in fluid communication with passageway 84. Resistive heating element 88 (FIG. 9) is also loaded into support 70 while making available an elevated processing temperature that is utilized for increasing tool throughput. The support 70 preferably has a shape corresponding to the shape of the substrate and is operable in an operating range of about 20 ° C. to about 450 ° C. In a preferred embodiment, the operating temperature of the support 70 is preferably variable by a feedback or closed loop control system, while using a proportional integral derivative (PID) controller with heating and cooling capabilities. This controller will alternately supply current to the heating element 88 and cool the fluid (air or water) as needed. Feedback to the PID controller measures the temperature of the substrate during processing using a temperature measuring device such as a spring-driven thermocouple 90 mounted inside the surface of the support 70 as shown in FIG. Will be provided. Thermocouple 90 includes a spring 92 which communicates acts with the contact portion 94, as a result, the contact portion 94, in the support, is maintained in contact with the back surface of the substrate. On the other hand, the temperature of the support 70 is controlled in an open loop process (ie without a feedback device). This is accomplished by adjusting the current supplied to the heating element 88 and by introducing a fluid flow (air or water) through the passage 84 into the support 70 at the appropriate point during the process. Is called. Furthermore, thermocouples, are embedded within the chuck support member, and capable of measuring the temperature of the substrate.

なお、支持体70は、紫外線放射の強度とスペクトル特性を測定するための放射照度プローブを含む。プローブは、上を覆うようにして横たわる基板がない状態で機能し、露光中の基板の前で紫外線放射パターンを特徴付ける手段を提供する。この手段は、上述のように、様々なパラメータによるものである。例えば、ガス封入、バルブ冷却、処理チャンバー内のガス、プレートの搬送等による。   The support 70 includes an irradiance probe for measuring the intensity and spectral characteristics of ultraviolet radiation. The probe functions in the absence of an overlying substrate and provides a means of characterizing the ultraviolet radiation pattern in front of the substrate being exposed. This means depends on various parameters as described above. For example, by gas filling, valve cooling, gas in the processing chamber, transport of plates, and the like.

有益なことに、上述の処理チャンバー14が、基板を処理するために略シールされた環境を提供する。キュア(及び/又はポロゲン除去)の質および低kの材料の品位は、より高度の不活性環境によるものと証明されている。浄化かつシールされた構造は、100ppm未満、好ましくは50ppm未満、及びさらに好ましくは20ppm未満の濃度の酸素を持つ不活性環境を提供することに役立つ。最小限の浄化ガスの流れが、実質的に酸素を含まないガスの充填で処理チャンバーを維持するのに(スタンバイモード(待ちモード)においてでさえ)使用される。   Beneficially, the processing chamber 14 described above provides a substantially sealed environment for processing substrates. The quality of the cure (and / or porogen removal) and the quality of the low-k material have proven to be due to a higher inert environment. The cleaned and sealed structure serves to provide an inert environment with a concentration of oxygen of less than 100 ppm, preferably less than 50 ppm, and more preferably less than 20 ppm. A minimal purge gas flow is used (even in standby mode) to maintain the process chamber with a gas fill that is substantially free of oxygen.

さらに、図11を参照して説明をする。ロードロックチャンバー16が、処理チャンバー14と作用して連通する空気ロックチャンバー(エアロックチャンバー)102及びウエハ保持装置(不図示)を含む。開口104は、処理チャンバーの開口66(図6参照)と作用して連通した空気ロックチャンバー102の側壁に配置されている。空気ロックチャンバー102は、空気ロックチャンバー102から基板を導入または除去したりするための付加的な開口106を含む。このようなロードロックチャンバーモジュール16は、処理チャンバー14内の動作圧力と一致するように調整可能であり、もって、基板を、処理チャンバー14の中へ、若しくはそこから外へと搬送可能とし、一方、さらに、処理チャンバー14を比較的一定の圧力に、例えば大気圧に、維持することも可能である。さらに、ロードロックチャンバー16は、不活性ガスを空気ロックチャンバー102へと導入するための少なくとも一つのガス入口(不図示)を含む。空気ロックチャンバー内の不活性雰囲気を維持することによって、基板上の材料の酸化、例えば、金属の内部接続の酸化や低kの誘電体等の上の材料の酸化が、実質的に防止可能である。処理基板が処理チャンバーから除去されるので、(一般に、約20℃と約450℃の間の、高温の処理チャンバー内の紫外線放射に露光された後)処理基板が、実質的に昇温された温度にある。酸化ガス、例えば、一酸化ガス(CO)、二酸化ガス(CO)酸素(O)、オゾン(O)等の存在で、金属が内部接続するばかりでなく、低k誘電体材料の酸化を助長しうる。基板がほぼ冷却されるまで不活性雰囲気を維持することによって、酸化が、もしあれば、極少しか、起きないようになる。ロードロックにおいて不活性な環境を維持することは、さらに望ましくない種の処理チャンバーへの搬送を最小限化するためにも役立つ。 Further, description will be made with reference to FIG. The load lock chamber 16 includes an air lock chamber (air lock chamber) 102 and a wafer holding device (not shown) that operate and communicate with the processing chamber 14. The opening 104 is located on the side wall of the air lock chamber 102 that is in communication with the processing chamber opening 66 (see FIG. 6). The air lock chamber 102 includes an additional opening 106 for introducing or removing a substrate from the air lock chamber 102. Such a load lock chamber module 16 can be adjusted to match the operating pressure in the processing chamber 14 so that the substrate can be transported into or out of the processing chamber 14, while Furthermore, it is possible to maintain the processing chamber 14 at a relatively constant pressure, for example at atmospheric pressure. Further, the load lock chamber 16 includes at least one gas inlet (not shown) for introducing an inert gas into the air lock chamber 102. By maintaining an inert atmosphere in the air lock chamber, oxidation of the material on the substrate, such as oxidation of metal interconnects or low k dielectrics, can be substantially prevented. is there. As the processing substrate is removed from the processing chamber, the processing substrate is substantially heated (typically after exposure to ultraviolet radiation in a high temperature processing chamber between about 20 ° C. and about 450 ° C.). In temperature. Oxidation gases such as monoxide gas (CO), dioxide gas (CO 2 ), oxygen (O 2 ), ozone (O 3 ), etc., not only connect the metal internally, but also oxidize low-k dielectric materials. Can help. By maintaining an inert atmosphere until the substrate is substantially cooled, little or no oxidation, if any, occurs. Maintaining an inert environment in the load lock also helps to minimize transport to undesirable types of processing chambers.

ロードロックチャンバーモジュール16は、基板を処理チャンバーへ及び/又は処理チャンバーから搬送し、かつ、基板を空気ロックチャンバーへ及び/又は空気ロックチャンバーから、さらには、ウエハハンドラーへ及び/又はこのウエハハンドラーから、搬送するための少なくとも一本のロボットアーム(不図示)を含んでいる。ロボットアームは、一本のアーム(腕)でよく、それが動くと、略直線的な(リニアな)態様で、ウエハを移動させる。空気ロックチャンバーは、好ましくは、処理後に基板を冷却するチャックを含む。   The load lock chamber module 16 transports the substrate to and / or from the processing chamber, and the substrate to and / or from the air lock chamber and further to and / or from the wafer handler. , Including at least one robot arm (not shown) for transport. The robot arm may be a single arm (arm), and when it moves, the wafer is moved in a substantially linear manner. The air lock chamber preferably includes a chuck that cools the substrate after processing.

他の実施形態では、プラズマが、誘電体材料を変えること、及び/又はポロゲンを除去することに付加的に利用可能なので、装置10は、上で開示されたUV処理チャンバーに加えて、一台又はそれ以上のプラズマ反応装置を含むように改良されうる。プラズマチャンバーは、酸化、還元、又は中和のプラズマ化学物質の励起のための、高周波又はマイクロ波の周波数を使用することができる。これらのチャンバーで処理された基板は、熱チャック(hotchuck)又はランプのいずれかによって加熱されるであろう。   In other embodiments, the apparatus 10 can be used in addition to the UV processing chamber disclosed above, since the plasma can additionally be used to change the dielectric material and / or remove the porogen. Or it can be modified to include more plasma reactors. The plasma chamber may use high frequency or microwave frequencies for excitation of oxidation, reduction, or neutralization plasma chemicals. Substrates processed in these chambers will be heated by either a hot chuck or a lamp.

他の実施形態では、予備加熱(プレヒート;preheat)ステーション(不図示)が、UV露光前に付加されて、当該揮発性物質が処理チャンバー内に導入される前に、基板から脱ガスする揮発性物質のほとんどを除去する。   In other embodiments, a preheat station (not shown) is added prior to UV exposure to volatile to degas the substrate before the volatile material is introduced into the processing chamber. Remove most of the material.

動作中に、基板が、大気圧で、ウエハハンドラーモジュールから、ロードロックチャンバーモジュール16の空気ロックチャンバー102へと装填される。雰囲気は、好ましくは不活性ガスで浄化され、酸化ガス、例えば、空気を、空気ロックチャンバー102から除去する。基板は、それから処理チャンバー14へ搬送される。この処理チャンバー14は、意図された適用・応用に対して望まれるように、好ましくは同様にして浄化され、及び吸着ガス又は反応ガスをさらに含む放射源モジュール12はまた、シールされた内部領域20からのいかなる気体をも除去するために浄化され、かつ要望次第で吸着ガスをさらに含む。このとき、基板は、要望次第で、上昇温度で放射源22から発生された幅広い紫外線放射パターンに露光される。   In operation, a substrate is loaded from the wafer handler module into the air lock chamber 102 of the load lock chamber module 16 at atmospheric pressure. The atmosphere is preferably purged with an inert gas to remove oxidizing gas, such as air, from the air lock chamber 102. The substrate is then transferred to the processing chamber 14. The processing chamber 14 is preferably cleaned in the same manner as desired for the intended application, and the radiation source module 12 further comprising an adsorbed or reactive gas is also sealed within the sealed inner region 20. Is purified to remove any gas from and further comprises an adsorbed gas as desired. At this time, the substrate is exposed to a broad UV radiation pattern generated from radiation source 22 at an elevated temperature, as desired.

好ましくは、処理チャンバー14は、例えばウエハを手で取り扱うことを排除するように、自動的に基板を取り扱うように構成される。   Preferably, the processing chamber 14 is configured to automatically handle the substrate, for example, so as to eliminate handling the wafer by hand.

一実施形態では、当該処理が、一又はそれ以上の不活性ガスを用いて、処理チャンバー14、ロードロックチャンバー16、放射源モジュール12の内部領域20を浄化し、基板40を紫外線放射パターンに露光する前に空気を除去し、及び/又は、基板を処理チャンバーからロードロックチャンバーへ搬送する前に空気を除去する。   In one embodiment, the process uses one or more inert gases to clean the process chamber 14, load lock chamber 16, and interior region 20 of the radiation source module 12 and expose the substrate 40 to an ultraviolet radiation pattern. Air is removed prior to the removal and / or air is removed prior to transporting the substrate from the processing chamber to the load lock chamber.

基板温度は、さらに赤外光源、光学的な光源、又は光源そのものによって、約室温から約450℃までの範囲で制御される。処理圧力は、大気圧未満、大気圧より大、若しくは大気圧に等しくすることができる。一実施形態では、処理圧力は、大気圧である。一般的には、UVでキュアされた誘電体材料は、約300秒又はそれ以下で処理され、特には、約60秒と約180秒との間で処理される。さらに、温度が、略室温と約450℃との間で、処理圧力が、雰囲気の圧力より小、それより大、又は略等しく、UVのパワーが、約0.1mW/cmと約2000mW/cmとの間で、UV波長スペクトルが、約100nmと約400nmとの間で、UV処理が可能である。さらに、UVキュアされた誘電体材料は、窒素(N)、酸素(O2)、アルゴン(Ar)、ヘリウム(He)、水素(H)水蒸気(HO vapor)、炭酸ガス(CO)、炭素水素ガス(CxHy)、炭素フッ素ガス(CxFy)、炭素水素フッ素ガス(CxHzFy)、空気、及びこれらのいずれかの組み合わせを例とする処理ガスのための浄化によりUV処理が可能である(xは、1から6までの整数、yは、4から14までの整数、そして、zは、1から3までの整数である)。 The substrate temperature is further controlled in the range from about room temperature to about 450 ° C. by an infrared light source, an optical light source, or the light source itself. The treatment pressure can be less than atmospheric pressure, greater than atmospheric pressure, or equal to atmospheric pressure. In one embodiment, the processing pressure is atmospheric pressure. In general, a UV cured dielectric material is processed in about 300 seconds or less, particularly between about 60 seconds and about 180 seconds. Further, the temperature is between about room temperature and about 450 ° C., the processing pressure is less than, greater than, or approximately equal to the atmospheric pressure, and the UV power is about 0.1 mW / cm 2 to about 2000 mW / UV treatment is possible with a UV wavelength spectrum between about 100 nm and about 400 nm between cm 2 . Furthermore, the UV-cured dielectric material includes nitrogen (N 2 ), oxygen (O 2), argon (Ar), helium (He), hydrogen (H 2 ) water vapor (H 2 O vapor), carbon dioxide gas (CO 2 ). ), Carbon-hydrogen gas (CxHy), carbon-fluorine gas (CxFy), carbon-hydrogen-fluorine gas (CxHzFy), air, and any combination of these can be used for UV treatment by purification. (X is an integer from 1 to 6, y is an integer from 4 to 14, and z is an integer from 1 to 3).

上述の装置を用いて処理されうる、適した低kの誘電体材料は、一般に用いられているスピンオンの低k誘電体材料及びCVD蒸着の低k誘電体材料を含む。しかし、これらに限られる意図はない。上記の低kの材料は、有機材料、無機材料、若しくはこれらの組み合わせとすることができる。例えば、誘電体の材料は、低kの誘電体材料、プレメタル誘電体材料、酸化物、窒化物、酸化窒化物、バリア層、エッチストップ材料、キャップ層、高k材料、浅溝状(浅いトレンチ状)の絶縁(shallow trench isolation;STI)誘電体材料、又は、上記誘電体材料の少なくとも一つを含む組み合わせとすることができる。さらに特に、適した低kの誘電体材料は、水素化シルセスキオキサン(hydrogensilsesquioxane;HSQ)、MSQのようなアルキルシルセスキオキサン誘電体材料(alkyl silsesquioxane dielectric materials)、炭素がドープされた酸化物(CDO)誘電体材料(carbon doped oxide (CDO)dielectric materials)、フッ素シリケートガラス(fluorosilicateglasses)、ダイヤモンド状炭素(diamond−like carbon)、パリレン(parylene)、ベンゾサイクロブテン(benzocyclobutene;BCB)、水素化シリコンオキシカーバイト(SiCOH)誘電体材料(hydrogenatedsilicon oxy−carbide)、 アリルサイクロブテンベースの誘電体材料(arylcyclobutene− baseddielectric materials)、ポリフェニレンベースの誘電体材料(polyphenylene−baseddielectric materials)、ポリアリレンエーテル(polyaryleneethers)、ポリイミド、フッ化ポリイミド(fluorinated polyimides)、多孔性のシリカ(poroussilicas)、シリカゼオライト(silica zeolites)、上記の誘電体材料の多孔性の誘導体、これらいずれかのの組み合わせのようなBステージ化されたポリマー(B−stagedpolymers)を含みうる。多孔性の誘導体、すなわち、メソ多孔性又はナノ多孔性の誘導体は、ポロゲンの発生による孔、溶媒によって形成された孔、又は分子工学的な孔を持つことができる。これらの孔は、内部接続され、又は充填され、並びに、分散され、乱雑にされ、又は垂直配向された孔のようなものである。   Suitable low-k dielectric materials that can be processed using the apparatus described above include commonly used spin-on low-k dielectric materials and CVD-deposited low-k dielectric materials. However, there is no intention limited to these. The low-k material can be an organic material, an inorganic material, or a combination thereof. For example, the dielectric material may be a low-k dielectric material, a pre-metal dielectric material, an oxide, a nitride, an oxynitride, a barrier layer, an etch stop material, a cap layer, a high-k material, a shallow groove shape (a shallow trench) A shallow trench isolation (STI) dielectric material, or a combination comprising at least one of the above dielectric materials. More particularly, suitable low-k dielectric materials include hydrogensilsesquioxane (HSQ), alkyl silsesquioxane dielectric materials such as MSQ, and carbon-doped oxide. (CDO) dielectric materials (CDO) dielectric materials, fluorine-silicate glass, diamond-like carbon, parylene (B) Silicon oxycarbide (SiCOH) dielectric material (Hydrogenated silicon oxy-carbide), allylcyclobutene-based dielectric materials, polyphenylene-based dielectric materials, polyphenylene-based dielectric materials, polyphenylene-based dielectric materials, and polyphenylene-based dielectric materials. B-staged polymers such as polyimides, porous silicas, silica zeolites, porous derivatives of the above dielectric materials, combinations of any of these It may include rs). Porous derivatives, ie mesoporous or nanoporous derivatives, can have pores due to porogen generation, pores formed by a solvent, or molecular engineering pores. These holes are like interconnected or filled, as well as dispersed, messy or vertically oriented holes.

他の適した誘電体は、ケイ酸塩(silicates)、水素化シルセスキオキサン(hydrogensilsesquioxanes)、有機シルセスキオキサン(organosilsesquioxanes)、有機シロキサン(organosiloxanes)、有機混成シロキサン(organhydridosiloxanes)、シルセスキオキサン-ケイ酸共重合体(silsesquioxane−silicate copolymers)、シラザンベースの材料(silazane−based materials)、ポリカーボシラン(polycarbosilanes)、及びアセトキシレン(acetoxysilanes)を含む。しかし、これらに限定される意図はない。   Other suitable dielectrics are silicates, hydrogensilsesquioxanes, organic silsesquioxanes, organic siloxanes, organic hybrid siloxanes, organic siloxanes. Includes silsesquioxane-silicate copolymers, silazane-based materials, polycarbosilanes, and acetoxylines. However, it is not intended to be limited to these.

適した基板は、シリコン、絶縁体上のシリコン、シリコンゲルマニウム、二酸化シリコン、ガラス、窒化シリコン、セラミックス、アルミニウム、銅、砒化ガリウム、プラスチック、ポリカーボネイトのようなもの、回路ボード、FR−4とポリイミドのようなもの、ハイブリッド回路基板、アルミニウム窒化のアルミナ等を含む。しかし、これらに限定される意図はない。このような基板は、そこに堆積される薄膜をさらに含む。このような薄膜は、メタルカーバイド、メタルシリサイド、メタルオキサイド、及びこれらいずれかの混合物を含む。しかし、これらに限定される意図はない。多層の集積回路(IC)デバイスでは、絶縁かつ平面化された回路線よりなる下層もまた、基板としての機能を発揮しうる。   Suitable substrates include silicon, silicon on insulator, silicon germanium, silicon dioxide, glass, silicon nitride, ceramics, aluminum, copper, gallium arsenide, plastics, polycarbonate, circuit boards, FR-4 and polyimide. A hybrid circuit board, aluminum nitride alumina and the like. However, it is not intended to be limited to these. Such a substrate further includes a thin film deposited thereon. Such thin films include metal carbide, metal silicide, metal oxide, and any mixture thereof. However, it is not intended to be limited to these. In multilayer integrated circuit (IC) devices, the lower layer of insulated and planarized circuit lines can also function as a substrate.

この実施例では、同一の誘電体材料を含む複数の基板が、上述されているような装置内で処理された。図12は、インシトゥ清掃機能における、周期の効果をグラフ上に示す。インシトゥ清掃処理は、酸化流体を処理チャンバーに流すこと及び上記酸化流体を紫外線広帯域放射に露光することを含んでいた。放射プローブが、処理チャンバーへの紫外線の広帯域放射強度を測定した。誘電体材料を含む複数の基板の、処理中の透過プレート上への脱ガスおよび汚染物の堆積の結果として、処理基板の関数としての、紫外線の広帯域放射伝達が遅くなる。処理チャンバーの周期的な清掃によれば、実質的に、紫外線の広帯域放射伝達を回復するように、上記プレートを清掃するのである。有益には、処理チャンバーの壁や他の表面は、透過のプレート(透過板)に加えて清掃されたものとみなすこともできる。   In this example, multiple substrates containing the same dielectric material were processed in an apparatus as described above. FIG. 12 shows the effect of the period on the graph in the in-situ cleaning function. The in situ cleaning process involved flowing an oxidizing fluid through the processing chamber and exposing the oxidizing fluid to ultraviolet broadband radiation. A radiation probe measured the broadband radiation intensity of the ultraviolet light into the processing chamber. As a result of degassing and deposition of contaminants on the transmission plate during processing of multiple substrates containing dielectric materials, broadband broadband radiative transfer of UV as a function of the processing substrate is slowed. Periodic cleaning of the processing chamber substantially cleans the plate so as to restore ultraviolet broadband radiation transmission. Beneficially, the walls and other surfaces of the processing chamber may be considered cleaned in addition to the transmission plate.

図13は、インシトゥ清掃処理が完了した後の、処理チャンバーの再コンディション(再条件状態設定)をグラフで示している。グラフの左側では、直ぐ上に記載のインシトゥ清掃処理が行われている間に、紫外線の広帯域放射の伝達率が測定されている。インシトゥ清掃処理に対して約5分の露光の後で、紫外線の広帯域放射の処理チャンバーへの伝達率によって示されるように、上記プレートが実質的に清掃された。酸化流体を除去するために、処理チャンバーが不活性ガスを用いて浄化された。酸素プローブが、時間の関数として、処理チャンバー内に残る酸素濃度を測定した。   FIG. 13 is a graph showing the reconditioning (reconditioning state setting) of the processing chamber after the in-situ cleaning process is completed. On the left side of the graph, the transmissivity of ultraviolet broadband radiation is measured while the in situ cleaning process described immediately above is being performed. After about 5 minutes exposure to the in-situ cleaning process, the plate was substantially cleaned as indicated by the transmission rate of ultraviolet broadband radiation to the processing chamber. In order to remove the oxidizing fluid, the processing chamber was cleaned with an inert gas. An oxygen probe measured the oxygen concentration remaining in the processing chamber as a function of time.

本開示内容は、例示された実施形態を参照して説明される一方、この開示内容の範囲から逸脱することなく、様々な変更がなされ、均等なものが開示されている要素と代替されることは、当業者によって理解される。さらに、多くの変更が、その本質的な当該範囲から逸脱することなく、開示内容の教示に対して、特別な、状況又は材料を調整するようになされる。それゆえに、本開示内容は、その開示内容を実行するために熟考された最良の形態として開示された特別な実施形態に制限されることはなく、当該開示内容は、特許請求範囲の範囲内に、すべての実施形態を含む。   While the present disclosure will be described with reference to illustrative embodiments, various changes may be made and equivalents may be substituted for the disclosed elements without departing from the scope of the disclosure. Will be understood by those skilled in the art. In addition, many modifications may be made to adapt a particular situation or material to the teachings of the disclosure without departing from the essential scope thereof. Therefore, the present disclosure is not limited to the specific embodiments disclosed as the best mode contemplated for carrying out the disclosure, and the disclosure is within the scope of the claims. Including all embodiments.

図1は、放射源モジュール、処理チャンバーモジュール及びロードロックチャンバーモジュールを含む集積回路(IC)の製造中の誘電体材料を処理する装置の断面図を示す。FIG. 1 shows a cross-sectional view of an apparatus for processing dielectric material during the manufacture of an integrated circuit (IC) including a radiation source module, a processing chamber module, and a load lock chamber module. 図2は、図1の放射源モジュールの断面図を示す。FIG. 2 shows a cross-sectional view of the radiation source module of FIG. 図3は、紫外線放射源として適宜使用可能な、アクセリステクノロジーズ社のタイプIの、マイクロ波駆動の無電極管球の、広帯域スペクトルの出力を示す。FIG. 3 shows the broadband spectral output of an Axcelis Type I microwave-powered electrodeless tube that can be used as an ultraviolet radiation source as appropriate. 図4は、紫外線放射源として適宜使用可能な、アクセリステクノロジーズ社のタイプIIの、マイクロ波駆動の無電極管球の、広帯域のスペクトルの出力をグラフ状に示す。FIG. 4 graphically illustrates the broadband spectral output of an Axcelis Type II microwave-driven electrodeless tube that can be used as an ultraviolet radiation source as appropriate. 図5は、図1の処理チャンバーモジュールと放射源モジュールとの中間にあるスクリーンの頂面図を示す。FIG. 5 shows a top view of the screen intermediate the processing chamber module and radiation source module of FIG. 図6は、図1の処理チャンバーモジュールの分解斜視図を示す。6 shows an exploded perspective view of the processing chamber module of FIG. 図7は、処理チャンバーに使用される近接型の熱チャックアセンブリの一部分解斜視図を示す。FIG. 7 shows a partially exploded perspective view of a proximity thermal chuck assembly used in a processing chamber. 図7の近接型の熱チャックアセンブリに使用される支持部材の頂面図を示す。FIG. 8 is a top view of a support member used in the proximity thermal chuck assembly of FIG. 7. 図9は、図8の支持部材の断面図を示す。FIG. 9 shows a cross-sectional view of the support member of FIG. 図10は、図8の支持部材の断面図を示す。FIG. 10 shows a cross-sectional view of the support member of FIG. 図11は、図1のロードロックチャンバーモジュールの断面図を示す。FIG. 11 shows a cross-sectional view of the load lock chamber module of FIG. 図12は、処理される基板と、処理チャンバーの周期的清掃との関数として、紫外線広帯域放射の透過率をグラフに示す。FIG. 12 graphically illustrates the transmission of ultraviolet broadband radiation as a function of the substrate being processed and the periodic cleaning of the processing chamber. 図13は、インシトゥ清掃処理中の時間の関数として紫外線光の広帯域放射の透過率をグラフに示すと共に、さらに、インシトゥ清掃処理に続く処理チャンバーの浄化中の、関数としての酸素の濃度を示す。FIG. 13 graphically illustrates the transmittance of broadband radiation of ultraviolet light as a function of time during the in-situ cleaning process, and further shows the concentration of oxygen as a function during cleaning of the processing chamber following the in-situ cleaning process.

Claims (52)

誘電体材料を処理するための装置であって、
リフレクタ、紫外線放射源、及び150nm〜300nmの波長を透過するプレートを有し、シールされた内部領域を形成して、該内部領域が第1流体源と流体連通している放射源モジュールと、
該放射源モジュールに結合されて、前記紫外線放射源に連通して作動するシールされたチャンバーを形成し、かつ、基板を受け入れる閉鎖可能な開口、前記基板を支持するための支持体、及び第2流体源と流体連通するガス入口を有する処理チャンバーモジュールと、
第3流体源およびチャックに流体連通するエアロックチャンバーを有し、前記処理チャンバーモジュールとウエハハンドラーに流体連通して作動するロードロックチャンバーモジュールとを含み、
前記放射源モジュールは、さらに前記リフレクタに冷却媒体を供給する第4流体源に流体連通する流体入口と、前記放射源と前記基板との間に配置され、複数の開口を有するメッシュスクリーンを備える光学フィルターとを含むことを特徴とする装置。
An apparatus for processing a dielectric material, comprising:
A source module having a reflector, an ultraviolet radiation source, and a plate that transmits wavelengths between 150 nm and 300 nm, forming a sealed interior region, wherein the interior region is in fluid communication with the first fluid source;
A closable opening coupled to the radiation source module to operate in communication with the ultraviolet radiation source and receive the substrate; a support for supporting the substrate; and a second A processing chamber module having a gas inlet in fluid communication with a fluid source;
An air lock chamber in fluid communication with the third fluid source and the chuck, the process chamber module and a load lock chamber module operating in fluid communication with the wafer handler;
The radiation source module further includes a fluid inlet in fluid communication with a fourth fluid source for supplying a cooling medium to the reflector, and a mesh screen disposed between the radiation source and the substrate and having a plurality of openings. An apparatus comprising an optical filter .
前記メッシュスクリーンが、第一のメッシュサイズを有する内側ゾーンと、第二のメッシュサイズを有し、前記内側ゾーンの周りに配置された外側ゾーンと、を含む請求項の装置。The mesh screen, an inner zone having a first mesh size, having a second mesh size, according to claim 1 comprising an outer zone disposed around the inner zone. 前記内側ゾーンが、前記紫外線放射源と同軸状に整列されている請求項の装置。The apparatus of claim 2 wherein the inner zone is coaxially aligned with the ultraviolet radiation source. 前記光学フィルターが、コーティング、吸収ガス、吸収固体材料、又はこれらのいずれかの組み合わせを含む請求項の装置。The apparatus of claim 1 , wherein the optical filter comprises a coating, an absorbing gas, an absorbing solid material, or any combination thereof. 前記紫外線放射源が、エネルギー源と接続された無電極管球を含む請求項1の装置。  The apparatus of claim 1, wherein the ultraviolet radiation source comprises an electrodeless tube connected to an energy source. 前記紫外線放射源は、選択された波長のスペクトルを持つ広帯域の放射源であり、かつ前記誘電体材料の化学的結合と官能基との第一の組を識別するように反応し、及び、前記誘電体材料の選択された化学的結合と官能基との第二の組に対しては透過性であることを特徴とする請求項1の装置。  The ultraviolet radiation source is a broadband radiation source having a spectrum of a selected wavelength and is responsive to distinguish a first set of chemical bonds and functional groups of the dielectric material; and The apparatus of claim 1, wherein the apparatus is permeable to a second set of selected chemical bonds and functional groups of the dielectric material. 前記紫外線放射源が、誘電体のバリア放電デバイス、アーク放電デバイス、又は電子衝撃発生器を含む請求項1の装置。  The apparatus of claim 1, wherein the ultraviolet radiation source comprises a dielectric barrier discharge device, an arc discharge device, or an electron impact generator. 前記第1流体源が、不活性ガス、紫外線吸収ガス、又はこれらのガスの少なくとも一つを含んだ組み合わせのガスであり、
前記第2流体源が、前記不活性ガス、反応性ガス、前記紫外線吸収ガス、又はこれらのガスうち少なくとも一つを含んだ組み合わせのガスであり、及び、
前記第3流体源が、前記不活性ガスを含む請求項1の装置。
The first fluid source is an inert gas, an ultraviolet absorbing gas, or a combination gas including at least one of these gases;
It said second fluid source is a said inert gas, a reactive gas, wherein the ultraviolet absorbing gas, or a combination of gas containing at least one of these gases, and,
The apparatus of claim 1, wherein the third fluid source comprises the inert gas.
前記冷却媒体と流体連通する前記リフレクタの周りに配置された冷却ジャケットをさらに含む請求項1の装置。  The apparatus of claim 1, further comprising a cooling jacket disposed about the reflector in fluid communication with the cooling medium. 前記誘電体材料が、低k誘電体材料、プレメタル誘電体材料、酸化物、窒化物、酸化窒化物、バリア層材料、エッチストップ材料、キャップ層、高k材料、浅溝で絶縁される誘電体材料、又はこれらの少なくとも一つを含む組み合わせの材料である請求項1の装置。  The dielectric material is a low-k dielectric material, a pre-metal dielectric material, an oxide, a nitride, an oxynitride, a barrier layer material, an etch stop material, a cap layer, a high-k material, a dielectric that is insulated by a shallow groove The device of claim 1, wherein the device is a material, or a combination of materials comprising at least one of these. 前記処理チャンバーが、前記基板を加熱するための熱源を含む請求項1の装置。  The apparatus of claim 1, wherein the processing chamber includes a heat source for heating the substrate. 前記熱源が、近接型の熱チャックアセンブリを含んでおり、この熱チャックアセンブリが、前記基板を支持するための複数のピンと、前記基板の温度を測定するためにスプリング実装されるか若しくは埋め込まれている熱電対とを、含む請求項11の装置。The heat source includes a proximity thermal chuck assembly that is spring mounted or embedded to measure a temperature of the substrate and a plurality of pins for supporting the substrate. 12. The apparatus of claim 11 including a thermocouple. 前記ロードロックチャンバーが、前記処理チャンバーから搬送される基板に不活性状態を提供する請求項1の装置。  The apparatus of claim 1, wherein the load lock chamber provides an inert state for a substrate transported from the processing chamber. 前記リフレクタが、アルミニウム金属、ダイクロイック材料、又は多層コーティングにより形成された反射層を含む請求項1の装置。  The apparatus of claim 1, wherein the reflector includes a reflective layer formed of aluminum metal, dichroic material, or multilayer coating. 前記反射層が、フッ化マグネシウム、二酸化シリコン、酸化アルミニウム、及び前記材料の少なくとも一つを含む組み合わせからなる請求項14の装置。The apparatus of claim 14 , wherein the reflective layer comprises a combination comprising at least one of magnesium fluoride, silicon dioxide, aluminum oxide, and the material. 前記紫外線放射源が、150nm〜300nmの波長を含む広帯域の放射パターンを発する請求項1の装置。  The apparatus of claim 1, wherein the ultraviolet radiation source emits a broadband radiation pattern comprising wavelengths between 150 nm and 300 nm. 前記処理チャンバーが、前記紫外線の広帯域放射の強度を測定するために位置決めされるその場の放射プローブをさらに含む請求項1の装置。  The apparatus of claim 1, wherein the processing chamber further comprises an in situ radiation probe positioned to measure the intensity of the broadband radiation of the ultraviolet light. 前記放射源モジュールの前記シールされた内部領域が、排出部又は真空部と流体連通している請求項1の装置。  The apparatus of claim 1, wherein the sealed interior region of the radiation source module is in fluid communication with an exhaust or vacuum. 前記処理チャンバーに接続された予備加熱用のステーションをさらに含む請求項1の装置。  The apparatus of claim 1, further comprising a preheating station connected to the processing chamber. 前記プレートが、このプレートに埋め込まれたスクリーンを含み、前記紫外線の広帯域の放射を、前記処理チャンバーに均一に分散する請求項1の装置。  The apparatus of claim 1, wherein the plate includes a screen embedded in the plate and uniformly distributes the broadband ultraviolet radiation. 前記プレートと前記処理チャンバーとの間に、スクリーンをさらに含む請求項1の装置。  The apparatus of claim 1, further comprising a screen between the plate and the processing chamber. 前記処理チャンバーが、酸素センサーをさらに含む請求項1の装置。  The apparatus of claim 1, wherein the processing chamber further comprises an oxygen sensor. 前記紫外線放射源が、前記シールされた内部領域へ突出する部分又は該内部領域との境界で接合する部分を含む請求項1の装置。  The apparatus of claim 1, wherein the ultraviolet radiation source includes a portion protruding into the sealed inner region or a portion joining at a boundary with the inner region. 上記部分がワイヤーメッシュにより形成された端部を含む請求項23の装置。24. The apparatus of claim 23 , wherein the portion includes an end formed by a wire mesh. 誘電体材料を処理する装置であって、
リフレクタ、広帯域放射を発生させる紫外線放射源、及び150nm〜300nmの波長を透過するプレートを有し、シールされた内部領域を形成して、該内部領域が第1流体源と流体連通している放射源モジュールと、
前記放射源と前記基板との間に配置され、複数の開口を有するメッシュスクリーンを含む光学フィルターと、
前記放射源モジュールに結合されて、前記紫外線放射源に連通して作動するシールされたチャンバーを形成し、かつ、基板を受け入れる閉鎖可能な開口、前記基板を支持するための支持体、及び第2流体源と流体連通するガス入口を有する処理チャンバーモジュールと、を含み、
前記放射源モジュールは、さらに前記リフレクタに冷却媒体を供給する更なる流体源に流体連通する流体入口を含んでいることを特徴とする装置。
An apparatus for processing a dielectric material,
Radiation having a reflector, an ultraviolet radiation source that generates broadband radiation, and a plate that transmits wavelengths between 150 nm and 300 nm, forming a sealed interior region, the interior region being in fluid communication with the first fluid source A source module;
An optical filter including a mesh screen disposed between the radiation source and the substrate and having a plurality of openings ;
A closable opening coupled to the radiation source module to operate in communication with the ultraviolet radiation source and receive a substrate; a support for supporting the substrate; and a second A processing chamber module having a gas inlet in fluid communication with a fluid source;
The radiation source module further includes a fluid inlet in fluid communication with a further fluid source that supplies a cooling medium to the reflector.
前記光学フィルターが、スクリーンであって、このスクリーンが、第一メッシュサイズを有する内側ゾーンと、第二メッシュサイズを有し、前記内側ゾーンの周りに配置される外側ゾーンとを含む請求項25の装置。26. The optical filter of claim 25 , wherein the optical filter is a screen, the screen comprising an inner zone having a first mesh size and an outer zone having a second mesh size and disposed about the inner zone. apparatus. 前記光学フィルターが、コーティング、吸収ガス、吸収固体材料、又はこれらのいずれかの組み合わせを含む請求項25の装置。26. The apparatus of claim 25 , wherein the optical filter comprises a coating, an absorbing gas, an absorbing solid material, or any combination thereof. 前記内ゾーンが、紫外線放射源と同軸状に整列されている請求項26の装置。The inner side zone, apparatus according to claim 26 which is aligned with the ultraviolet radiation source and coaxially. 前記外側ゾーンの周りに少なくとも一つ、さらにゾーンを含み、このゾーンが第二のメッシュサイズとは異なるメッシュサイズを有する請求項26の装置。  27. The apparatus of claim 26, further comprising at least one zone around the outer zone, the zone having a mesh size different from the second mesh size. 前記広帯域の放射パターンが、150nm〜300nmの波長を含む請求項26の装置。  27. The apparatus of claim 26, wherein the broadband radiation pattern comprises a wavelength between 150 nm and 300 nm. リフレクタ、紫外線放射源と、シールされた内部領域を形成して、150nm〜300nmの波長を透過するプレートと、前記紫外線放射源と基板との間に配置され、複数の開口を有するメッシュスクリーンを含む光学フィルターとを含む放射源モジュールに結合される処理チャンバーに、ロードロックチャンバーから前記基板を搬送する段階と、
不活性ガスを、前記処理チャンバー及び前記シールされた内部領域へと流す段階と、
150nm〜300nmの波長で紫外線の広帯域放射を発生させ、前記基板を前記広帯域放射で露光する段階と、
前記リフレクタの回りに冷却媒体を流す段階と、を含む誘電体材料を処理する方法。
A reflector , an ultraviolet radiation source , a plate that forms a sealed internal region and transmits a wavelength of 150 nm to 300 nm, and a mesh screen disposed between the ultraviolet radiation source and the substrate and having a plurality of openings. Transporting the substrate from a load lock chamber to a processing chamber coupled to a radiation source module comprising an optical filter comprising:
Flowing an inert gas through the process chamber and the sealed interior region;
Generating ultraviolet broadband radiation at a wavelength of 150 nm to 300 nm and exposing the substrate with the broadband radiation;
Flowing a cooling medium around the reflector, and treating the dielectric material.
前記基板を前記紫外線の広帯域放射で露光する段階は、前記基板に伝達された前記紫外線の広帯域放射の一部分を除去するため、紫外線吸収ガスを前記シールされた内部領域へ流すことを含んでいる請求項31の方法。Exposing the substrate with the ultraviolet broadband radiation includes flowing an ultraviolet absorbing gas into the sealed interior region to remove a portion of the ultraviolet broadband radiation transmitted to the substrate. Item 31. The method according to Item 31 . 前記広帯域放射で前記基板を露光する段階は、同時に反応性ガスを前記処理チャンバーへ流すことを含んでいる請求項31の方法。The method of claim 31 , wherein exposing the substrate with the broadband radiation comprises simultaneously flowing a reactive gas into the processing chamber. 前記基板を20℃〜450℃の温度に加熱することをさらに含んでいる請求項31の方法。32. The method of claim 31 , further comprising heating the substrate to a temperature between 20C and 450C. 前記加熱された基板を前記ロードロックチャンバーへ搬送し、かつ、前記ロードロックチャンバー内で不活性ガス雰囲気を維持しながら、前記加熱された基板を冷却することを更に含んでいる請求項34の方法。35. The method of claim 34 , further comprising transporting the heated substrate to the load lock chamber and cooling the heated substrate while maintaining an inert gas atmosphere within the load lock chamber. . 前記処理チャンバーを周期的に清掃する段階をさらに含んでいる請求項31の方法。32. The method of claim 31 , further comprising periodically cleaning the processing chamber. 前記処理チャンバーを周期的に清掃する段階は、酸化流体を前記処理チャンバーへ導入し、前記酸化流体を前記紫外線の広帯域放射を用いて活性化し、さらに、汚染物を前記プレート及び処理チャンバーから揮発させることを含んでいる請求項36の方法。Periodically cleaning the process chamber includes introducing an oxidizing fluid into the processing chamber, activating the oxidizing fluid using the broadband radiation of the ultraviolet light, and further volatilizing contaminants from the plate and the processing chamber. 40. The method of claim 36 , comprising: 前記処理チャンバーを周期的に清掃する段階は、前記処理チャンバーへの前記紫外線の広帯域放射の伝達の変化を検出し、この変化が所定のスレショルド値を超えると、前記清掃処理を始動することを含んでいる請求項36の方法。Periodically cleaning the process chamber includes detecting a change in transmission of the ultraviolet broadband radiation to the process chamber and initiating the cleaning process when the change exceeds a predetermined threshold value. 37. The method of claim 36 . 伝達の変化の速度が、所定の変化速度未満に落ちるとき、又は、予め定められた波長帯で100%伝達されるとき、前記清掃方法が非連続とされる請求項38の方法。39. The method of claim 38 , wherein the cleaning method is discontinuous when the rate of change of transmission falls below a predetermined rate of change or when 100% is transmitted in a predetermined wavelength band. 前記基板を露光する前に、前記紫外線の広帯域放射の一部分をフィルター処理することをさらに含む請求項31の方法。32. The method of claim 31 , further comprising filtering a portion of the ultraviolet broadband radiation prior to exposing the substrate. 前記紫外線の広帯域放射の前記部分をフィルター処理することが、前記紫外線広帯域放射の通路に、コーティング、吸収性のガス、吸収性の固体物質、又はこれらのいずれかの組み合わせを配置することを含んでいる請求項40の方法。Filtering the portion of the ultraviolet broadband radiation includes disposing a coating, an absorbing gas, an absorbing solid material, or any combination thereof in the passage of the ultraviolet broadband radiation. 41. The method of claim 40 . 前記基板を前記紫外線の広帯域放射で露光する段階は、前記紫外線放射源の動作条件を変更することを含んでいる請求項31の方法。32. The method of claim 31 , wherein exposing the substrate with the ultraviolet broadband radiation includes changing operating conditions of the ultraviolet radiation source. 前記基板を前記紫外線の広帯域放射で露光する段階は、前記紫外線放射源と前記処理チャンバーとの間にフィルターを配置することを含んでおり、及び、前記基板に伝達される前記紫外線の広帯域放射の一部が前記フィルターによって除去される請求項31の方法。Exposing the substrate with the ultraviolet broadband radiation includes disposing a filter between the ultraviolet radiation source and the processing chamber, and of the ultraviolet broadband radiation transmitted to the substrate. 32. The method of claim 31 , wherein a portion is removed by the filter. 前記誘電体材料は、プレメタル誘電体材料、低k誘電体材料、バリア層、及び前記誘電体材料の一つ又はそれ以上を含んでいる請求項31の方法。32. The method of claim 31 , wherein the dielectric material comprises one or more of a pre-metal dielectric material, a low-k dielectric material, a barrier layer, and the dielectric material. 前記不活性ガスを前記処理チャンバーへ流す段階は、下への流れ方向を含んでいる請求項31の方法。32. The method of claim 31 , wherein flowing the inert gas into the processing chamber includes a downward flow direction. 前記不活性ガスを前記処理チャンバーへ流す段階は、交差する流れ方向を含む請求項31の方法。32. The method of claim 31 , wherein flowing the inert gas into the processing chamber includes intersecting flow directions. 前記紫外線の広帯域放射を発生する段階は、エネルギー源に接続された無電極管球に充満されたガスを励起することを含む請求項31の方法。32. The method of claim 31 , wherein generating the ultraviolet broadband radiation comprises exciting a gas filled in an electrodeless tube connected to an energy source. 前記エネルギー源が、マイクロ波エネルギー、高周波エネルギー、又は前記エネルギー源のいずれかの組み合わせである請求項47の方法。 48. The method of claim 47 , wherein the energy source is microwave energy, radio frequency energy, or any combination of the energy sources. 基板から前記プレートへの脱ガス物質又はポロゲンの堆積を最小限にするのに効果的な一定量および流速で、前記プレートに近いガスを前記処理チャンバー内に流すことをさらに含む請求項31の方法。32. The method of claim 31 , further comprising flowing a gas close to the plate into the processing chamber at a constant volume and flow rate effective to minimize deposition of degassed material or porogen from the substrate to the plate. . 前記処理チャンバー内の前記プレートに近いガスを、前記プレートを清掃するのに効果的な量および流速で流し、及び、前記ガスが、前記紫外線の広帯域放射によって活性化されることをさらに含む請求項31の方法。The method further comprises flowing a gas near the plate in the processing chamber in an amount and flow rate effective to clean the plate, and the gas is activated by the broadband radiation of the ultraviolet light. 31 methods. 前記処理チャンバー内の酸素濃度を、連続的または周期的にモニターすることをさらに含む請求項31の方法。32. The method of claim 31 , further comprising monitoring the oxygen concentration in the processing chamber continuously or periodically. 前記処理チャンバー内の酸素濃度を、100ppm未満に維持することを含む請求項51の方法。52. The method of claim 51 , comprising maintaining an oxygen concentration in the processing chamber below 100 ppm.
JP2008518099A 2005-06-22 2005-06-22 Apparatus and method for processing dielectric material Expired - Fee Related JP5051594B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2005/022110 WO2007001281A1 (en) 2005-06-22 2005-06-22 Apparatus and process for treating dielectric materials

Publications (2)

Publication Number Publication Date
JP2008547217A JP2008547217A (en) 2008-12-25
JP5051594B2 true JP5051594B2 (en) 2012-10-17

Family

ID=35044881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008518099A Expired - Fee Related JP5051594B2 (en) 2005-06-22 2005-06-22 Apparatus and method for processing dielectric material

Country Status (5)

Country Link
EP (1) EP1900005A1 (en)
JP (1) JP5051594B2 (en)
KR (1) KR101233059B1 (en)
CN (1) CN101208770B (en)
WO (1) WO2007001281A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP5292822B2 (en) * 2008-01-18 2013-09-18 株式会社リコー Cooling device and image forming apparatus
US20100096569A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Ultraviolet-transmitting microwave reflector comprising a micromesh screen
KR102055014B1 (en) * 2011-03-23 2020-01-22 노벨러스 시스템즈, 인코포레이티드 Measuring in-situ uv intensity in uv cure tool
CN103149195A (en) * 2013-03-06 2013-06-12 河海大学 Spectrum detection method and device for dielectric barrier discharge
JP6115445B2 (en) * 2013-10-24 2017-04-19 信越半導体株式会社 Epitaxial growth equipment
JP2015195974A (en) * 2014-04-01 2015-11-09 Hoya株式会社 Light source device for electronic endoscope
CN103928370A (en) * 2014-04-08 2014-07-16 上海华力微电子有限公司 Ultraviolet irradiation device and method for porous low-k dielectric film
KR101625062B1 (en) * 2014-05-21 2016-05-27 엘지전자 주식회사 Plasma lighting system
JP2016039257A (en) * 2014-08-07 2016-03-22 ウシオ電機株式会社 Ultraviolet light irradiation device, and ultraviolet light irradiation processing device
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN106783669B (en) * 2015-11-25 2019-04-12 无锡华瑛微电子技术有限公司 Semiconductor processing device and method
TWI756761B (en) * 2020-04-06 2022-03-01 香港商正揚科技有限公司 Ultraviolet curing device
US20230229086A1 (en) * 2022-01-20 2023-07-20 Applied Materials, Inc. Low oxygen scanning uv source with localized purge

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5760303U (en) * 1980-09-27 1982-04-09
JP2911895B2 (en) * 1987-09-22 1999-06-23 フュージョン システムズ コーポレーション Dome-shaped mesh screen for electrodeless light source device
KR920005718Y1 (en) * 1988-12-30 1992-08-20 한국전기통신공사 Ultra-violet exposing apparatus for photo-chemical deposition apparatus
JPH0461741A (en) * 1990-06-26 1992-02-27 Mitsubishi Electric Corp Light source device with microwave discharge
JPH0491428A (en) * 1990-08-02 1992-03-24 Fujitsu Ltd Chemical vapor growth apparatus and semiconductor growth method by means of said apparatus
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same
JP3194441B2 (en) * 1992-04-28 2001-07-30 キヤノン株式会社 Resist curing method and resist processing apparatus
US5705232A (en) * 1994-09-20 1998-01-06 Texas Instruments Incorporated In-situ coat, bake and cure of dielectric material processing system for semiconductor manufacturing
JP3315843B2 (en) * 1995-09-01 2002-08-19 大日本スクリーン製造株式会社 Substrate processing equipment
JPH09320543A (en) * 1996-05-27 1997-12-12 Matsushita Electron Corp Microwave electrodeless discharge light source device
KR19980036464A (en) * 1996-11-18 1998-08-05 김광호 Igniter of Steam Generator in Diffusion Device for Semiconductor Manufacturing
JP3500050B2 (en) * 1997-09-08 2004-02-23 東京エレクトロン株式会社 Impurity removing device, film forming method and film forming system
FR2792774B1 (en) * 1999-04-26 2003-08-01 Joint Industrial Processors For Electronics METHOD AND DEVICE FOR TREATING A MATERIAL BY ELECTROMAGNETIC RADIATION AND IN A CONTROLLED ATMOSPHERE
JP4048189B2 (en) * 1999-09-14 2008-02-13 東京エレクトロン株式会社 Substrate processing equipment
JP3468215B2 (en) * 2000-08-08 2003-11-17 ウシオ電機株式会社 Processing equipment using a dielectric barrier discharge lamp
TW588403B (en) * 2001-06-25 2004-05-21 Tokyo Electron Ltd Substrate treating device and substrate treating method
JP3778432B2 (en) * 2002-01-23 2006-05-24 東京エレクトロン株式会社 Substrate processing method and apparatus, and semiconductor device manufacturing apparatus
EP1420439B1 (en) * 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP4342974B2 (en) * 2003-02-12 2009-10-14 東京エレクトロン株式会社 Curing apparatus and method, and coating film forming apparatus
JP4164575B2 (en) * 2003-10-02 2008-10-15 独立行政法人産業技術総合研究所 Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
CN101208770A (en) 2008-06-25
KR101233059B1 (en) 2013-02-13
KR20080018946A (en) 2008-02-28
WO2007001281A1 (en) 2007-01-04
JP2008547217A (en) 2008-12-25
CN101208770B (en) 2010-10-27
EP1900005A1 (en) 2008-03-19

Similar Documents

Publication Publication Date Title
JP5051594B2 (en) Apparatus and method for processing dielectric material
US7709814B2 (en) Apparatus and process for treating dielectric materials
US10121682B2 (en) Purging of porogen from UV cure chamber
KR101896607B1 (en) Quartz showerhead for nanocure uv chamber
KR101127714B1 (en) Plasma treatment device and plasma ashing method
US7265061B1 (en) Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US8956457B2 (en) Thermal processing system for curing dielectric films
KR102138158B1 (en) Low-k dielectric damage repair by vapor-phase chemical exposure
EP1601003A2 (en) Ultraviolet ray generator, ultraviolet ray irradation processing apparatus, and semiconductor manufacturing system
WO2009036249A1 (en) Method for curing a dielectric film
KR20030083708A (en) Ultraviolet ray assisted processing device for semiconductor processing
TWI581331B (en) Method to reduce dielectric constant of a porous low-k film
KR101653907B1 (en) Method for removing back-filled pore-filling agent from a cured porous dielectric
KR101631586B1 (en) Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
TWI424460B (en) Apparatus and process for treating dielectric materials
JP2004343087A (en) Method and apparatus for modifying surface of interlayer dielectric film
US20130160793A1 (en) Plasma generating apparatus and process for simultaneous exposure of a workpiece to electromagnetic radiation and plasma

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110719

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110726

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110819

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120215

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120515

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120620

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120713

R150 Certificate of patent or registration of utility model

Ref document number: 5051594

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150803

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees