JP4689082B2 - Negative resist composition - Google Patents

Negative resist composition Download PDF

Info

Publication number
JP4689082B2
JP4689082B2 JP2001171431A JP2001171431A JP4689082B2 JP 4689082 B2 JP4689082 B2 JP 4689082B2 JP 2001171431 A JP2001171431 A JP 2001171431A JP 2001171431 A JP2001171431 A JP 2001171431A JP 4689082 B2 JP4689082 B2 JP 4689082B2
Authority
JP
Japan
Prior art keywords
film
group
resist
resist composition
alkali
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001171431A
Other languages
Japanese (ja)
Other versions
JP2002365801A (en
Inventor
崇久 並木
映 矢野
純一 今
耕司 野崎
美和 小澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2001171431A priority Critical patent/JP4689082B2/en
Publication of JP2002365801A publication Critical patent/JP2002365801A/en
Application granted granted Critical
Publication of JP4689082B2 publication Critical patent/JP4689082B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明は、レジスト組成物に関し、さらに詳しく述べると、高感度とすぐれた解像性を有していて、膨潤のない微細パターンを形成可能なネガ型レジスト組成物に関する。本発明はまた、かかるネガ型レジスト組成物を使用したレジストパターンの形成方法及び電子デバイスの製造方法に関する。
【0002】
【従来の技術】
現在、半導体集積回路は高集積化が進み、LSIやVLSIが実用化されており、配線パターンの最小線幅はサブハーフミクロンの領域に及んでいる。このため微細加工技術を確立することが必須であり、リソグラフィ分野では、この要求の解決策として、露光光源の紫外線の波長を遠紫外領域(短波長域)へと移行させており、さらには深紫外領域の波長の光源を用いた露光方法の研究も盛んに行われている。また、このような短波長域の露光光源の採用にともない、レジスト材料においてもそれに合わせた改良が進んでいる。すなわち、上述のような短波長域での光の吸収がより少なく、感度が良好でかつ高いドライエッチング耐性を合わせ持つレジスト材料の開発が進んでいる。
【0003】
具体的に説明すると、近年では、半導体製造における新しい露光光源としてフッ化クリプトン(KrF)エキシマレーザ(波長248nm)を用いたフォトリソグラフィが盛んに研究されており、実用化も始まっている。このような短波長光源に対応できる高感度かつ高解像度を持ったレジストとして、化学増幅型と呼ばれる概念を用いたレジスト組成物が米国IBM社のH.Itoらによって提示されている(例えば、米国特許第4,491,628号を参照されたい)。このレジスト組成物の場合、レジスト膜中で触媒反応を起こさせて、見かけの量子収率を向上させて、高感度化を図ることを基本概念においている。
【0004】
これまで非常に広く研究、利用されている化学増幅型ポジレジスト、すなわち、t−ブトキシカルボニル化ポリビニルフェノール(PVP)を基材樹脂として用意し、この基材樹脂に、光によって酸を発生する化合物、すなわち、光酸発生剤(PAG、Photo Acid Generatorの略)を加えた化学増幅型ポジレジストを例にとって上記の基本概念を説明すると、レジスト膜の露光部では、露光後の加熱(PEB)によって、保護基であるt−BOC基が脱離し、イソブテンと二酸化炭素となる。また、t−BOC基の脱離時に生じたプロトン酸が触媒となって連鎖的に脱保護反応が進行し、露光部の極性が大きく変化する。この極性の大きな変化に対応させて、適切な現像液を選択することにより、レジストパターンを形成することができる。
【0005】
さらに近年、ギガビットクラスのDRAM等の一層集積度の高いデバイスの作製に対して、より波長の短いArF(フッ化アルゴン)エキシマレーザ(波長193nm) を用いたリソグラフィの研究も盛んになってきている。しかし、上述のような従来常用されているフェノール系樹脂は、このArFの短い波長では光の吸収が強いので、基材樹脂として使用することができない。すなわち、レジスト組成物の基材樹脂から変更することが必須である。
【0006】
ArFの波長において適用可能な化学増幅型レジストを実現するために、基材樹脂を変更することも行われてきた。しかし、従来ポジ型の開発が盛んに行われていただけで、ネガ型のレジストの報告は少なく、また、報告されているネガ型のレジストの多くが架橋型レジストであった。架橋型レジストは、例えば特開2000−122288号公報、特開2000−147769号公報などに開示されているように、露光部の架橋反応を利用して分子量を増大させることによって、現像液への未露光部との溶解度差を生じさせパターニングを行うものである。このため、パターンの膨潤による微細加工の限界が避けられない。また、反応性の低いメラミン型架橋剤の使用に原因があると考察されるが、所望の感度が得られないという問題がある。
【0007】
最近では、ピナコール転移 (例えば、S. Cho et al., Proc. SPIE. 3999, 62 (2000) を参照)による極性変化を用いるネガ型の化学増幅型レジストの報告もされている。しかしながら、ピナコール転移の場合では、フッ素を含有することから基板密着性の問題や無水マレイン酸を含むことによる保存安定性の問題などがあり、さらには、ピナコールの低い反応性のため、感度も劣っている。
【0008】
上述のように満足し得るネガ型のレジストが未だ提案されていないという状況がある一方で、近年盛んに研究されている超解像技術である位相シフトマスクやレベンソン型マスクと呼ばれる光学像を強調するマスク(露光波長以下の解像性を得る手法として有望)を用いる場合には、ネガ型のレジストが必要であり、ArF露光でもネガ型のレジストに対する要望は強い。これらのマスクは、ArFを光源とする場合は130nm以下の解像性が必要な場合に適用されると目されており、このように微細なパターンを膨潤無く解像できる高感度なレジストの開発が急務である。
【0009】
【発明が解決しようとする課題】
本発明は、上記のような従来技術の問題点を解決して、実用可能な高感度を有していて、解像性にすぐれ、膨潤のない微細パターンを形成することができるネガ型レジスト組成物を提供することにある。
本発明の目的は、また、KrFあるいはArFエキシマレーザ等に代表される深紫外領域の露光光源にも対応可能で、ドライエッチング耐性にも優れたレジスト組成物を提供することにある。
【0010】
本発明の目的は、さらに、露光部と未露光部の極性の差を大きくして、高感度、高コントラスト及び高解像度とを兼ね備える微細なパターンが形成可能なレジスト組成物を提供することにある。
本発明の目的は、さらにまた、現像液として塩基性水溶液を使用することができるレジスト組成物を提供することにある。
【0011】
また、本発明の目的は、本発明のレジスト組成物を使用する有用な方法を提供することにある。
本発明の1つの目的は、本発明のレジスト組成物を使用してレジストパターンを形成する方法を提供することにある。
本発明のもう1つの目的は、本発明のレジスト組成物を使用して、LSI、VLSI等の半導体装置やMRヘッド等の磁気記録ヘッドをはじめとした各種の電子デバイスを製造する方法を提供することにある。
【0012】
本発明の上記した目的や、その他の目的は、以下の詳細な説明から容易に理解することができるであろう。
【0013】
【課題を解決するための手段】
本発明者らは、上記した課題を解決すべく鋭意研究の結果、化学増幅型レジスト組成物において、アルカリ可溶性基を有し、塩基性水溶液に可溶な皮膜形成性の重合体を基材樹脂として使用するとともに、その基材樹脂に組み合わせて、酸触媒の存在において、基材樹脂の極性基(フェノール性水酸基など)と容易に縮合反応し、レジスト組成物をアルカリ不溶性に変更しうる特定の反応性化合物を使用することが重要であるという知見を得、本発明を完成するに至った。
【0014】
すなわち、本発明は、基材樹脂と、結像用放射線の受理によって酸を放出可能な光酸発生剤と、前記光酸発生剤に由来する酸触媒の存在において前記基材樹脂と結合反応を生じることが可能な、次式(I)によって表される反応性脂環式化合物:
【0015】
【化2】

Figure 0004689082
【0016】
(上式において、
Zは、脂環式化合物の環系を完成するのに必要な複数個の原子を表し、
Rは、前記基材樹脂と反応してそれに結合可能な反応性基を表し、かつ、複数の反応性基が含まれる場合には、互いに同一もしくは異なっていてもよく、
Lは、反応性基Rを脂環式化合物に結合させる結合基を表し、
mは、0であるかもしくは1〜3の整数であり、そして
nは、1〜6の整数である)とを組み合わせて含むことを特徴とするネガ型レジスト組成物にある。
【0017】
また、本発明は、下記の工程:
本発明のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起しうる結像用放射線で選択的に露光し、そして
露光後のレジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする、レジストパターンの形成方法にある。
【0018】
さらに、本発明は、本発明のネガ型レジスト組成物から形成されたレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする、電子デバイスの製造方法にある。ここで、「機能性要素層」は、以下に詳細に説明するように、電子デバイス内にその構成員として含まれるものであって、その電子デバイスの機能の発揮に貢献し得る任意のパターン化された層を指している。
【0019】
本発明の電子デバイスの製造方法は、好ましくは、下記の工程:
本発明のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して前記機能性要素層を形成すること、
を含むように構成される。
【0020】
【発明の実施の形態】
本発明によるネガ型レジスト組成物、レジストパターンの形成方法及び電子デバイスの製造方法は、それぞれ、以下の詳細な説明から容易に理解できるように、種々の好ましい形態で実施することができる。
本発明は、1つの面において、被処理基板上にネガティブなレジストパターンを形成するための化学増幅型レジスト組成物にある。このネガ型レジスト組成物は、前記したように、
(a)アルカリ可溶性基を含有し、自体塩基性水溶液に可溶である皮膜形成性の重合体(基材樹脂)、
(b)結像用放射線を受理して分解すると、酸を放出可能なPAG(光酸発生剤)、そして
(c)光酸発生剤から放出された酸(触媒として作用する)の存在により、基材樹脂の極性基(フェノール性水酸基など)と容易に縮合反応する、前式(I)の反応性脂環式化合物、
を少なくとも含んでいる。
【0021】
ここで、本発明のレジスト組成物における化学増幅のメカニズムについて、基材樹脂としてポリビニルフェノールを、反応性脂環式化合物として1−アセトキシアダマンタン(脂環族エステル)を、それぞれ使用した場合を参照して説明すると、次のような反応式で表すことができる。なお、式中のアセトキシ基が、本発明でいう反応性基である。
【0022】
【化3】
Figure 0004689082
【0023】
レジスト組成物中のPAGは、レジスト膜の形成後に結像用放射線に暴露されると、その放射線を吸収し酸を発生する。次いで、この露光後のレジスト膜を加熱すると、先に生じた酸が触媒的に作用して、レジスト膜の露光部において、基材樹脂のフェノール性水酸基と脂環族エステルのアセトキシ基の間でエーテル化反応が進行し、極性の変化の結果として基材樹脂のアルカリ可溶性が消失する。なお、レジスト膜内では、主に上記のような反応が生じていると考察されるが、その他の反応も、少しではあるが、同時に進行している可能性がある。
【0024】
本発明のレジスト組成物では、基材樹脂として使用する皮膜形成性の重合体に、アルカリ可溶性基を含ませ、かつ酸触媒の存在下加熱により容易に、縮合反応で基材樹脂のアルカリ可溶性基を封止できる、前式(I)の脂環式化合物を含有させている。さらに、その縮合反応によってプロトン酸を再生する増幅型のため、高感度を達成できる。また、アルカリ可溶性基が封止された後はアルカリ可溶性が消失するため、レジスト膜の露光部はアルカリ不溶となり、従って塩基性水溶液で現像すれば、ネガティブなレジストパターンが形成できる。なお、本発明では重合体において生じる極性変化を用いてレジストパターンの形成を行っているため、膨潤のないパターンが得られる。
【0025】
本発明のレジスト組成物における化学増幅のメカニズムは、別の例でも説明できる。例えば、基材樹脂としてポリビニルフェノールを、反応性脂環式化合物として1−メトキシアダマンタン(脂環族エーテル)を、それぞれ使用した場合を参照して説明すると、次のような反応式で表すことができる。
【0026】
【化4】
Figure 0004689082
【0027】
この反応式から容易に理解できるように、基材樹脂のフェノール性水酸基がこの反応によってエーテル化して極性が変化し、アルカリに不溶化している。
本発明のレジスト組成物において基材樹脂として使用する皮膜形成性の重合体は、アルカリ可溶性基を有し、塩基性水溶液に可溶である(すなわち、アルカリ可溶性である)限りにおいて特に限定されるわけではない。適当な皮膜形成性の重合体は、その重合体を構成するモノマ単位の少なくとも1つが、(メタ)アクリレート系モノマ単位、すなわち、アクリレート系及びメタアクリレート系モノマ単位、フェノール系モノマ単位、例えばビニルフェノール系モノマ単位、フェノールノボラック系モノマ単位、クレゾールノボラック系モノマ単位等、N−置換マレイミド系モノマ単位、スチレン系モノマ単位、または多環性脂環式炭化水素部分を有するモノマ単位、例えばアダマンチル基、ノルボルニル基等を有するモノマ単位であるものである。かかる皮膜形成性の重合体は、単独重合体であってもよく、さもなければ、2成分共重合体、3成分共重合体等の多成分共重合体であってもよい。
【0028】
このような皮膜形成性の重合体に導入されるべきアルカリ可溶性基は、特に限定されないというものの、フェノール性水酸基、カルボキシル基などが一般的であり、特にフェノール性水酸基やカルボキシル基を有利に使用することができる。また、フェノール性水酸基は、溶解性の調整のため、その一部をエーテル化してもよい。同様に、カルボキシル基も、溶解性の調整のため、その一部をエステル化してもよい。アルカリ可溶性基は、重合体の構造や性質、その他のファクタを考慮して、好適なものを適切な数で、望ましい位置に導入することができる。
【0029】
ところで、前記したアルカリ可溶性の重合体が共重合体の形態をとる場合、アルカリ可溶性基を有するモノマ単位の重合相手モノマ単位は、重合体が現像液に対し適切なアルカリ可溶性を保持できる限りどのような構造を有していてもよい。さらに、前記したアルカリ可溶性重合体が3成分共重合体の形態であっても、前記と同様に重合体がアルカリ可溶性を保持している限り自由であり、そのような組み合わせも好ましい。また、この場合、アルカリ可溶性基を有する第1のモノマ単位に加えて、弱いアルカリ可溶性基を有する第2のモノマ単位を含んでいてもよく、かつそのような組み合わせも好ましい。
【0030】
アルカリ可溶性重合体が特に3成分共重合体の形態をとる場合について、さらに説明する。このような場合には、共重合体の第1のモノマ単位にカルボキシル基などの強いアルカリ可溶性基を導入するとともに、その第2のモノマ単位に例えばラクトン環構造、酸無水物、イミド環構造などの弱いアルカリ可溶性基を導入することができる。このような場合には、強いアルカリ可溶性基と弱いアルカリ可溶性基の含有量をコントロールすることによって、基材樹脂のアルカリ溶解速度を好ましい値に調整することが容易になる。また、第3のモノマ単位にはエッチング耐性を有する官能基を有するものを用いることも可能であり、それはレジストとして非常に好ましい。
【0031】
本発明のアルカリ可溶性重合体において、アルカリ可溶性基を有するモノマ単位の占める割合は、樹脂自体が適切なアルカリ可溶性を示す限りにおいては問われないが、ネガレジストとして実現可能と考えられる適切なアルカリ溶解速度(2.38%TMAH現像液での溶解速度が100Å/s〜10000Å/s)を得ることを考慮すると、例えば2成分以上のモノマからなる重合体の場合、アルカリ可溶性基を有するモノマ単位の含有率は5〜95モル%の範囲にあることが好ましく、より好ましくは30〜70モル%の範囲である。このモノマ単位の含有率が5モル%を下回ると、カルボン酸より酸性度の弱いアルカリ可溶性基の場合は、アルカリ溶解性が不十分なため満足のいくパターニングが不可能になる。また逆に95モル%を上回ると、カルボン酸よりも酸性度の強いアルカリ可溶性基の場合は、アルカリ可溶性が強すぎるため塩基性水溶液への溶解速度が速すぎてしまい、パターニングが困難になる。従って、使用するアルカリ可溶性基の酸性度によって適切にかかるモノマ単位の含有率を制御することが望ましい。
【0032】
さらに具体的に説明すると、本発明のレジスト組成物において基材樹脂として用いられるアルカリ可溶性重合体は、上記したような条件、特に適切なアルカリ溶解速度を有すると言う条件を満たす限りにおいて、特に限定できるものではないけれども、ノボラックレジスト並のドライエッチング耐性を得ることを考慮に入れた場合、多環性脂環式炭化水素系化合物をエステル基に有するアクリレート系モノマ単位やメタアクリレート系モノマ単位の重合体、ビニルフェノール系重合体、N−置換マレイミド系重合体、スチレン系重合体、ノルボルネン系重合体などが好適である。特に、アクリレート系、メタクリレート系重合体、ノルボルネン系重合体は、露光光源として深紫外線、特に250nm以下の波長を持つ光源を使用する場合に、その波長の光の吸収が小さい点において重要である。換言すると、深紫外線を露光光源とする場合には、一般的に深紫外領域の光を大きく吸収する芳香族環や、共役二重結合等のモル吸光係数の大きい発色団を含まないような構造を有する重合体を使用することが望ましい。
【0033】
特にArFエキシマレーザのような極短波長領域の露光波長を光源として用いる場合には、ドライエッチング耐性とともに当該波長(193nm)における透明性が必要となるため、上記したようにドライエッチング耐性の高いアダマンチル基、ノルボルニル基等に代表されるような多環性脂環式炭化水素構造を含有するエステル基を有する重合体、とりわけアクリレート系、メタクリレート系重合体、あるいはノルボルネン系重合体の使用が推奨される。
【0034】
上記したようなアクリレートあるいはメタクリレート系重合体及びその他のアルカリ可溶性重合体の分子量(重量平均分子量、Mw)は、広い範囲で変更可能であるが、通常、2,000〜1,000,000の範囲であることが好ましく、より好ましくは3,000〜50,000の範囲である。
本発明の実施において有利に使用することができるアルカリ可溶性重合体は、以下に列挙するものに限定されるわけではないけれども、次のような重合体を包含する。なお、式中のl,m,nは、それぞれ、上記した重量平均分子量を得るのに必要なモノマ単位(繰り返し単位)の数であり、R1 〜R3 は特に断りのない限り、任意の置換基、例えば水素原子、ハロゲン原子(塩素、臭素等)、低級アルキル基(メチル基、エチル基等)、シアノ基、その他であり、それぞれ、同一でも異なっていてもよい。
(1)アクリレート系又はメタクリレート系重合体:
【0035】
【化5】
Figure 0004689082
【0036】
上記構造式において、R4 は、例えばラクトン環に代表されるような弱いアルカリ可溶性基を表すが、これを含むモノマ単位はアルカリ溶解速度がネガレジストの基材樹脂として適切な値を示す限り、必須の単位ではない。R5 は、任意の置換基、例えば水素原子、ハロゲン原子(塩素、臭素等)、低級アルキル基(メチル基、エチル基等)、シアノ基、その他を表す。
【0037】
この他、次式に示すようにカルボキシル基をアルカリ可溶性基として有するエステル基を含有する構造のものでももちろんよい。
【0038】
【化6】
Figure 0004689082
【0039】
上記構造式において、R4 及びR5 は、それぞれ、前記定義に同じである。Rx は任意の構造をとることができるが、多環性脂環族の構造をとることが好ましい。
(2)以下に示すようなスチレン系単位をアルカリ可溶性基として含む重合体:
【0040】
【化7】
Figure 0004689082
【0041】
【化8】
Figure 0004689082
【0042】
上記構造式において、Ry は任意の置換基を示す。Rx は前記と同様に選択することが好ましい。
(3)以下に示すようなフマル酸系単位をアルカリ可溶性基として含む重合体:
【0043】
【化9】
Figure 0004689082
【0044】
(4)以下に示すようなビニル安息香酸系単位をアルカリ可溶性基として含む重合体:
【0045】
【化10】
Figure 0004689082
【0046】
(5)以下に示すようなノルボルナン系単位やその誘導体をアルカリ可溶性基として含む重合体:
【0047】
【化11】
Figure 0004689082
【0048】
【化12】
Figure 0004689082
【0049】
【化13】
Figure 0004689082
【0050】
【化14】
Figure 0004689082
【0051】
(6)以下に示すようなイタコン酸系単位をアルカリ可溶性基として含む重合体:
【0052】
【化15】
Figure 0004689082
【0053】
【化16】
Figure 0004689082
【0054】
(7)以下に示すようなマレイン酸系単位をアルカリ可溶性基として含む重合体:
【0055】
【化17】
Figure 0004689082
【0056】
(8)以下に示すようなビニルフェノール系単位をアルカリ可溶性基として含む重合体:
【0057】
【化18】
Figure 0004689082
【0058】
なお、これらの重合体は、先にも説明したように、その他の適当なモノマー単位と組み合わせて任意の共重合体(3成分以上のものも含む)を構成していてもよい。
以上に列挙したようなアルカリ可溶性重合体のいくつかをさらに具体的に示すと、次の通りである。
【0059】
【化19】
Figure 0004689082
【0060】
【化20】
Figure 0004689082
【0061】
【化21】
Figure 0004689082
【0062】
【化22】
Figure 0004689082
【0063】
【化23】
Figure 0004689082
【0064】
【化24】
Figure 0004689082
【0065】
上式において、PRは、例えば、以下に示すような置換基を示す。
【0066】
【化25】
Figure 0004689082
【0067】
なお、上記したアルカリ可溶性重合体はあくまでも一例であり、これらの構造に限定されるものではない。また、上式において、Ry 及びR5 は前記した通りである。
本発明で使用するアルカリ可溶性重合体は、高分子化学において一般的に用いられている重合法を使用して調製することができる。例えば、メタクリレート系重合体は、所定のモノマー成分をフリーラジカル開始剤としてAIBN(2,2′−アゾビスイソブチロニトリル)の存在下で加熱することによって有利に調製することができる。また、メタクリレート系重合体以外のアルカリ可溶性重合体も、同様に常法に従って有利に調製することができる。
【0068】
本発明の化学増幅型レジストにおいて、上記したようなアルカリ可溶性重合体と組み合わせて用いられる光酸発生剤(PAG)は、レジストの化学において一般的に用いられているPAG、すなわち紫外線、遠紫外線、真空紫外線、X線等の結像用放射線の照射によりプロトン酸を生じる物質を用いることができる。適当なPAGは、例えば、ハロゲン化有機物、スルホン酸エステル、オニウム塩などである。特にオニウム塩は、縮合反応を誘発する効果が大きい点で、注目に値する。好適なPAGの具体例は、以下に列挙するものに限定されるわけではないけれども、次のようなものを包含する。
ハロゲン化有機物:
【0069】
【化26】
Figure 0004689082
【0070】
【化27】
Figure 0004689082
【0071】
【化28】
Figure 0004689082
【0072】
【化29】
Figure 0004689082
【0073】
【化30】
Figure 0004689082
【0074】
【化31】
Figure 0004689082
【0075】
【化32】
Figure 0004689082
【0076】
【化33】
Figure 0004689082
【0077】
【化34】
Figure 0004689082
【0078】
スルホン酸エステル:
【0079】
【化35】
Figure 0004689082
【0080】
【化36】
Figure 0004689082
【0081】
【化37】
Figure 0004689082
【0082】
【化38】
Figure 0004689082
【0083】
【化39】
Figure 0004689082
【0084】
【化40】
Figure 0004689082
【0085】
【化41】
Figure 0004689082
【0086】
【化42】
Figure 0004689082
【0087】
【化43】
Figure 0004689082
【0088】
【化44】
Figure 0004689082
【0089】
【化45】
Figure 0004689082
【0090】
【化46】
Figure 0004689082
【0091】
【化47】
Figure 0004689082
【0092】
【化48】
Figure 0004689082
【0093】
【化49】
Figure 0004689082
【0094】
オニウム塩:
【0095】
【化50】
Figure 0004689082
【0096】
【化51】
Figure 0004689082
【0097】
【化52】
Figure 0004689082
【0098】
【化53】
Figure 0004689082
【0099】
【化54】
Figure 0004689082
【0100】
これらのPAGの他に、必要ならば、例えば特開平9−90637号公報及び特開平9−73173号公報に開示されるようなPAGを使用してもよい。
上記したようなPAGは、本発明のレジスト組成物中においていろいろな量で使用することができる。PAGの使用量は、それが露光光源に曝されたあと発生する酸の強さに依存するが、通常1〜30重量%の範囲である。PAGの使用量が1重量%を下回ると、露光によって発生する酸の量が少なくなるので、縮合反応の進行が低下し、感度の低下が発生する。また、PAGの使用量が30重量%を上回ると、レジストの塗布中に析出などのトラブルが発生しやすく、また、レジストの熱特性が劣化する場合がある。PAGの使用量は、感度、解像度の兼ね合いを考慮すると、2〜10重量%の範囲が特に好ましい。なお、本発明のレジスト組成物では、露光波長における吸光度が1.75μm-1以下になるように、重合体及びPAGの構造ならびにPAGの使用量を考慮することが好ましい。
【0101】
本発明の化学増幅型レジストでは、上記したアルカリ可溶性重合体及びPAGとともに、酸触媒の存在においてアルカリ可溶性重合体と結合反応を生じることが可能な、次式(I)によって表される反応性脂環式化合物が用いられる。
【0102】
【化55】
Figure 0004689082
【0103】
上式において、Zは、脂環式化合物の環系を完成するのに必要な複数個の原子を表す。環系Zは、好ましくは、
シクロヘキサン構造、
ノルボルネン構造、
ビシクロ〔2,2,2〕オクタン構造、
パーヒドロアントラセン構造、
パーヒドロフェナントレン構造、及び
アダマンタン構造
などであることができる。本発明の脂環式化合物では特に、このような環系Zにおいて、以下に説明する反応性基が結合した部分が、立体化学的に固定された構造の3級炭素原子であることが好ましい。
【0104】
また、Rは、アルカリ可溶性重合体と反応してそれに結合可能な反応性基を表す。適当な反応性基Rは、例えば、アシルオキシ基、アルコキシ基などである。好適なアシルオキシ基には、先に参照して説明したアセトキシ基以外に、エチルカルボニルオキシ基、ベンゾイルオキシ基、シクロヘキシルカルボニルオキシ基(下式参照)などがある。
【0105】
【化56】
Figure 0004689082
【0106】
また、好適なアルコキシ基には、先に参照して説明したメトキシ基以外に、エトキシ基、メトキシメトキシ基、エトキシメトキシ基、メトキシエトキシ基、エトキシエトキシ基、テトラヒドロピラニルオキシ基、テトラヒドロフラニルオキシ基、トリメチルシリルオキシ基(下式参照)などがある。
【0107】
【化57】
Figure 0004689082
【0108】
脂環式化合物の反応性基Rは、その化合物の構造や極性の変化の所望とするレベルなどに応じて単独で含まれていてもよく、2種類以上が組み合わさって含まれていてもよい。すなわち、式中のnは、1〜6の整数である。複数の反応性基が含まれる場合には、それらの反応性基は、互いに同一もしくは異なっていてもよい。
【0109】
また、反応性基Rは、環系Zに直接結合していてもよく、適当な結合基を介して結合していてもよい。環系と反応性基との間に結合基を介在させた場合には、感度の増加などの効果を得ることができる。すなわち、式中のLは、反応性基Rを脂環式化合物に結合させる結合基を表し、また、したがって、mは、0もしくは1である。結合基Lは、通常、1〜3個の炭素原子を有するアルキレン基、例えばメチレン基などであるが、必要に応じて、その他の基であってもよい。
【0110】
本発明で使用される反応性脂環式化合物についてさらに詳しく説明すると、反応性基Rが結合した環系Zは、例えば、下記のようなアダマンタン構造あるいはその他の類似の構造を有する脂環族系である。
【0111】
【化58】
Figure 0004689082
【0112】
このような環系を脂環式化合物の母核として採用したことによって、例えば:
1.嵩張る構造のため、アルカリ可溶性重合体に付加したときの極性変化が大きい;
2.レジストとして使用する際、高いエッチング耐性が得られる;
3.反応性基(例えば、アシルオキシ基、アルコキシ基等)の反応性が高まる;
などの注目すべき作用効果を得ることができる。
【0113】
また、本発明の実施において広範な脂環族系及びしたがって脂環式化合物を使用できるというものの、本発明者らの知見によれば、反応基の結合した部分が立体化学的に固定された構造の3級炭素原子である脂環式化合物を使用した場合に、最も顕著な作用効果を得ることができる。これは、例えば、次のように説明できる。
【0114】
前記したように、アルカリ可溶性重合体のアルカリ可溶性基(例えば、フェノール性水酸基)と脂環式化合物の反応性基とが結合すると、エーテル結合が生成する。このエーテル結合はしかし、もしも脂環式化合物が立体化学的に固定された構造の3級炭素原子を備えた脂環式化合物である場合、一旦生成した後に再び分解してフェノール性水酸基に戻り難い。
【0115】
【化59】
Figure 0004689082
【0116】
エーテル結合が再び分解してフェノール性水酸基となるためには、上式で示すように、アルキルの部分が、ピラミッドの状態から変化して平面状態になることが必要である。ここで、1級、2級の炭素原子や、3級の炭素原子でもt−ブチル基のような立体化学的にみて固定されていないものは、自由に平面状態をとることができる。このような場合には、したがって、分解によるフェノール性水酸基の再生成が競争的に起こることとなり、所期の反応が思うように進まなくなる。
【0117】
これに反して、前述の1−アセトキシアダマンタン等は、下記のようにその立体化学的に固定された3級炭素原子に反応性基が結合した後では、平面状態をとりにくい構造となる。このような構造の下では、引き抜きによるフェノール性水酸基の再生成といったような現象は、非常に起こりづらい。
【0118】
【化60】
Figure 0004689082
【0119】
【化61】
Figure 0004689082
【0120】
アダマンタン構造を母核として有し、かつ反応性基の結合した部分が立体化学的に固定された構造の3級炭素原子である脂環式化合物として、次のような脂環式化合物もまた有利に使用することができる。
【0121】
【化62】
Figure 0004689082
【0122】
アダマンタン系化合物は、炭素密度が高いためにレジストとして使用する際にエッチング耐性が良好であり、かつ反応した際の極性変化が大きいためにコントラストが大きくなり、さらには、比較的に容易に入手が可能であるというメリットも備えている。
さらに、アダマンタン構造を有しないが、比較可能な作用効果を得ることのできるその他の類似の脂環式化合物(反応性基の結合した部分が立体化学的に固定された構造の3級炭素原子を有するもの)は、そのいくつかを列挙すると、次の通りである。
【0123】
【化63】
Figure 0004689082
【0124】
本発明のレジスト組成物は、通常、上記したアルカリ可溶性重合体、PAG、反応性脂環式化合物及び必要に応じて使用される任意の添加剤を適当な有機溶媒に溶解して、レジスト溶液の形で有利に使用することができる。レジスト溶液の調製に有用な有機溶媒は、乳酸エチル、メチルアミルケトン、メチル−3−メトキシプロピオネート、エチル−3−エトキシプロピオネート、プロピレングリコールメチルエーテルアセテートなどであるが、これらに限定はされない。また、これらの溶媒は、単独で使用してもよいが、必要に応じて2種類以上の溶媒を混合使用してもよい。これらの溶媒の使用量は特に限定されないが、スピン塗布等の塗布に適当な粘度及び所望のレジスト膜厚を得るのに十分な量で使用するのが好ましい。
【0125】
また、本発明のレジスト溶液には、必要に応じて、上記したような溶媒(主溶媒)に加えて補助溶媒を使用してもよい。補助溶媒は、溶質の溶解性及び溶液の塗布均一性によっては必要ないが、溶解度の低い溶質を用いた場合や塗布均一性が所望の状態でない場合に、通常、主溶媒に対して1〜30重量%の範囲で添加するのが好ましく、より好ましくは10〜20重量%である。有用な補助溶媒の例は、以下に限定されるものではないが、酢酸ブチル、γ−ブチロラクトン、プロピレングリコールメチルエーテルなどである。これらの補助溶媒も、主溶媒と同様に、単独で使用してもよく、さもなければ、2種以上を混合して使用してもよい。
【0126】
本発明のレジスト組成物は、露光光源の波長(180〜300nm)における吸光度が1.75μm-1以下であることが好ましい。このような吸光度を有するレジスト組成物において、十分なパターニング特性を得ることができるからである。
また、本発明は、そのもう1つの面において、ネガティブなレジストパターンを形成する方法であって、下記の工程:
本発明のレジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起しうる結像用放射線で選択的に露光し、そして
露光後のレジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする、レジストパターンの形成方法にある。
【0127】
本発明によるレジストパターンの形成方法において、被処理基板上に形成されたレジスト膜は、それを選択的露光工程に供する前とその後、加熱処理に供することが好ましい。すなわち、本発明では、レジスト膜をその露光前にプリベーク処理に供するとともに、露光の後であって現像を行う前、PEB(露光後ベーク処理)に供することが好ましい。これらの加熱処理は、常法に従って有利に実施することができる。
【0128】
本発明のネガティブレジストパターンの形成は、通常、次のようにして実施することができる。
まず、被処理基板上に本発明のレジスト組成物を塗布しレジスト膜を形成する。被処理基板は、半導体装置、その他の装置において通常用いられている基板であることができ、そのいくつかの例として、シリコン基板、ガラス基板、非磁性セラミックス基板などを挙げることができる。また、これらの基板に上方には、必要に応じて追加の層、例えばシリコン酸化膜層、配線用金属層、層間絶縁膜層、磁性膜などが存在していてもよく、また各種の配線、回路等が作り込まれていてもよい。さらに、これらの基板は、それに対するレジスト膜の密着性を高めるため、常法に従って疎水化処理されていても良い。適当な疎水化処理剤としては、例えば、1,1,1,3,3,3−ヘキサメチルジシラザン(HMDS)等を挙げることができる。
【0129】
レジスト組成物の塗布は、上記したように、それをレジスト溶液として被処理基板上に塗布することができる。レジスト溶液の塗布は、スピン塗布、ロール塗布、ディップ塗布などの常用の技法があるが、特にスピン塗布が有用である。レジスト膜厚は約0.1〜200μmの範囲が推奨されるが、KrFやArFなどのエキシマレーザでの露光の場合は、0.1〜1.5μmの範囲が推奨される。なお、形成されるレジストの膜厚は、その使途などの要因に応じて広く変更することができる。
【0130】
基板上に塗布したレジスト膜は、それを結像用放射線で選択的に露光する前に、約60〜180℃の温度で約30〜120秒間にわたってプリベークすることが好ましい。このプリベークは、レジストプロセスでの常用の加熱手段を用いて実施することができる。適当な加熱手段として、例えばホットプレート、赤外線加熱オーブンなどを挙げることができる。
【0131】
次いで、プリベーク後のレジスト膜を常用の露光装置で結像用の放射線で選択的に露光する。適当な露光装置は、市販の紫外線(遠紫外線、深紫外線)露光装置、X線露光装置、電子ビーム露光装置、その他である。露光条件はその都度適当な条件を選択することができるが、特に本発明では、先にも述べたように、エキシマレーザ(波長248nmのKrFレーザ、波長193nmのArFレーザ、波長157nmのF2 レーザ等)を露光光源として有利に使用することができる。付言すると、本願明細書では、“放射線”なる語を用いた場合、これらのいかなる光源からの放射線をも意味するものとする。
【0132】
露光後のレジスト膜をPEB(露光後ベーク処理)に供することによって、酸を触媒としたアルカリ可溶性基の封止反応(アルカリ可溶性重合体の不溶性化)を生じさせる。この露光後ベークは、所期の封止反応が十分に起こる範囲であれば先のプリベークと同様にして行うことができる。例えばベーク温度は約60〜180℃の温度が好ましく、さらに好ましくは約90〜120℃の温度である。ベーク温度が低すぎると、酸による触媒反応を誘起する効果が小さくなるので、良好な感度を得ることができない。反対に、ベーク温度が高すぎると、反応量の異常増加により所望のパターンサイズが得られないなどの問題が発生する。また、露光後ベークの時間も広い範囲で変更することができるが、通常l、約30〜120秒間の範囲が好ましい。もちろん、露光後ベークの温度や時間は、所望のパターンサイズ、形状などによって適宜調節してもよい。
【0133】
PEBの後、レジスト膜を現像液としての塩基性水溶液で現像する。この現像には、スピンデベロッパ、ディップデベロッパ、スプレーデベロッパ等の常用の現像装置を使用することができる。ここで現像液として使用される塩基性水溶液は、水酸化カリウム等に代表される周期律表のI,II族に属する金属水酸化物の水溶液や、水酸化テトラアルキルアンモニウム等の金属イオンを含有しない有機塩基の水溶液が挙げられるが、より好ましくは水酸化テトラメチルアンモニウム(TMAH)の水溶液である。現像効果の向上のため、例えば界面活性剤のような添加物を現像液に加えてもよい。現像の結果として、レジスト膜の未露光領域が溶解除去せしめられ、露光領域のみがネガティブレジストパターンとして基板上に残留する。
【0134】
本発明は、また、本発明のネガ型レジスト組成物を使用した電子デバイスの製造方法と、それによって製造される電子デバイスにある。ここで、電子デバイスとは、半導体装置や、磁気記録ヘッドなどを含めた広範な電子機器を意味し、特定の構造の機器に限定されるものではない。適当な電子デバイスの例は、以下に列挙するものに限定されるわけではないけれども、集積回路デバイス、磁気センサ(例えば、磁気ディスクのヘッド)、LCD、PDP、有機EL、無機EL等の表示デバイス、SAW(Surface Acoustic Wave)フィルタ等の機能デバイスを包含する。また、ここで使用するネガ型レジスト組成物は、さらに説明するまでもなく、先にいろいろな面から説明した各種の本発明のネガ型レジスト組成物を包含する。
【0135】
本発明の電子デバイスの製造方法は、本発明のネガ型レジスト組成物に由来するレジストパターンをマスキング手段として使用して、その下地の基板や薄膜を選択的に除去して予め定められた機能性要素層を形成する工程を含むことを特徴とする。被処理基板の選択的な除去には、好ましくは、エッチング法が用いられる。
【0136】
ここで、エッチングによって選択的に除去される下地の基板や薄膜は、先にレジストパターンの形成のところでも触れたように、総称して「被処理基板」とも呼ぶ。すなわち、被処理基板とは、半導体装置や、磁気記録ヘッド等の電子デバイスの製造においてエッチングに供されるすべての基板又は薄膜を意味する。適当な被処理基板の例は、以下に列挙するものに限定されるわけではないけれども、シリコン基板GaAs基板、等の半導体基板や、化合物半導体、アルミナ(Al23 )等の絶縁性結晶基板のほか、次のような各種の薄膜がある。
【0137】
PSG、TEOS、SiON、TiN、アモルファスカーボン、Al−Si、Al−Si−Cu、WSi等の金属シリサイド、ポリシリコン(Poly−Si)、アモルファスシリコン、SiO2 、GaAs、TiW、その他。
さらには、Cu、Co、FeMn、NiFe、LaSrMnO等を含む(巨大)磁気抵抗効果膜も被処理基板の範疇に含まれる。
【0138】
また、本発明の電子デバイスの製造方法によると、被処理基板がパターン化された層の状態で残留するが、このようなパターン化された層は、それが含まれる電子デバイスにおいて所定の作用効果を奏するので、本願明細書では特に、「機能性要素層」と呼ぶことにする。
本発明による電子デバイスの製造方法は、好ましくは、下記の工程:
本発明のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して予め定められた機能性要素層を形成すること、
に従って実施することができる。
【0139】
レジスト膜の露光工程で使用される結像用放射線は、すでに説明したように、半導体装置等の製造においてレジストプロセスで使用されるすべての光源を意味し、具体的には、g線、i線等の水銀ランプ、KrF、ArFをはじめとするエキシマレーザ、電子線、X線などがある。
また、本発明によると、本発明のネガ型レジスト組成物に由来するレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去することによって形成された、パターン化された層(機能性要素層)が任意の位置に備わっていることを特徴とする電子デバイスも提供される。
【0140】
引き続いて、本発明の電子デバイスとその製造方法を、特に半導体装置及び磁気記録ヘッドを例にとって説明する。
本発明による半導体装置の製造方法は、好ましくは、下記の工程:
本発明のレジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより除去すること、
に従って実施することができる。
【0141】
この半導体装置の製造方法において、レジスト膜の形成工程、放射線による選択的露光工程、そしてレジストパターンの形成工程は、それぞれ、先に説明した本発明のレジストパターンの形成方法に従って有利に実施することができる。
引き続くレジストパターンのエッチング工程は、常法の技法に従ってウェットエッチングあるいはドライエッチングで実施することができ、しかし、近年における微細化のさらなる進歩や無公害化などの観点から、ドライエッチングで実施するのが有利である。ドライエッチングは、周知の通り、気相中で被処理基板をエッチングするものであり、また、適当なドライエッチングは、例えば、プラズマエッチング、例えば反応性イオンエッチング(RIE)、反応性イオンビームエッチング(RIBE)、イオンビームエッチングなどである。これらのドライエッチングは、商業的に入手可能なエッチング装置を使用して、所定の条件の下で実施することができる。
【0142】
本発明方法によって形成されるレジストパターンは、通常、上記したように下地の被処理基板を選択的にエッチング除去する際のマスキング手段として有利に利用することができるけれども、そのレジストパターンが、特性等に関する所定の要件を満たすものであるならば、半導体装置の1つの機能性要素層として、例えば絶縁膜そのものなどとして利用することもできる。
【0143】
ここで、「半導体装置」とは、それを本願明細書において用いた場合、半導体装置一般を指していて特に限定されるものではない。典型的な半導体装置は、この技術分野において一般的に認識されているように、IC、LSI、VLSI等の半導体集積回路一般あるいはその他の関連のデバイスである。
さらに具体的に説明すると、半導体装置の典型例であるMOSトランジスタは、本発明に従うと、例えば、次のようにして製造することができる。
【0144】
先ず、シリコン基板の上に、トランジスタの構成に必要なゲート酸化膜、ポリシリコン膜、そしてWSi膜を順次薄膜で成膜する。これらの薄膜の形成には、熱酸化、化学蒸着法(CVD法)などの常用の薄膜形成法を使用することができる。
次いで、WSi膜の上に本発明のレジスト組成物を塗布して所定の膜厚を有するレジスト膜を形成する。このレジスト膜に、そのパターニングに適した放射線を選択的に露光し、さらに、露光部を溶解除去するため、塩基性水溶液で現像する。さらに詳しくは、これまでの一連の工程は、レジストパターンの形成に関して先に説明したようにして実施することができる。
【0145】
ゲート電極構造を形成するため、上記のようにして形成したレジストパターンをマスクとして、その下地のWSi膜とさらにその下のポリシリコン膜を同時にドライエッチングする。そして、ポリシリコン膜及びWSi膜からなるゲート電極をこのようにして形成した後、イオン注入によりリンを注入してLDD構造のN- 拡散層を形成する。
【0146】
引き続いて、先の工程で使用したレジストパターンをゲート電極から剥離除去した後、CVD法により、基板の表面に酸化膜を全面的に形成し、さらに、形成されたCVD酸化膜を異方性エッチングし、ポリシリコン膜及びWSi膜からなるゲート電極の側壁部にサイドウォールを形成する。さらに続けて、WSi膜とサイドウォールをマスクとしてイオン注入を行ってN+ 拡散層を形成し、そしてゲート電極を熱酸化膜で被覆する。
【0147】
最後に、基板の最上層に層間絶縁膜をCVD法により全面的に形成し、本発明のレジスト組成物を再度塗布して選択的にエッチングし、配線形成部にホールパターン(レジストパターン)を形成する。さらに、このレジストパターンをマスクとして下地の層間絶縁膜をエッチングし、コンタクトホールを開孔する。次いで、形成されたコンタクトホールにアルミニウム(Al)配線を埋め込む。このようにして、Nチャネルの微細なMOSトランジスタが完成する。
【0148】
本発明は、上記したような半導体装置に追加して、磁気記録ヘッドも電子デバイスの1形態として包含する。すなわち、本発明のネガ型レジスト組成物を使用してレジストプロセスを実施することによって、高性能で薄膜の磁気記録ヘッドを提供することができる。磁気記録ヘッドは、磁気ディスク装置、磁気テープ装置等の磁気記録再生装置において有利に使用することができる。
【0149】
本発明による磁気記録ヘッドの製造方法は、好ましくは、下記の工程:
本発明のレジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより除去して機能性要素層を形成すること、
に従って実施することができる。
【0150】
磁気記録ヘッドについて説明すると、近年の磁気ディスク装置等の磁気記録再生装置の小型化、高記録密度化の進行に伴い、そのような装置の再生用ヘッドとして、磁気記録媒体からの信号磁界の変化を電気抵抗率の変化に変換可能な磁気抵抗効果を利用したヘッド(いわゆるMRヘッド)が広く用いられている。また、MRヘッドのなかでも、磁気記録媒体の移動速度に依存せず、高い出力が得られるGMRヘッドが注目されている。特に、スピンバルブ磁気抵抗効果を利用したスピンバルブヘッドは、比較的に容易に作製することができ、しかも低磁場での電気抵抗の変化率が他のMRヘッドに比較して大きいので、すでに実用化されている。本発明のネガ型レジスト組成物は、これらの各種の薄膜磁気ヘッドの製造において、ヘッドを構成する機能性要素を薄膜で微細にパターニングするのに有利に使用することができる。
【0151】
また、スピンバルブヘッドは、通常、周知のように、磁気抵抗効果膜(スピンバルブ膜)と、スピンバルブ膜に電気的に接合されたものであって、信号検知領域を画定しかつこの信号検知領域に信号検知電流を流す一対の電極と、スピンバルブ膜に対して縦バイアス磁界を印加する一対の縦バイアス磁界印加層とを備えている。縦バイアス磁界印加層は、通常、CoPt、CoPtCr等の硬磁性薄膜から形成されている。このように、硬磁性薄膜からなる縦バイアス磁界印加層をスピンバルブヘッドの感磁部(信号検知領域)以外の部分に、それがスピンバルブ膜の両側あるいは上側に位置するように配置することによって、スピンバルブ膜のフリー磁性層の磁壁移動に起因するバルクハウゼンノイズを抑制することができ、よって、ノイズのない安定した再生波形を得ることができる。
【0152】
さらに、スピンバルブ膜は、通常、下地層の上に、順次、フリー磁性層、非磁性中間層、ピンド磁性層、そして規則系反強磁性層を積層した構成で有している。このような層構成を採用することによって、非磁性中間層を介して積層された2つの磁性層(フリー磁性層及びピンド磁性層)の磁化方向のなす角度を調節することによって、電気抵抗を所望なように変化させることができる。
【0153】
さらに具体的に説明すると、スピンバルブ膜は、通常、アルチック基板、すなわち、TiC基体の表面にアルミナ膜が形成されてなる基板の上に形成される。最下層の下地層には、Ta膜などが使用される。Ta膜は、フリー磁性層に良好な結晶性を付与できるという効果があるからである。Ta膜やその他の下地層は、通常、スパッタリング法、蒸着法、化学的気相堆積法(CVD法)などの常用の成膜法を使用して形成することができる。
【0154】
フリー磁性層は、任意の軟磁性の材料から形成することができる。例えば、フリー磁性層の形成に一般的に使用されているCoFe合金を使用してもよい。また、これに限定されるわけではないけれども、好ましくは面心立方格子構造をそなえた(Coy Fe100-y100-xx 合金(式中、Zは、Co及びFe以外の任意の元素を表し、好ましくは、硼素B又は炭素Cであり、x及びyはそれぞれ原子分率at%を表す)からフリー磁性層を形成するのが好適である。高出力、高磁界感度、耐熱性のヘッドを提供できるからである。フリー磁性層は、単層で形成するよりも、2層構造で形成するほうが、得られる特性などの面から好ましい。フリー磁性層も、通常、スパッタリング法などの常用の成膜法を使用して形成することができる。
【0155】
スピンバルブ膜では、フリー磁性層と後述のピンド磁性層とで非磁性の中間層をサンドイッチした構成を採用するのが好ましい。非磁性の中間層としては、通常、非磁性の金属材料、例えば、銅(Cu)などが用いられる。Cu中間層も、スパッタリング法などの常用の成膜法を使用して形成することができる。
ピンド磁性層は、フリー磁性層の場合と同様に、任意の軟磁性の材料から形成することができる。すなわち、ピンド磁性層の形成にCoFe合金を使用してもよく、しかし、好ましくは面心立方格子構造をそなえた(Coy Fe100-y100-xx 合金(式中、Zは、Co及びFe以外の任意の元素を表し、好ましくは、硼素B又は炭素Cであり、x及びyはそれぞれ原子分率at%を表す)からピンド磁性層を形成するのが好適である。高出力、高磁界感度、耐熱性のヘッドを提供できるからである。ピンド磁性層も、通常、スパッタリング法などの常用の成膜法を使用して形成することができる。
【0156】
ピンド磁性層の上には、規則系反強磁性層が形成される。この反強磁性層は、通常、FeMn膜、NiMn膜、PtMn膜、PdMn膜、PdPtMn膜、CrMn膜、IrMn膜などから形成することができる。この反強磁性層も、上述の層と同様に、通常、スパッタリング法などの常用の成膜法を使用して形成することができる。
【0157】
また、スピンバルブ膜は、通常、その最上層にキャップ層を有する。キャップ層は、例えば、Ta膜から形成することができる。キャップ層も、上記した各層と同様に、常用の成膜法を使用して形成することができる。
スピンバルブヘッドは、いろいろな常用の技法に従って製造することができる。本発明では特に、そのヘッドの製造の途中の任意の段階で、本発明のネガ型レジスト組成物を使用したレジストプロセスを組み込み、上記したような機能性要素層を所望のパターンで正確にかつ微細に形成することができる。以下に、スピンバルブヘッドの製造方法の一例を示す。
【0158】
まず、アルチック基板の上にTaをスパッタリング法で堆積してTa下地層を形成する。次いで、Ta下地層上の、信号検知領域の感磁部以外の部分に、Au等からなる電極を介して、下記の層をリフトオフ法、イオンミリング法等の技法を使用して順次形成する。
下地層(Ta/NiFe系合金の膜、NiFe系合金:NiFe、NiFeCr、NiFeNb、NiFeMo等)、
縦バイアス磁界印加層(PtMn、PdPtMn、NiMn、CrMn、CrPtMn等の反強磁性材料の膜)、
下地層(NiFe系合金の膜)。
【0159】
次いで、スパッタエッチング法、イオンミリング法等の技法を使用して、表面に存在する汚染物質(いわゆるコンタミ層)が完全に除去される程度にTa系下地層及びNiFe系下地層の最表面をクリーニングする。
クリーニング工程の完了後、フリー磁性層、非磁性中間層、ピンド磁性層、そして規則系反強磁性層を順次成膜してスピンバルブ膜を完成する。それぞれの層の成膜は、スパッタリング法、蒸着法、CVD法などで行う。
【0160】
また、所望とするパターンでスピンバルブ膜を得るため、縦バイアス磁界印加層の上の全体にスピンバルブ膜を形成した後、本発明のネガ型レジスト組成物を使用して予め定められたパターンでレジスト膜を形成し、イオンミリング法等により所望とする以外の領域のスピンバルブ膜を除去する。
スピンバルブ膜の形成後、そのスピンバルブ膜の上の、信号検知領域の感磁部以外の部分に電極を一対となるように形成する。電極は、好ましくは、Au膜をリフトオフすることによって形成することができる。また、電極材料は、Auに限られるものではなく、その他の常用の電極材料を必要に応じて使用してもよい。
【0161】
【実施例】
以下の実施例は、本発明のネガ型レジスト組成物の調製と、得られたレジスト組成物を使用したレジストパターンの形成及び電子デバイスの製造について説明するためのものである。なお、下記の実施例は一例であり、本発明の範囲を何ら限定するものではないことを理解されたい。例えば、電子デバイスとして、下記のMOSトランジスタや磁気ヘッド以外に、SAWフィルタ等の機能デバイス、LCD等の表示デバイスを含めた種々のデバイスを有利に製造できる。
例1
レジスト溶液の調製
下記の第1表に示すように、本発明例のレジスト溶液をいろいろな組成で調製した。
【0162】
原料として使用した基材樹脂は、
1.ポリビニルフェノール(重量平均分子量=12,000、分散度=2.0)
2.メタクリル酸−メタクリル酸メチル共重合体(重量平均分子量=10,000、分散度=2.3、共重合比=35:65)
の2種類である。
【0163】
また、脂環式化合物は、下記の(1)〜(4)のいずれか1つ:
【0164】
【化64】
Figure 0004689082
【0165】
を母核として有し、それに対して結合した反応性基が、下記のように、(a)アセトキシ基、(b)エトキシエトキシ基又は(c)テトラヒドロピラニルオキシ基のいずれか1つ:
【0166】
【化65】
Figure 0004689082
【0167】
である化合物である。
さらに、光酸発生剤(PAG)は、下記のPAG−1又はPAG−2である。
【0168】
【化66】
Figure 0004689082
【0169】
それぞれのレジスト溶液の調製に共通して、基材樹脂、脂環式化合物及び光酸発生剤(PAG)を重量比=10:2:1で乳酸エチル(EL)に溶解して15質量%(wt%)溶液とした。また、この溶液には10wt%のγ−ブチロラクトンも補助溶媒として含ませた。得られた溶液を0.2μmのテフロンメンブランフィルタで濾過してレジスト溶液とした。
【0170】
また、比較のため、下記の第1表に示すように、3種類の従来例のレジスト溶液も使用した。「メラミン」は、メトキシメチロールメラミンのことである。また、「ピナコール」は、次式で示される物質である。
【0171】
【化67】
Figure 0004689082
【0172】
例2
レジストパターンの形成
前記例1で調製したそれぞれのレジスト溶液をHMDS処理を施したシリコン基板上に膜厚0.8μmでスピンコートし、110℃で2分間にわたってプリベークした。得られたレジスト皮膜を、
i線ステッパ、
KrFエキシマレーザステッパ(NA=0.45)又は
電子線露光装置、
を使用して、異なる露光パターン(i線が0.4μm L/S、KrFエキシマレーザが0.25μm L/S、電子線が0.2μm L/S)で露光した。適用した露光量は、下記の第1表にまとめてある。露光後、110℃で2分間にわたって露光後ベークを行った後、露光後のレジスト皮膜を2.38%のテトラメチルアンモニウムハイドロオキシド(TMAH)現像液で30秒間にわたって現像し、さらに脱イオン水でリンスした。得られたレジストパターンの解像性を次のような評価基準に従って評価したところ、下記の第1表に記載するような評価結果が得られた。
〔解像性の評価基準〕
◎:断面形状が矩形。パターントップの寸法とパターンボトムの寸法の差が、露光パターンの寸法の0.5%未満。
○:断面形状が矩形。パターントップの寸法とパターンボトムの寸法の差が、露光パターンの寸法の0.5%〜1%未満。
△:断面形状がほぼ矩形。パターントップの寸法とパターンボトムの寸法の差が、露光パターンの寸法の1%〜5%未満。
×:断面形状がややテーパー状。パターントップの寸法とパターンボトムの寸法の差が、露光パターンの寸法の5%以上。
【0173】
【表1】
Figure 0004689082
【0174】
上記の第1表に示した結果から、本発明例によるレジストは、従来例のレジストに比較して高感度であるばかりでなく、解像性も非常に良好である。
例3
MOSトランジスタの製造
図1の工程(1A)に示すように、シリコン基板1の表面にゲート酸化膜2を形成し、その上にポリシリコン膜(Poly−Si膜)3をCVD法によって形成した。Poly−Si膜3の形成後、リンなどのn型の不純物を注入し、低抵抗化した。その後、スバッタリング法(CVD法などでもよい)で、WSi膜4を形成した。
【0175】
次いで、工程(1B)に示すようにPoly−Si膜3及びWSi膜4をバターニングするため、先の工程で形成したWSi膜4の上に本発明のネガ型レジスト組成物を全面的に塗布した。レジスト膜5をプリベークした後、KrFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、0.25μm 幅のレジストパターンが得られた。このレジストパターンをマスクとして異方性エッチングで、WSi膜4及びPoly−Si膜3を順次エッチングした。Poly−Si膜3及びWSi膜4からなるゲート電極が得られた。その後、イオン注入によりリンを注入して、LDD構造のN- 拡散層6を形成した。工程(1B)に示すパターンが得られた後、レジスト膜5を剥離液で除去した。
【0176】
ゲート電極の形成に続けて、工程(1C)に示すように、酸化膜7をCVD法によって全面に形成した。
次いで、図2の工程(1D)に示すように、酸化膜7を異方性エッチングし、WSi膜4及びPoly−Si膜3からなるゲート電極側サイドウォール8を形成した。次に、WSi膜4及びサイドウォール8をマスクとしてイオン注入を行い、N+ 拡散層9を形成した。
【0177】
その後、N+ 拡散層9を活性化するため、窒素雰囲気中で熱処理を行い、さらに酸素雰囲気中で加熱した。工程(1E)に示すように、ゲート電極が熱酸化膜10で覆われた。
引き続いて、工程(1F)に示すように、層間絶縁膜11をCVD法によって形成し、再び本発明のネガ型レジスト組成物を使用して層間絶縁膜11をパターニングした。層間絶縁膜11の上に本発明のレジスト組成物を全面的に塗布した後、レジスト膜(図示せず)をプリベークし、ArFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、0.20μm 幅のホール状レジストパターンが得られた。このレジストパターンをマスクとして異方性エッチングで、層間絶縁膜11にコンタクトホールを開孔した。コンタクトホールにアルミニウム(Al)配線を形成した。図示のような、Nチャネルの微細MOSトランジスタ20が完成した。
例4
薄膜磁気ヘッドの製造
図3の工程(2A)に示すように、アルチック基板21の上に、FeNからなるシールド膜22、シリコン酸化膜からなるギャップ絶縁膜23を順次積層し、その上に膜厚400nmの磁気抵抗効果膜24をFeNiからスパッタリング法で形成した。磁気抵抗効果膜24の上に汎用のPMGIレジスト(米国Microlithography Chemical Co.製)を塗布して下層レジスト膜25を形成した後、その上にさらに本発明のネガ型レジスト組成物を塗布した。上層レジスト膜26が形成された。
【0178】
上記のようにして上層及び下層の2層構造のレジスト膜を形成した後、上層レジスト膜26をプリベークし、KrFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、0.25μm 幅のレジストパターンが得られた。また、このアルカリ現像と同時に、下地として存在する下層レジスト膜25が等方的に現像され、工程(2B)に示すように、アンダーカット形状が完成した。
【0179】
次に、工程(2C)に示すように、得られたレジストパターンをマスクとしたイオンミリングにより、下地の磁気抵抗効果膜24をテーパー状にエッチングした。
次に、図4の工程(2D)に示すように、被処理面の全面にTiW膜27をスパッタリング法で形成した。TiW膜27の膜厚は、800nmであった。
【0180】
TiW膜27の形成が完了した後、リフトオフ法を行い、下層レジスト膜25と、その上の上層レジスト膜26及びTiW膜27を除去した。工程(2E)に示すように、TiW膜27が露出した状態となった。
その後、図示しないが、本発明のネガ型レジスト組成物を使用して上記と同様な手法に従って磁気抵抗効果膜24及びTiW膜27をパターニングした。工程(2F)に示すように、電極28及びMR素子29が完成した。
【0181】
引き続いて、図5の工程(2G)に示すように、被処理面の全面に膜厚50nmのSiO2 膜からなるギャップ絶縁膜31を形成した。
次いで、工程(2H)に示すように、ギャップ絶縁膜31の形成に続けて、その全面に膜厚3.5μm のFeNi膜からなるシールド膜32、膜厚0.5μm のAl23 膜からなるギャップ層33を順次形成し、さらにその上に、膜厚3μm のFeNi膜34を形成した。その後、FeNi膜34をパターニングしてライト磁極を形成するため、FeNi膜34の全面に本発明のネガ型レジスト組成物を塗布し、レジスト膜36を形成した。
【0182】
最後に、上記のようにしてFeNi膜34上に形成したレジスト膜をプリベークし、KrFエキシマ露光装置で露光を行い、さらに露光後ベーク(PEB)を行った。アルカリ現像により、ライト磁極の部位が開口した微細なレジストパターンが得られた。このレジストパターンをマスクとして等方性エッチングで、FeNi膜をエッチングした。工程(2I)に示すように、ライト磁極35を備えた薄膜磁気ヘッド40が完成した。
【0183】
ところで、上記の説明から理解できるように、フェノール環を有する従来のノボラックのような樹脂を骨子としたレジスト材料の場合には、KrF(フッ化クリプトン)エキシマレーザー光(248nm)とかせいぜい210nmまでが光透過性を有するには限度である。したがって、本発明のレジスト材料によれば、それ以下の波長の光を用いて露光した場合には、光の透過性が良好であるという観点で発明の真価を発揮できる。
【0184】
また、そもそもネガ型レジストが今脚光を浴びてその開発が待たれている理由は、電子ビーム露光のようなスループットの悪い露光方法を嫌って、光露光の延命を図るためには、波長を短くすることと同時に、所謂「超解像技術」の一つである位相シフトマスクと組み合わせた場合に使い易く、したがって位相シフトマスクそのもののパターン設計が楽だということにある。したがって、裏を返せば、本発明は、位相シフトマスクと組み合わせた場合には、マスクパターンの設計が容易でありかつ微細なパターンを光露光で形成するのに向いている点で付随的な効果を得ることとなる。
【0185】
以上、本発明を詳細に説明した。本発明のさらなる理解のために本発明の好ましい態様を付記すると、以下の通りである。
(付記1) 基材樹脂と、結像用放射線の受理によって酸を放出可能な光酸発生剤と、前記光酸発生剤に由来する酸触媒の存在において前記基材樹脂と結合反応を生じることが可能な、次式(I)によって表される反応性脂環式化合物:
【0186】
【化68】
Figure 0004689082
【0187】
(上式において、
Zは、脂環式化合物の環系を完成するのに必要な複数個の原子を表し、
Rは、前記基材樹脂と反応してそれに結合可能な反応性基を表し、かつ、複数の反応性基が含まれる場合には、互いに同一もしくは異なっていてもよく、
Lは、反応性基Rを脂環式化合物に結合させる結合基を表し、
mは、0もしくは1であり、そして
nは、1〜6の整数である)とを組み合わせて含むことを特徴とするネガ型レジスト組成物。
【0188】
(付記2) 前記反応性基がアシルオキシ基であることを特徴とする、付記1に記載のネガ型レジスト組成物。
(付記3) 前記アシルオキシ基が、アセトキシ基、エチルカルボニルオキシ基、ベンゾイルオキシ基又はシクロヘキシルカルボニルオキシ基であることを特徴とする、付記2に記載のネガ型レジスト組成物。
【0189】
(付記4) 前記反応性基がアルコキシ基であることを特徴とする、付記1に記載のネガ型レジスト組成物。
(付記5) 前記アルコキシ基が、メトキシ基、エトキシ基、メトキシメトキシ基、エトキシメトキシ基、メトキシエトキシ基、エトキシエトキシ基、テトラヒドロピラニルオキシ基、テトラヒドロフラニルオキシ基及びトリメチルシリルオキシ基からなる群から選ばれた一員であることを特徴とする、付記4に記載のネガ型レジスト組成物。
【0190】
(付記6) 前記脂環式化合物の環系が、
シクロヘキサン構造、
ノルボルネン構造、
ビシクロ〔2,2,2〕オクタン構造、
パーヒドロアントラセン構造、
パーヒドロフェナントレン構造、及び
アダマンタン構造
のいずれかであることを特徴とする、付記1〜5のいずれか1項に記載のネガ型レジスト組成物。
【0191】
(付記7) 前記脂環式化合物において、前記反応性基が結合した部分が、立体化学的に固定された構造の3級炭素原子であることを特徴とする、付記1〜6のいずれか1項に記載のネガ型レジスト組成物。
(付記8) 前記基材樹脂が、塩基性水溶液に可溶でアルカリ可溶性基を有する皮膜形成性の重合体であり、結像用放射線を吸収して分解すると前記反応性基が前記アルカリ可溶性基と反応しうる酸を発生可能な光酸発生剤と組み合わせ用いられており、そして、自体塩基性水溶液に可溶であり、露光後は露光部がアルカリ不溶となることを特徴とする、付記1〜7のいずれか1項に記載のネガ型レジスト組成物。
【0192】
(付記9) 前記アルカリ可溶性基が、フェノール基、カルボキシル基、N−ヒドロキシアミド基、オキシム基、イミド基、1,1,1,3,3,3−ヘキサフルオロカルビノール基及びスルホン酸基からなる群から選ばれることを特徴とする、付記8に記載のネガ型レジスト組成物。
(付記10) 前記皮膜形成性の重合体が、アクリル酸、メタクリル酸、イタコン酸、ビニル安息香酸ならびにノルボルネン、ビニルフェノール、スチレン及びその誘導体からなる群から選ばれた単量体の重合によって形成されたものであることを特徴とする、付記8又は9に記載のネガ型レジスト組成物。
【0193】
(付記11) 前記皮膜形成性の重合体が、ラクトン環、イミド環及び酸無水物からなる群から選ばれた弱アルカリ可溶性基をさらに含むことを特徴とする、付記8〜10のいずれか1項に記載のネガ型レジスト組成物。
(付記12) 前記皮膜形成性の重合体が、多環性脂環式炭化水素部分を含むことを特徴とする、付記8〜11のいずれか1項に記載のネガ型レジスト組成物。
【0194】
(付記13) 前記多環性脂環式炭化水素部分が、アダマンチル基、ノルボルニル基及びビシクロ[2.2.2]オクチル基からなる群から選ばれた一員を含むことを特徴とする、付記12に記載のネガ型レジスト組成物。
(付記14) 露光光源の波長における吸光度が1.75μm-1以下であることを特徴とする、付記1〜13のいずれか1項に記載のネガ型レジスト組成物。
【0195】
(付記15) 乳酸エチル、メチルアミルケトン、メチル−3−メトキシプロピオネート、エチル−3−エトキシプロピオネート及びプロピレングリコールメチルエーテルアセテートからなる群から選ばれた溶媒を単独もしくは複数組み合わせて含むことを特徴とする、付記1〜14のいずれか1項に記載のネガ型レジスト組成物。
【0196】
(付記16) 酢酸ブチル、γ−ブチロラクトン及びプロピレングリコールメチルエーテルからなる群から選ばれた溶媒を添加溶媒としてさらに含むことを特徴とする、付記15に記載のネガ型レジスト組成物。
(付記17) 下記の工程:
付記1〜16のいずれか1項に記載のネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起しうる結像用放射線で選択的に露光し、そして
露光後のレジスト膜を塩基性水溶液で現像すること、
を含んでなることを特徴とする、レジストパターンの形成方法。
【0197】
(付記18) 付記1〜16のいずれか1項に記載のネガ型レジスト組成物から形成されたレジストパターンをマスキング手段として使用して、その下地の被処理基板を選択的に除去して予め定められた機能性要素層を形成する工程を含んでなることを特徴とする、電子デバイスの製造方法。
(付記19) 下記の工程:
前記ネガ型レジスト組成物を被処理基板上に塗布し、
形成されたレジスト膜を前記レジスト組成物の光酸発生剤の分解を誘起し得る結像用放射線で選択的に露光し、
露光後のレジスト膜を塩基性水溶液で現像してレジストパターンを形成し、そして
前記レジストパターンをマスキング手段として、その下地の前記被処理基板をエッチングにより選択的に除去して前記機能性要素層を形成すること、
を含むことを特徴とする、付記18に記載の電子デバイスの製造方法。
【0198】
(付記20) 付記1〜16のいずれか1項に記載のネガ型レジスト組成物を被処理基板上に形成した後、
前記レジスト組成物の光酸発生剤の分解を誘起しうるように、形成されたレジスト膜を210nm乃至それ以下の波長を有する露光光にて選択的に露光する工程と、
前記露光後のレジスト膜を塩基性水溶液で現像する工程と
を有するレジストパターンの形成方法。
【0199】
(付記21) 前記露光が位相シフトマスクを用いて行われることを特徴とする、付記20に記載のレジストパターンの形成方法。
【0200】
【発明の効果】
本発明によるレジスト組成物を使用すると、非常に高感度で解像性にも優れた、膨潤のない微細なネガティブレジストパターンを形成できる。
また、このレジスト組成物のアルカリ可溶性重合体を3元共重合体の形とし、その第1のモノマ単位に強いアルカリ可溶性基と、第2のモノマ単位に弱いアルカリ可溶性基を含ませた場合、アルカリ可溶性の制御が容易に可能であり、また、併用する脂環式化合物を調整することにより、分子間あるいは分子内のエステル化あるいはエーテル化反応をコントロールできるため、極性変化を顕著にして、高いコントラストと解像性を容易に得ることができる。
【0201】
さらに、本発明によると、本発明のネガ型レジスト組成物を使用すると、デバイスに含まれる微細な機能性要素層を正確にかつ歩留りよく製造することができるので、半導体装置、磁気記録ヘッド等の電子デバイスを有利に製造することができる。
【図面の簡単な説明】
【図1】本発明に従うMOSトランジスタの製造工程の前半を順を追って示した断面図である。
【図2】図1に示したMOSトランジスタの製造工程の後半を順を追って示した断面図である。
【図3】本発明に従う薄膜磁気ヘッドの製造工程の最初の段階を順を追って示した断面図である。
【図4】図3に示した薄膜磁気ヘッドの製造工程の中間の段階を順を追って示した断面図である。
【図5】図3に示した薄膜磁気ヘッドの製造工程の最後の段階を順を追って示した断面図である。
【符号の説明】
1…シリコン基板
2…ゲート電極
3…ポリシリコン膜
4…WSi膜
5…レジスト膜
6…N- 拡散層
7…CVD酸化膜
8…サイドウォール
9…N+ 拡散層
10…熱酸化膜
11…層間絶縁膜
12…配線
20…MOSトランジスタ
21…基板
22…シールド膜
23…ギャップ絶縁層
24…磁気抵抗(MR)効果膜
25…下層レジスト膜
26…上層レジスト膜
27…TiW膜
28…電極
29…MR素子
31…ギャップ絶縁層
32…シールド膜
33…ギャップ層
34…FeNe膜
35…ライト磁極
40…薄膜磁気ヘッド[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a resist composition, and more specifically, relates to a negative resist composition having high sensitivity and excellent resolution and capable of forming a fine pattern without swelling. The present invention also relates to a resist pattern forming method and an electronic device manufacturing method using such a negative resist composition.
[0002]
[Prior art]
At present, semiconductor integrated circuits have been highly integrated, LSIs and VLSIs have been put into practical use, and the minimum line width of wiring patterns has reached the sub-half micron region. For this reason, it is essential to establish a microfabrication technology. In the lithography field, as a solution to this requirement, the wavelength of the ultraviolet light of the exposure light source has been shifted to the far ultraviolet region (short wavelength region). Research on exposure methods using light sources with wavelengths in the ultraviolet region is also actively conducted. In addition, with the adoption of such an exposure light source in a short wavelength region, the resist material has been improved in accordance with it. That is, development of a resist material having less light absorption in the short wavelength region as described above, good sensitivity, and high dry etching resistance is in progress.
[0003]
Specifically, in recent years, photolithography using a krypton fluoride (KrF) excimer laser (wavelength: 248 nm) as a new exposure light source in semiconductor manufacturing has been actively studied, and its practical use has begun. As a resist having a high sensitivity and a high resolution capable of handling such a short wavelength light source, a resist composition using a concept called a chemical amplification type is disclosed by H.A. Ito et al. (See, eg, US Pat. No. 4,491,628). In the case of this resist composition, the basic concept is to increase the sensitivity by causing a catalytic reaction in the resist film to improve the apparent quantum yield.
[0004]
A chemical amplification type positive resist which has been studied and used so far, that is, a compound that generates t-butoxycarbonylated polyvinylphenol (PVP) as a base resin and generates an acid by light on the base resin. That is, the above basic concept will be described by taking as an example a chemically amplified positive resist to which a photoacid generator (PAG, abbreviation of Photo Acid Generator) is added. In the exposed portion of the resist film, by post-exposure heating (PEB). , The t-BOC group which is a protecting group is eliminated to form isobutene and carbon dioxide. In addition, the deprotection reaction proceeds in a chain manner using the protonic acid generated during the elimination of the t-BOC group as a catalyst, and the polarity of the exposed portion changes greatly. A resist pattern can be formed by selecting an appropriate developer corresponding to this large change in polarity.
[0005]
Furthermore, in recent years, research on lithography using an ArF (Argon Fluoride) excimer laser (wavelength 193 nm) with a shorter wavelength has become active for the production of devices with higher integration such as gigabit class DRAMs. . However, conventionally used phenolic resins such as those described above cannot be used as a base resin because they have strong light absorption at short wavelengths of ArF. That is, it is essential to change from the base resin of the resist composition.
[0006]
In order to realize a chemically amplified resist applicable at the wavelength of ArF, the base resin has also been changed. However, there have been few reports of negative resists because of the positive development of conventional positive resists, and many of the negative resists that have been reported have been crosslinked resists. For example, as disclosed in Japanese Patent Application Laid-Open Nos. 2000-122288 and 2000-147769, a cross-linked resist is used to increase the molecular weight by using a cross-linking reaction in an exposed area, thereby increasing the molecular weight. Patterning is performed by causing a solubility difference from the unexposed portion. For this reason, the limit of fine processing due to pattern swelling is inevitable. Moreover, although it is thought that there is a cause in use of a melamine type crosslinking agent with low reactivity, there exists a problem that desired sensitivity cannot be obtained.
[0007]
Recently, the pinacol transition (e.g. S. Cho et al., Proc. SPIE.3999, 62 (2000)), a negative-type chemically amplified resist using a change in polarity has also been reported. However, in the case of the pinacol transition, since it contains fluorine, there are problems of substrate adhesion and storage stability due to the inclusion of maleic anhydride, and furthermore, the sensitivity is poor due to the low reactivity of pinacol. ing.
[0008]
While there is a situation where no satisfactory negative resist has been proposed as described above, an optical image called a phase shift mask or a Levenson mask, which is a super-resolution technique that has been actively studied in recent years, has been emphasized. In the case of using a mask (promising as a technique for obtaining resolution below the exposure wavelength), a negative resist is necessary, and there is a strong demand for a negative resist even in ArF exposure. These masks are expected to be applied when resolution of 130 nm or less is required when ArF is used as a light source. Thus, development of a highly sensitive resist capable of resolving fine patterns without swelling. Is an urgent need.
[0009]
[Problems to be solved by the invention]
The present invention solves the problems of the prior art as described above, has a high sensitivity that can be used practically, has excellent resolution, and can form a fine pattern without swelling, and thus a negative resist composition. To provide things.
Another object of the present invention is to provide a resist composition that can be applied to an exposure light source in the deep ultraviolet region typified by KrF or ArF excimer laser and has excellent dry etching resistance.
[0010]
Another object of the present invention is to provide a resist composition capable of forming a fine pattern having high sensitivity, high contrast, and high resolution by increasing the difference in polarity between an exposed portion and an unexposed portion. .
Another object of the present invention is to provide a resist composition that can use a basic aqueous solution as a developer.
[0011]
Another object of the present invention is to provide a useful method using the resist composition of the present invention.
One object of the present invention is to provide a method of forming a resist pattern using the resist composition of the present invention.
Another object of the present invention is to provide a method for producing various electronic devices such as semiconductor devices such as LSI and VLSI and magnetic recording heads such as MR heads using the resist composition of the present invention. There is.
[0012]
The above and other objects of the present invention will be easily understood from the following detailed description.
[0013]
[Means for Solving the Problems]
As a result of intensive studies to solve the above-mentioned problems, the present inventors have determined that a chemically-amplified resist composition contains a film-forming polymer having an alkali-soluble group and soluble in a basic aqueous solution as a base resin. In combination with the base resin, and in the presence of an acid catalyst, it can easily undergo a condensation reaction with polar groups (such as phenolic hydroxyl groups) of the base resin to change the resist composition to alkali-insoluble. The knowledge that it is important to use a reactive compound was obtained, and the present invention was completed.
[0014]
That is, the present invention performs a binding reaction with the base resin in the presence of a base resin, a photoacid generator capable of releasing an acid upon reception of imaging radiation, and an acid catalyst derived from the photoacid generator. Reactive alicyclic compounds represented by the following formula (I) that can be generated:
[0015]
[Chemical 2]
Figure 0004689082
[0016]
(In the above formula,
Z represents a plurality of atoms necessary to complete the ring system of the alicyclic compound,
R represents a reactive group capable of reacting with and binding to the base resin, and when a plurality of reactive groups are included, they may be the same or different from each other;
L represents a linking group that binds the reactive group R to the alicyclic compound;
m is 0 or an integer from 1 to 3, and
n is an integer of 1 to 6) in combination, and the negative resist composition.
[0017]
The present invention also includes the following steps:
Apply the negative resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
Developing the exposed resist film with a basic aqueous solution;
In the method of forming a resist pattern, comprising:
[0018]
Furthermore, the present invention uses a resist pattern formed from the negative resist composition of the present invention as a masking means, and selectively removes the substrate to be processed to form a predetermined functional element layer. It is in the manufacturing method of an electronic device characterized by including the process of forming. Here, as will be described in detail below, the “functional element layer” is included in the electronic device as a member thereof, and can be any pattern that can contribute to the performance of the function of the electronic device. Pointed to the layer.
[0019]
The method for producing an electronic device of the present invention preferably comprises the following steps:
Apply the negative resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form the functional element layer;
It is comprised so that it may contain.
[0020]
DETAILED DESCRIPTION OF THE INVENTION
The negative resist composition, the resist pattern forming method, and the electronic device manufacturing method according to the present invention can be implemented in various preferred forms, as can be easily understood from the following detailed description.
In one aspect, the present invention resides in a chemically amplified resist composition for forming a negative resist pattern on a substrate to be processed. As described above, this negative resist composition is
(A) a film-forming polymer (base resin) containing an alkali-soluble group and soluble in a basic aqueous solution itself;
(B) a PAG (photoacid generator) capable of releasing an acid upon receipt and decomposition of imaging radiation; and
(C) The reactive fat of the above formula (I) that easily undergoes a condensation reaction with polar groups (such as phenolic hydroxyl groups) of the base resin due to the presence of acid (acting as a catalyst) released from the photoacid generator Cyclic compounds,
At least.
[0021]
Here, regarding the mechanism of chemical amplification in the resist composition of the present invention, refer to the case where polyvinylphenol is used as the base resin and 1-acetoxyadamantane (alicyclic ester) is used as the reactive alicyclic compound. This can be expressed by the following reaction formula. The acetoxy group in the formula is a reactive group in the present invention.
[0022]
[Chemical Formula 3]
Figure 0004689082
[0023]
When the PAG in the resist composition is exposed to imaging radiation after formation of the resist film, it absorbs the radiation and generates an acid. Next, when the exposed resist film is heated, the acid generated earlier acts catalytically, and in the exposed part of the resist film, between the phenolic hydroxyl group of the base resin and the acetoxy group of the alicyclic ester. The etherification reaction proceeds and the alkali solubility of the base resin disappears as a result of the change in polarity. In the resist film, it is considered that the above reactions are mainly occurring, but other reactions may be proceeding at the same time although they are a little.
[0024]
In the resist composition of the present invention, the film-forming polymer used as the base resin contains an alkali-soluble group and can be easily heated in the presence of an acid catalyst by a condensation reaction. The alicyclic compound of the above formula (I) can be contained. Furthermore, high sensitivity can be achieved because the amplification type regenerates the protonic acid by the condensation reaction. In addition, since the alkali solubility disappears after the alkali-soluble group is sealed, the exposed portion of the resist film becomes insoluble in alkali. Therefore, a negative resist pattern can be formed by developing with a basic aqueous solution. In the present invention, since the resist pattern is formed using the polarity change generated in the polymer, a pattern without swelling can be obtained.
[0025]
The mechanism of chemical amplification in the resist composition of the present invention can be explained by another example. For example, when polyvinyl phenol is used as the base resin and 1-methoxyadamantane (alicyclic ether) is used as the reactive alicyclic compound, the following reaction formula can be used. it can.
[0026]
[Formula 4]
Figure 0004689082
[0027]
As can be easily understood from this reaction formula, the phenolic hydroxyl group of the base resin is etherified by this reaction to change its polarity and is insoluble in alkali.
The film-forming polymer used as the base resin in the resist composition of the present invention is particularly limited as long as it has an alkali-soluble group and is soluble in a basic aqueous solution (that is, is alkali-soluble). Do not mean. A suitable film-forming polymer is such that at least one of the monomer units constituting the polymer is a (meth) acrylate monomer unit, that is, an acrylate or methacrylate monomer unit, a phenol monomer unit, such as vinylphenol. Monomer units, phenol novolac monomer units, cresol novolac monomer units, N-substituted maleimide monomer units, styrene monomer units, or monomer units having a polycyclic alicyclic hydrocarbon moiety, such as adamantyl group, norbornyl It is a monomer unit having a group or the like. Such a film-forming polymer may be a homopolymer, or may be a multi-component copolymer such as a two-component copolymer or a three-component copolymer.
[0028]
Alkali-soluble groups to be introduced into such a film-forming polymer are not particularly limited, but phenolic hydroxyl groups and carboxyl groups are common, and phenolic hydroxyl groups and carboxyl groups are particularly preferably used. be able to. Further, a part of the phenolic hydroxyl group may be etherified in order to adjust the solubility. Similarly, a part of the carboxyl group may be esterified to adjust the solubility. An appropriate number of alkali-soluble groups can be introduced at a desired position in consideration of the structure and properties of the polymer and other factors.
[0029]
By the way, when the above-mentioned alkali-soluble polymer takes the form of a copolymer, the polymerization partner monomer unit having an alkali-soluble group is not limited as long as the polymer can maintain appropriate alkali-solubility in the developer. It may have a different structure. Furthermore, even if the alkali-soluble polymer described above is in the form of a three-component copolymer, it is free as long as the polymer retains alkali-solubility as described above, and such a combination is also preferable. In this case, in addition to the first monomer unit having an alkali-soluble group, a second monomer unit having a weak alkali-soluble group may be included, and such a combination is also preferable.
[0030]
The case where the alkali-soluble polymer takes the form of a three-component copolymer will be further described. In such a case, a strong alkali-soluble group such as a carboxyl group is introduced into the first monomer unit of the copolymer and, for example, a lactone ring structure, an acid anhydride, an imide ring structure, or the like is introduced into the second monomer unit. Weak alkali-soluble groups can be introduced. In such a case, it becomes easy to adjust the alkali dissolution rate of the base resin to a preferred value by controlling the contents of strong alkali-soluble groups and weak alkali-soluble groups. Moreover, what has a functional group which has etching resistance can also be used for a 3rd monomer unit, and it is very preferable as a resist.
[0031]
In the alkali-soluble polymer of the present invention, the proportion of monomer units having an alkali-soluble group is not limited as long as the resin itself exhibits appropriate alkali-solubility, but appropriate alkali-solubility that can be realized as a negative resist. In consideration of obtaining a speed (dissolution rate in a 2.38% TMAH developer is 100 Å / s to 10000 Å / s), for example, in the case of a polymer composed of two or more monomers, the monomer unit having an alkali-soluble group The content is preferably in the range of 5 to 95 mol%, more preferably in the range of 30 to 70 mol%. When the monomer unit content is less than 5 mol%, in the case of an alkali-soluble group having a lower acidity than carboxylic acid, satisfactory patterning becomes impossible due to insufficient alkali solubility. On the other hand, if it exceeds 95 mol%, in the case of an alkali-soluble group having a stronger acidity than carboxylic acid, the alkali-solubility is too strong, so that the dissolution rate in the basic aqueous solution is too high and patterning becomes difficult. Therefore, it is desirable to appropriately control the content of such monomer units depending on the acidity of the alkali-soluble group used.
[0032]
More specifically, the alkali-soluble polymer used as the base resin in the resist composition of the present invention is particularly limited as long as it satisfies the above-described conditions, particularly the condition that it has an appropriate alkali dissolution rate. Although it is not possible, when taking into account the dry etching resistance equivalent to that of novolak resist, the weight of acrylate monomer units and methacrylate monomer units having a polycyclic alicyclic hydrocarbon compound in the ester group is considered. Polymers, vinylphenol polymers, N-substituted maleimide polymers, styrene polymers, norbornene polymers and the like are suitable. In particular, acrylate-based, methacrylate-based polymers, and norbornene-based polymers are important in that, when an exposure light source uses deep ultraviolet light, particularly a light source having a wavelength of 250 nm or less, light absorption at that wavelength is small. In other words, in the case where deep ultraviolet light is used as an exposure light source, a structure that generally does not contain an aromatic ring that greatly absorbs light in the deep ultraviolet region or a chromophore having a large molar extinction coefficient such as a conjugated double bond. It is desirable to use a polymer having
[0033]
In particular, when an exposure wavelength in an extremely short wavelength region such as an ArF excimer laser is used as a light source, it is necessary to have dry etching resistance and transparency at the wavelength (193 nm). Therefore, adamantyl having high dry etching resistance as described above. It is recommended to use a polymer having an ester group containing a polycyclic alicyclic hydrocarbon structure represented by a group, a norbornyl group, etc., particularly an acrylate-based, methacrylate-based polymer or norbornene-based polymer. .
[0034]
The molecular weight (weight average molecular weight, Mw) of the acrylate or methacrylate polymer and the other alkali-soluble polymer as described above can be changed in a wide range, but is usually in the range of 2,000 to 1,000,000. And more preferably in the range of 3,000 to 50,000.
The alkali-soluble polymers that can be advantageously used in the practice of the present invention include, but are not limited to, the following polymers. In the formula, l, m, and n are the number of monomer units (repeating units) necessary to obtain the above-described weight average molecular weight, respectively.1 ~ RThree Unless otherwise specified, are arbitrary substituents such as a hydrogen atom, a halogen atom (chlorine, bromine, etc.), a lower alkyl group (methyl group, ethyl group, etc.), a cyano group, etc., which are the same or different. May be.
(1) Acrylate or methacrylate polymer:
[0035]
[Chemical formula 5]
Figure 0004689082
[0036]
In the above structural formula, RFour Represents a weak alkali-soluble group represented by, for example, a lactone ring, and a monomer unit containing the group is not an essential unit as long as the alkali dissolution rate shows an appropriate value as a base resin for a negative resist. RFive Represents an arbitrary substituent such as a hydrogen atom, a halogen atom (chlorine, bromine, etc.), a lower alkyl group (methyl group, ethyl group, etc.), a cyano group, and the like.
[0037]
In addition, as shown in the following formula, a structure having an ester group having a carboxyl group as an alkali-soluble group may be used.
[0038]
[Chemical 6]
Figure 0004689082
[0039]
In the above structural formula, RFour And RFive Are the same as defined above. Rx Can take any structure, but preferably takes a polycyclic alicyclic structure.
(2) A polymer containing a styrene-based unit as shown below as an alkali-soluble group:
[0040]
[Chemical 7]
Figure 0004689082
[0041]
[Chemical 8]
Figure 0004689082
[0042]
In the above structural formula, Ry Represents an arbitrary substituent. Rx Is preferably selected as described above.
(3) A polymer containing a fumaric acid-based unit as shown below as an alkali-soluble group:
[0043]
[Chemical 9]
Figure 0004689082
[0044]
(4) A polymer containing vinyl benzoic acid units as shown below as alkali-soluble groups:
[0045]
[Chemical Formula 10]
Figure 0004689082
[0046]
(5) Polymers containing norbornane units and derivatives thereof as shown below as alkali-soluble groups:
[0047]
Embedded image
Figure 0004689082
[0048]
Embedded image
Figure 0004689082
[0049]
Embedded image
Figure 0004689082
[0050]
Embedded image
Figure 0004689082
[0051]
(6) A polymer containing an itaconic acid unit as shown below as an alkali-soluble group:
[0052]
Embedded image
Figure 0004689082
[0053]
Embedded image
Figure 0004689082
[0054]
(7) Polymers containing maleic acid units as shown below as alkali-soluble groups:
[0055]
Embedded image
Figure 0004689082
[0056]
(8) A polymer containing vinylphenol units as shown below as alkali-soluble groups:
[0057]
Embedded image
Figure 0004689082
[0058]
In addition, as described above, these polymers may be combined with other appropriate monomer units to constitute an arbitrary copolymer (including three or more components).
More specifically, some of the alkali-soluble polymers listed above are as follows.
[0059]
Embedded image
Figure 0004689082
[0060]
Embedded image
Figure 0004689082
[0061]
Embedded image
Figure 0004689082
[0062]
Embedded image
Figure 0004689082
[0063]
Embedded image
Figure 0004689082
[0064]
Embedded image
Figure 0004689082
[0065]
In the above formula, PR represents a substituent as shown below, for example.
[0066]
Embedded image
Figure 0004689082
[0067]
The alkali-soluble polymer described above is merely an example and is not limited to these structures. In the above formula, Ry And RFive Is as described above.
The alkali-soluble polymer used in the present invention can be prepared using a polymerization method generally used in polymer chemistry. For example, a methacrylate polymer can be advantageously prepared by heating a predetermined monomer component in the presence of AIBN (2,2′-azobisisobutyronitrile) as a free radical initiator. Similarly, alkali-soluble polymers other than methacrylate polymers can be advantageously prepared according to conventional methods.
[0068]
In the chemically amplified resist of the present invention, the photoacid generator (PAG) used in combination with the alkali-soluble polymer as described above is a PAG generally used in resist chemistry, that is, ultraviolet rays, far ultraviolet rays, A substance that generates a protonic acid upon irradiation with imaging radiation such as vacuum ultraviolet rays or X-rays can be used. Suitable PAGs are, for example, halogenated organics, sulfonate esters, onium salts and the like. In particular, onium salts are notable because they have a great effect of inducing a condensation reaction. Specific examples of suitable PAGs include, but are not limited to, those listed below.
Halogenated organics:
[0069]
Embedded image
Figure 0004689082
[0070]
Embedded image
Figure 0004689082
[0071]
Embedded image
Figure 0004689082
[0072]
Embedded image
Figure 0004689082
[0073]
Embedded image
Figure 0004689082
[0074]
Embedded image
Figure 0004689082
[0075]
Embedded image
Figure 0004689082
[0076]
Embedded image
Figure 0004689082
[0077]
Embedded image
Figure 0004689082
[0078]
Sulfonic acid ester:
[0079]
Embedded image
Figure 0004689082
[0080]
Embedded image
Figure 0004689082
[0081]
Embedded image
Figure 0004689082
[0082]
Embedded image
Figure 0004689082
[0083]
Embedded image
Figure 0004689082
[0084]
Embedded image
Figure 0004689082
[0085]
Embedded image
Figure 0004689082
[0086]
Embedded image
Figure 0004689082
[0087]
Embedded image
Figure 0004689082
[0088]
Embedded image
Figure 0004689082
[0089]
Embedded image
Figure 0004689082
[0090]
Embedded image
Figure 0004689082
[0091]
Embedded image
Figure 0004689082
[0092]
Embedded image
Figure 0004689082
[0093]
Embedded image
Figure 0004689082
[0094]
Onium salt:
[0095]
Embedded image
Figure 0004689082
[0096]
Embedded image
Figure 0004689082
[0097]
Embedded image
Figure 0004689082
[0098]
Embedded image
Figure 0004689082
[0099]
Embedded image
Figure 0004689082
[0100]
In addition to these PAGs, if necessary, PAGs as disclosed in, for example, JP-A-9-90637 and JP-A-9-73173 may be used.
The PAG as described above can be used in various amounts in the resist composition of the present invention. The amount of PAG used depends on the strength of the acid generated after it is exposed to the exposure light source, but is usually in the range of 1 to 30% by weight. When the amount of PAG used is less than 1% by weight, the amount of acid generated by exposure decreases, so the progress of the condensation reaction decreases and the sensitivity decreases. On the other hand, if the amount of PAG used exceeds 30% by weight, troubles such as precipitation are likely to occur during application of the resist, and the thermal characteristics of the resist may deteriorate. The amount of PAG used is particularly preferably in the range of 2 to 10% by weight considering the balance between sensitivity and resolution. In the resist composition of the present invention, the absorbance at the exposure wavelength is 1.75 μm.-1It is preferable to consider the structure of the polymer and PAG and the amount of PAG used so as to be as follows.
[0101]
In the chemically amplified resist of the present invention, a reactive fat represented by the following formula (I) capable of causing a binding reaction with an alkali-soluble polymer in the presence of an acid catalyst together with the alkali-soluble polymer and PAG described above. Cyclic compounds are used.
[0102]
Embedded image
Figure 0004689082
[0103]
In the above formula, Z represents a plurality of atoms necessary to complete the ring system of the alicyclic compound. Ring system Z is preferably
Cyclohexane structure,
Norbornene structure,
Bicyclo [2,2,2] octane structure,
Perhydroanthracene structure,
Perhydrophenanthrene structure, and
Adamantane structure
And so on. In the alicyclic compound of the present invention, in particular, in such a ring system Z, it is preferable that a portion to which a reactive group described below is bonded is a tertiary carbon atom having a stereochemically fixed structure.
[0104]
R represents a reactive group capable of reacting with and binding to an alkali-soluble polymer. Suitable reactive groups R are, for example, acyloxy groups, alkoxy groups and the like. Suitable acyloxy groups include an ethylcarbonyloxy group, a benzoyloxy group, a cyclohexylcarbonyloxy group (see the following formula) in addition to the acetoxy group described above with reference to the above.
[0105]
Embedded image
Figure 0004689082
[0106]
Further, suitable alkoxy groups include ethoxy group, methoxymethoxy group, ethoxymethoxy group, methoxyethoxy group, ethoxyethoxy group, tetrahydropyranyloxy group, tetrahydrofuranyloxy group in addition to the methoxy group described above with reference to And trimethylsilyloxy group (see the following formula).
[0107]
Embedded image
Figure 0004689082
[0108]
The reactive group R of the alicyclic compound may be contained alone or in combination of two or more depending on the desired level of change in the structure and polarity of the compound. . That is, n in the formula is an integer of 1 to 6. When a plurality of reactive groups are included, these reactive groups may be the same or different from each other.
[0109]
The reactive group R may be directly bonded to the ring system Z, or may be bonded via an appropriate linking group. When a bonding group is interposed between the ring system and the reactive group, effects such as an increase in sensitivity can be obtained. That is, L in the formula represents a linking group that binds the reactive group R to the alicyclic compound, and therefore m is 0 or 1. The linking group L is usually an alkylene group having 1 to 3 carbon atoms, such as a methylene group, but may be other groups as necessary.
[0110]
The reactive alicyclic compound used in the present invention will be described in more detail. The ring system Z to which the reactive group R is bonded is, for example, an alicyclic system having the following adamantane structure or other similar structures. It is.
[0111]
Embedded image
Figure 0004689082
[0112]
By adopting such a ring system as the parent nucleus of an alicyclic compound, for example:
1. Due to the bulky structure, there is a large change in polarity when added to an alkali-soluble polymer;
2. High etch resistance is obtained when used as a resist;
3. Increased reactivity of reactive groups (eg, acyloxy groups, alkoxy groups, etc.);
It is possible to obtain remarkable effects such as.
[0113]
Moreover, although a wide range of alicyclic systems and thus alicyclic compounds can be used in the practice of the present invention, according to the knowledge of the present inventors, a structure in which a reactive group-bonded moiety is stereochemically fixed. When an alicyclic compound having a tertiary carbon atom is used, the most remarkable effect can be obtained. This can be explained, for example, as follows.
[0114]
As described above, when an alkali-soluble group (for example, a phenolic hydroxyl group) of an alkali-soluble polymer and a reactive group of an alicyclic compound are bonded, an ether bond is generated. However, this ether bond, if the alicyclic compound is an alicyclic compound having a tertiary carbon atom having a stereochemically fixed structure, once formed, it is difficult to decompose and return to the phenolic hydroxyl group. .
[0115]
Embedded image
Figure 0004689082
[0116]
In order for the ether bond to be decomposed again to become a phenolic hydroxyl group, as shown by the above formula, it is necessary that the alkyl portion be changed from the pyramid state to a planar state. Here, a primary carbon atom, a secondary carbon atom, or a tertiary carbon atom that is not fixed in terms of stereochemistry, such as a t-butyl group, can be in a planar state freely. In such a case, therefore, the regeneration of the phenolic hydroxyl group by decomposition occurs competitively, and the intended reaction does not proceed as expected.
[0117]
On the other hand, the above-described 1-acetoxyadamantane or the like has a structure that hardly takes a planar state after the reactive group is bonded to the stereochemically fixed tertiary carbon atom as described below. Under such a structure, a phenomenon such as regeneration of a phenolic hydroxyl group by drawing is very difficult to occur.
[0118]
Embedded image
Figure 0004689082
[0119]
Embedded image
Figure 0004689082
[0120]
As an alicyclic compound having adamantane structure as a mother nucleus and a tertiary carbon atom having a structure in which a reactive group-bonded portion is stereochemically fixed, the following alicyclic compounds are also advantageous. Can be used for
[0121]
Embedded image
Figure 0004689082
[0122]
Adamantane-based compounds have good etching resistance when used as a resist due to their high carbon density, and have a large contrast due to a large change in polarity when reacted, and are relatively easily available. It also has the advantage of being possible.
In addition, other similar alicyclic compounds that do not have an adamantane structure but can obtain comparable effects can be obtained (a tertiary carbon atom having a structure in which a reactive group-bonded moiety is stereochemically fixed). Some of them are as follows.
[0123]
Embedded image
Figure 0004689082
[0124]
The resist composition of the present invention is usually prepared by dissolving the above-mentioned alkali-soluble polymer, PAG, reactive alicyclic compound, and any additives used as necessary in a suitable organic solvent. Can advantageously be used in the form. Organic solvents useful for preparing the resist solution include ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, propylene glycol methyl ether acetate, etc. Not. These solvents may be used alone, but two or more kinds of solvents may be mixed and used as necessary. The amount of these solvents used is not particularly limited, but it is preferably used in an amount sufficient to obtain a viscosity suitable for coating such as spin coating and a desired resist film thickness.
[0125]
Further, in the resist solution of the present invention, an auxiliary solvent may be used in addition to the above-described solvent (main solvent) as necessary. The auxiliary solvent is not necessary depending on the solubility of the solute and the coating uniformity of the solution, but usually 1 to 30 with respect to the main solvent when a solute with low solubility is used or when the coating uniformity is not in a desired state. It is preferable to add in the range of wt%, more preferably 10 to 20 wt%. Examples of useful co-solvents include but are not limited to butyl acetate, γ-butyrolactone, propylene glycol methyl ether, and the like. These auxiliary solvents may be used alone, as in the case of the main solvent, or may be used in combination of two or more.
[0126]
The resist composition of the present invention has an absorbance of 1.75 μm at the wavelength of the exposure light source (180 to 300 nm).-1The following is preferable. This is because sufficient patterning characteristics can be obtained in the resist composition having such absorbance.
According to another aspect of the present invention, there is provided a method for forming a negative resist pattern comprising the following steps:
Apply the resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
Developing the exposed resist film with a basic aqueous solution;
In the method of forming a resist pattern, comprising:
[0127]
In the method for forming a resist pattern according to the present invention, the resist film formed on the substrate to be processed is preferably subjected to a heat treatment before and after being subjected to a selective exposure step. That is, in the present invention, it is preferable to subject the resist film to a pre-bake treatment before the exposure, and to a PEB (post-exposure bake treatment) after the exposure and before development. These heat treatments can be advantageously performed according to a conventional method.
[0128]
The formation of the negative resist pattern of the present invention can usually be carried out as follows.
First, the resist composition of the present invention is applied on a substrate to be processed to form a resist film. The substrate to be processed can be a substrate usually used in a semiconductor device or other devices, and examples thereof include a silicon substrate, a glass substrate, and a nonmagnetic ceramic substrate. In addition, an additional layer such as a silicon oxide film layer, a wiring metal layer, an interlayer insulating film layer, a magnetic film, or the like may be present above these substrates as necessary. A circuit or the like may be built in. Furthermore, these substrates may be subjected to a hydrophobic treatment according to a conventional method in order to improve the adhesion of the resist film thereto. Examples of suitable hydrophobizing agents include 1,1,1,3,3,3-hexamethyldisilazane (HMDS).
[0129]
As described above, the resist composition can be applied on the substrate to be processed as a resist solution. As for the application of the resist solution, there are conventional techniques such as spin coating, roll coating, and dip coating, but spin coating is particularly useful. A resist film thickness of about 0.1 to 200 μm is recommended, but in the case of exposure with an excimer laser such as KrF or ArF, a range of 0.1 to 1.5 μm is recommended. The film thickness of the resist to be formed can be widely changed depending on factors such as its use.
[0130]
The resist film coated on the substrate is preferably pre-baked at a temperature of about 60-180 ° C. for about 30-120 seconds before it is selectively exposed with imaging radiation. This pre-baking can be performed using a heating means commonly used in a resist process. Examples of suitable heating means include a hot plate and an infrared heating oven.
[0131]
Next, the resist film after pre-baking is selectively exposed with imaging radiation using a conventional exposure apparatus. Suitable exposure apparatuses are commercially available ultraviolet (far ultraviolet, deep ultraviolet) exposure apparatuses, X-ray exposure apparatuses, electron beam exposure apparatuses, and others. An appropriate exposure condition can be selected each time. In particular, in the present invention, as described above, an excimer laser (a KrF laser with a wavelength of 248 nm, an ArF laser with a wavelength of 193 nm, an F with a wavelength of 157 nm).2 A laser or the like) can be advantageously used as the exposure light source. In addition, in this specification, when the term “radiation” is used, it means radiation from any of these light sources.
[0132]
By subjecting the exposed resist film to PEB (post-exposure bake treatment), an acid-catalyzed alkali-soluble group sealing reaction (insolubilization of the alkali-soluble polymer) is caused. This post-exposure bake can be performed in the same manner as the previous pre-bake as long as the desired sealing reaction occurs sufficiently. For example, the baking temperature is preferably about 60 to 180 ° C, more preferably about 90 to 120 ° C. If the bake temperature is too low, the effect of inducing a catalytic reaction by an acid is reduced, so that good sensitivity cannot be obtained. On the other hand, if the baking temperature is too high, there arises a problem that a desired pattern size cannot be obtained due to an abnormal increase in the reaction amount. Further, the post-exposure baking time can also be changed within a wide range, but usually 1 is preferably in the range of about 30 to 120 seconds. Of course, the post-exposure baking temperature and time may be appropriately adjusted according to the desired pattern size and shape.
[0133]
After PEB, the resist film is developed with a basic aqueous solution as a developer. For this development, a conventional developing device such as a spin developer, a dip developer, or a spray developer can be used. The basic aqueous solution used as the developer here contains an aqueous solution of a metal hydroxide belonging to Groups I and II of the periodic table represented by potassium hydroxide and the like, and a metal ion such as tetraalkylammonium hydroxide. An aqueous solution of an organic base that is not used is mentioned, but an aqueous solution of tetramethylammonium hydroxide (TMAH) is more preferable. In order to improve the developing effect, an additive such as a surfactant may be added to the developer. As a result of the development, the unexposed area of the resist film is dissolved and removed, and only the exposed area remains on the substrate as a negative resist pattern.
[0134]
The present invention also resides in a method for producing an electronic device using the negative resist composition of the present invention and an electronic device produced thereby. Here, the electronic device means a wide range of electronic equipment including a semiconductor device and a magnetic recording head, and is not limited to equipment having a specific structure. Examples of suitable electronic devices are not limited to those listed below, but display devices such as integrated circuit devices, magnetic sensors (eg, magnetic disk heads), LCDs, PDPs, organic ELs, inorganic ELs, etc. And functional devices such as SAW (Surface Acoustic Wave) filters. Further, the negative resist composition used here includes various negative resist compositions of the present invention described above from various aspects, without needing further explanation.
[0135]
The method for producing an electronic device of the present invention uses a resist pattern derived from the negative resist composition of the present invention as a masking means, and selectively removes the underlying substrate or thin film to provide a predetermined functionality. The method includes a step of forming an element layer. For selective removal of the substrate to be processed, an etching method is preferably used.
[0136]
Here, the underlying substrate or thin film that is selectively removed by etching is also collectively referred to as a “substrate to be processed” as mentioned earlier in the resist pattern formation. That is, the substrate to be processed means all substrates or thin films that are subjected to etching in the manufacture of electronic devices such as semiconductor devices and magnetic recording heads. Examples of suitable substrates to be processed are not limited to those listed below, but semiconductor substrates such as silicon substrates GaAs substrates, compound semiconductors, alumina (Al2 OThree In addition to insulating crystal substrates such as), there are various thin films as follows.
[0137]
PSG, TEOS, SiON, TiN, amorphous carbon, metal silicide such as Al-Si, Al-Si-Cu, WSi, polysilicon (Poly-Si), amorphous silicon, SiO2 , GaAs, TiW, etc.
Furthermore, a (giant) magnetoresistive effect film containing Cu, Co, FeMn, NiFe, LaSrMnO or the like is also included in the category of the substrate to be processed.
[0138]
Further, according to the method for manufacturing an electronic device of the present invention, the substrate to be processed remains in a patterned layer state, and such a patterned layer has a predetermined effect in the electronic device including the patterned layer. Therefore, in this specification, it is particularly referred to as a “functional element layer”.
The method for producing an electronic device according to the present invention preferably comprises the following steps:
Apply the negative resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form a predetermined functional element layer;
Can be implemented according to
[0139]
As described above, the imaging radiation used in the resist film exposure process means all light sources used in the resist process in the manufacture of semiconductor devices and the like, specifically, g-line and i-line. Mercury lamps such as KrF and ArF, excimer lasers, electron beams, and X-rays.
Further, according to the present invention, the resist pattern derived from the negative resist composition of the present invention is used as a masking means, and is patterned by selectively removing the substrate to be processed. There is also provided an electronic device characterized in that the layer (functional element layer) is provided at an arbitrary position.
[0140]
Subsequently, the electronic device and the manufacturing method thereof according to the present invention will be described by taking a semiconductor device and a magnetic recording head as examples.
The method for manufacturing a semiconductor device according to the present invention preferably comprises the following steps:
Apply the resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, removing the substrate to be processed underneath by etching,
Can be implemented according to
[0141]
In this semiconductor device manufacturing method, the resist film forming step, the selective exposure step using radiation, and the resist pattern forming step can be advantageously performed according to the resist pattern forming method of the present invention described above. it can.
The subsequent resist pattern etching process can be performed by wet etching or dry etching according to conventional techniques. However, in view of further progress in miniaturization and pollution-free in recent years, it may be performed by dry etching. It is advantageous. As is well known, dry etching is for etching a substrate to be processed in a gas phase, and suitable dry etching is, for example, plasma etching, for example, reactive ion etching (RIE), reactive ion beam etching ( RIBE), ion beam etching and the like. These dry etchings can be performed under predetermined conditions using a commercially available etching apparatus.
[0142]
Although the resist pattern formed by the method of the present invention can be advantageously used as a masking means for selectively etching away the substrate to be processed as described above, the resist pattern has characteristics such as characteristics. Can be used as one functional element layer of the semiconductor device, for example, as an insulating film itself.
[0143]
Here, “semiconductor device”, when used in this specification, refers to a general semiconductor device and is not particularly limited. A typical semiconductor device is a general semiconductor integrated circuit such as an IC, LSI, VLSI, or other related device, as is generally recognized in this technical field.
More specifically, according to the present invention, a MOS transistor which is a typical example of a semiconductor device can be manufactured as follows, for example.
[0144]
First, on a silicon substrate, a gate oxide film, a polysilicon film, and a WSi film necessary for the transistor configuration are sequentially formed as thin films. For forming these thin films, conventional thin film forming methods such as thermal oxidation and chemical vapor deposition (CVD) can be used.
Next, the resist composition of the present invention is applied on the WSi film to form a resist film having a predetermined thickness. The resist film is selectively exposed to radiation suitable for patterning, and further developed with a basic aqueous solution in order to dissolve and remove the exposed portion. More specifically, the series of steps so far can be performed as described above with respect to the formation of the resist pattern.
[0145]
In order to form the gate electrode structure, the underlying WSi film and the underlying polysilicon film are simultaneously dry-etched using the resist pattern formed as described above as a mask. Then, after forming a gate electrode made of a polysilicon film and a WSi film in this way, phosphorus is implanted by ion implantation to form an ND LDD structure.- A diffusion layer is formed.
[0146]
Subsequently, after peeling off and removing the resist pattern used in the previous step from the gate electrode, an oxide film is formed on the entire surface of the substrate by CVD, and the formed CVD oxide film is further anisotropically etched. Then, side walls are formed on the side walls of the gate electrode made of the polysilicon film and the WSi film. Subsequently, ion implantation is performed using the WSi film and the sidewalls as a mask.+ A diffusion layer is formed and the gate electrode is covered with a thermal oxide film.
[0147]
Finally, an interlayer insulating film is entirely formed on the uppermost layer of the substrate by CVD, and the resist composition of the present invention is applied again and selectively etched to form a hole pattern (resist pattern) in the wiring formation portion. To do. Further, using the resist pattern as a mask, the underlying interlayer insulating film is etched to form contact holes. Next, an aluminum (Al) wiring is embedded in the formed contact hole. In this way, an N-channel fine MOS transistor is completed.
[0148]
In addition to the semiconductor device as described above, the present invention includes a magnetic recording head as one form of an electronic device. That is, by performing a resist process using the negative resist composition of the present invention, a high performance thin film magnetic recording head can be provided. The magnetic recording head can be advantageously used in a magnetic recording / reproducing apparatus such as a magnetic disk device or a magnetic tape device.
[0149]
The method for manufacturing a magnetic recording head according to the present invention preferably comprises the following steps:
Apply the resist composition of the present invention on the substrate to be treated,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and removing the substrate to be processed by etching to form a functional element layer;
Can be implemented according to
[0150]
The magnetic recording head will be described. As a magnetic recording / reproducing apparatus such as a magnetic disk apparatus has recently been reduced in size and increased in recording density, a change in a signal magnetic field from a magnetic recording medium can be used as a reproducing head of such an apparatus. A head (so-called MR head) using a magnetoresistive effect capable of converting the above into a change in electric resistivity is widely used. Among MR heads, GMR heads that can obtain high output without depending on the moving speed of the magnetic recording medium have attracted attention. In particular, a spin valve head using the spin valve magnetoresistive effect can be manufactured relatively easily, and the rate of change of electric resistance in a low magnetic field is large compared to other MR heads, so that it is already in practical use. It has become. The negative resist composition of the present invention can be advantageously used to finely pattern the functional elements constituting the head with a thin film in the production of these various thin film magnetic heads.
[0151]
Also, as is well known, a spin valve head is usually electrically connected to a magnetoresistive film (spin valve film) and a spin valve film to define a signal detection region and detect this signal. A pair of electrodes for supplying a signal detection current to the region and a pair of longitudinal bias magnetic field application layers for applying a longitudinal bias magnetic field to the spin valve film are provided. The longitudinal bias magnetic field application layer is usually formed of a hard magnetic thin film such as CoPt or CoPtCr. In this way, by arranging the longitudinal bias magnetic field application layer made of a hard magnetic thin film at a portion other than the magnetic sensing portion (signal detection region) of the spin valve head so that it is located on both sides or above the spin valve film. Thus, Barkhausen noise caused by the domain wall motion of the free magnetic layer of the spin valve film can be suppressed, and a stable reproduction waveform free from noise can be obtained.
[0152]
Further, the spin valve film usually has a configuration in which a free magnetic layer, a nonmagnetic intermediate layer, a pinned magnetic layer, and an ordered antiferromagnetic layer are sequentially laminated on an underlayer. By adopting such a layer configuration, the electrical resistance can be set as desired by adjusting the angle formed by the magnetization directions of the two magnetic layers (free magnetic layer and pinned magnetic layer) laminated via the nonmagnetic intermediate layer. Can be changed.
[0153]
More specifically, the spin valve film is usually formed on an AlTiC substrate, that is, a substrate in which an alumina film is formed on the surface of a TiC substrate. A Ta film or the like is used for the lowermost underlayer. This is because the Ta film has an effect of imparting good crystallinity to the free magnetic layer. The Ta film and other underlayers can usually be formed using a conventional film forming method such as a sputtering method, a vapor deposition method, or a chemical vapor deposition method (CVD method).
[0154]
The free magnetic layer can be formed of any soft magnetic material. For example, a CoFe alloy generally used for forming the free magnetic layer may be used. Although not limited to this, it preferably has a face-centered cubic lattice structure (Coy Fe100-y )100-x Zx A free magnetic layer is formed from an alloy (wherein Z represents an arbitrary element other than Co and Fe, preferably boron B or carbon C, and x and y each represents an atomic fraction at%). Is preferred. This is because a head with high output, high magnetic field sensitivity, and heat resistance can be provided. The free magnetic layer is preferably formed in a two-layer structure from the viewpoint of the characteristics to be obtained, rather than a single layer. The free magnetic layer can also be usually formed using a conventional film forming method such as a sputtering method.
[0155]
The spin valve film preferably employs a structure in which a nonmagnetic intermediate layer is sandwiched between a free magnetic layer and a pinned magnetic layer described later. As the nonmagnetic intermediate layer, a nonmagnetic metal material such as copper (Cu) is usually used. The Cu intermediate layer can also be formed using a conventional film forming method such as a sputtering method.
The pinned magnetic layer can be formed of any soft magnetic material as in the case of the free magnetic layer. That is, a CoFe alloy may be used to form a pinned magnetic layer, but preferably has a face-centered cubic lattice structure (Coy Fe100-y )100-x Zx A pinned magnetic layer is formed from an alloy (wherein Z represents any element other than Co and Fe, preferably boron B or carbon C, and x and y each represent an atomic fraction at%). Is preferred. This is because a head with high output, high magnetic field sensitivity, and heat resistance can be provided. The pinned magnetic layer can also be usually formed using a conventional film forming method such as a sputtering method.
[0156]
An ordered antiferromagnetic layer is formed on the pinned magnetic layer. This antiferromagnetic layer can usually be formed of a FeMn film, a NiMn film, a PtMn film, a PdMn film, a PdPtMn film, a CrMn film, an IrMn film, or the like. This antiferromagnetic layer can also be formed using a conventional film forming method such as a sputtering method, in the same manner as the above layer.
[0157]
In addition, the spin valve film usually has a cap layer as its uppermost layer. The cap layer can be formed from, for example, a Ta film. The cap layer can also be formed using a conventional film forming method, as with each of the layers described above.
Spin valve heads can be manufactured according to various conventional techniques. In particular, in the present invention, a resist process using the negative resist composition of the present invention is incorporated at any stage during the manufacture of the head, and the functional element layer as described above is accurately and finely formed in a desired pattern. Can be formed. Below, an example of the manufacturing method of a spin valve head is shown.
[0158]
First, Ta is deposited on an AlTiC substrate by a sputtering method to form a Ta underlayer. Next, the following layers are sequentially formed on the Ta underlayer other than the magnetic sensing portion of the signal detection region by using a technique such as a lift-off method or an ion milling method through an electrode made of Au or the like.
Underlayer (Ta / NiFe alloy film, NiFe alloy: NiFe, NiFeCr, NiFeNb, NiFeMo, etc.),
Longitudinal bias magnetic field application layer (film of antiferromagnetic material such as PtMn, PdPtMn, NiMn, CrMn, CrPtMn),
Underlayer (NiFe alloy film).
[0159]
Next, the outermost surface of the Ta-based underlayer and NiFe-based underlayer are cleaned to such an extent that contaminants (so-called contamination layers) existing on the surface are completely removed by using a technique such as sputter etching or ion milling. To do.
After completion of the cleaning process, a free magnetic layer, a nonmagnetic intermediate layer, a pinned magnetic layer, and an ordered antiferromagnetic layer are sequentially formed to complete a spin valve film. Each layer is formed by sputtering, vapor deposition, CVD, or the like.
[0160]
Further, in order to obtain a spin valve film in a desired pattern, a spin valve film is formed on the entire longitudinal bias magnetic field application layer, and then a predetermined pattern using the negative resist composition of the present invention is used. A resist film is formed, and the spin valve film in a region other than the desired region is removed by ion milling or the like.
After the formation of the spin valve film, a pair of electrodes is formed on the spin valve film at a portion other than the magnetic sensing portion of the signal detection region. The electrode can be preferably formed by lifting off the Au film. Further, the electrode material is not limited to Au, and other conventional electrode materials may be used as necessary.
[0161]
【Example】
The following examples are for explaining the preparation of the negative resist composition of the present invention, the formation of a resist pattern using the obtained resist composition, and the production of an electronic device. It should be understood that the following examples are merely examples and do not limit the scope of the present invention. For example, in addition to the following MOS transistors and magnetic heads, various devices including a functional device such as a SAW filter and a display device such as an LCD can be advantageously manufactured as electronic devices.
Example 1
Preparation of resist solution
As shown in Table 1 below, resist solutions of the inventive examples were prepared with various compositions.
[0162]
  The base resin used as a raw material is
1. Polyvinylphenol (weight average molecular weight = 12,000, dispersity = 2.0)
2. MekakuriLuric acidTakuriMethyl luateCopolymer (weight average molecular weight = 10,000, dispersity = 2.3, copolymerization ratio = 35: 65)
There are two types.
[0163]
The alicyclic compound is any one of the following (1) to (4):
[0164]
Embedded image
Figure 0004689082
[0165]
As a mother nucleus, the reactive group bonded thereto is any one of (a) an acetoxy group, (b) an ethoxyethoxy group, and (c) a tetrahydropyranyloxy group, as described below:
[0166]
Embedded image
Figure 0004689082
[0167]
It is a compound which is.
Further, the photoacid generator (PAG) is the following PAG-1 or PAG-2.
[0168]
Embedded image
Figure 0004689082
[0169]
In common with the preparation of each resist solution, a base resin, an alicyclic compound and a photoacid generator (PAG) are dissolved in ethyl lactate (EL) at a weight ratio = 10: 2: 1 and 15% by mass ( wt%) solution. This solution also contained 10 wt% γ-butyrolactone as an auxiliary solvent. The obtained solution was filtered through a 0.2 μm Teflon membrane filter to obtain a resist solution.
[0170]
For comparison, three types of conventional resist solutions were also used as shown in Table 1 below. “Melamine” refers to methoxymethylol melamine. “Pinacol” is a substance represented by the following formula.
[0171]
Embedded image
Figure 0004689082
[0172]
Example 2
Formation of resist pattern
Each resist solution prepared in Example 1 was spin-coated at a film thickness of 0.8 μm on a HMDS-treated silicon substrate and pre-baked at 110 ° C. for 2 minutes. The obtained resist film
i-line stepper,
KrF excimer laser stepper (NA = 0.45) or
Electron beam exposure equipment,
Were exposed with different exposure patterns (i-line 0.4 μm L / S, KrF excimer laser 0.25 μm L / S, electron beam 0.2 μm L / S). The applied exposure amounts are summarized in Table 1 below. After exposure, after exposure bake at 110 ° C. for 2 minutes, the exposed resist film was developed with 2.38% tetramethylammonium hydroxide (TMAH) developer for 30 seconds, and further with deionized water. Rinse. When the resolution of the obtained resist pattern was evaluated according to the following evaluation criteria, the evaluation results described in Table 1 below were obtained.
[Resolution evaluation criteria]
A: The cross-sectional shape is rectangular. The difference between the pattern top dimension and the pattern bottom dimension is less than 0.5% of the exposure pattern dimension.
○: The cross-sectional shape is rectangular. The difference between the pattern top dimension and the pattern bottom dimension is 0.5% to less than 1% of the exposure pattern dimension.
Δ: The cross-sectional shape is almost rectangular. The difference between the dimension of the pattern top and the dimension of the pattern bottom is 1% to less than 5% of the dimension of the exposure pattern.
X: The cross-sectional shape is slightly tapered. The difference between the pattern top dimension and the pattern bottom dimension is at least 5% of the exposure pattern dimension.
[0173]
[Table 1]
Figure 0004689082
[0174]
From the results shown in Table 1 above, the resist according to the example of the present invention not only has higher sensitivity than the conventional resist, but also has very good resolution.
Example 3
Manufacture of MOS transistors
As shown in step (1A) of FIG. 1, a gate oxide film 2 was formed on the surface of a silicon substrate 1, and a polysilicon film (Poly-Si film) 3 was formed thereon by a CVD method. After the formation of the Poly-Si film 3, n-type impurities such as phosphorus were implanted to reduce the resistance. Thereafter, the WSi film 4 was formed by a sputtering method (CVD method or the like).
[0175]
Next, in order to pattern the Poly-Si film 3 and the WSi film 4 as shown in step (1B), the negative resist composition of the present invention is applied over the entire surface of the WSi film 4 formed in the previous step. did. After the resist film 5 was pre-baked, exposure was performed with a KrF excimer exposure apparatus, and then post-exposure baking (PEB) was performed. A resist pattern having a width of 0.25 μm was obtained by alkali development. Using this resist pattern as a mask, the WSi film 4 and the Poly-Si film 3 were sequentially etched by anisotropic etching. A gate electrode composed of the Poly-Si film 3 and the WSi film 4 was obtained. Thereafter, phosphorus is implanted by ion implantation to form ND of the LDD structure.- A diffusion layer 6 was formed. After the pattern shown in the step (1B) was obtained, the resist film 5 was removed with a stripping solution.
[0176]
Following the formation of the gate electrode, as shown in step (1C), an oxide film 7 was formed on the entire surface by the CVD method.
Next, as shown in step (1D) of FIG. 2, the oxide film 7 was anisotropically etched to form a gate electrode side wall 8 composed of the WSi film 4 and the Poly-Si film 3. Next, ion implantation is performed using the WSi film 4 and the sidewalls 8 as a mask, and N+ A diffusion layer 9 was formed.
[0177]
Then N+ In order to activate the diffusion layer 9, heat treatment was performed in a nitrogen atmosphere, and further, heating was performed in an oxygen atmosphere. As shown in step (1E), the gate electrode was covered with the thermal oxide film 10.
Subsequently, as shown in step (1F), an interlayer insulating film 11 was formed by a CVD method, and the interlayer insulating film 11 was patterned again using the negative resist composition of the present invention. After completely applying the resist composition of the present invention on the interlayer insulating film 11, a resist film (not shown) is pre-baked, exposed with an ArF excimer exposure apparatus, and further subjected to post-exposure baking (PEB). It was. A hole resist pattern having a width of 0.20 μm was obtained by alkali development. Contact holes were formed in the interlayer insulating film 11 by anisotropic etching using this resist pattern as a mask. Aluminum (Al) wiring was formed in the contact hole. As shown, an N-channel fine MOS transistor 20 was completed.
Example 4
Manufacture of thin film magnetic head
As shown in step (2A) of FIG. 3, a shield film 22 made of FeN and a gap insulating film 23 made of a silicon oxide film are sequentially laminated on an AlTiC substrate 21, and a magnetoresistive effect having a film thickness of 400 nm is formed thereon. The film 24 was formed from FeNi by sputtering. A general-purpose PMGI resist (manufactured by Microlithography Chemical Co., USA) was applied on the magnetoresistive effect film 24 to form a lower resist film 25, and the negative resist composition of the present invention was further applied thereon. An upper resist film 26 was formed.
[0178]
After forming the upper layer and lower layer resist films as described above, the upper layer resist film 26 was pre-baked, exposed with a KrF excimer exposure apparatus, and further subjected to post-exposure baking (PEB). A resist pattern having a width of 0.25 μm was obtained by alkali development. At the same time as the alkali development, the lower resist film 25 existing as a base was developed isotropically to complete the undercut shape as shown in the step (2B).
[0179]
Next, as shown in step (2C), the underlying magnetoresistive film 24 was etched into a tapered shape by ion milling using the obtained resist pattern as a mask.
Next, as shown in step (2D) of FIG. 4, a TiW film 27 was formed on the entire surface to be processed by a sputtering method. The film thickness of the TiW film 27 was 800 nm.
[0180]
After the formation of the TiW film 27 was completed, a lift-off method was performed to remove the lower resist film 25, the upper resist film 26 and the TiW film 27 thereon. As shown in step (2E), the TiW film 27 was exposed.
Thereafter, although not shown, the magnetoresistive film 24 and the TiW film 27 were patterned using the negative resist composition of the present invention according to the same method as described above. As shown in the step (2F), the electrode 28 and the MR element 29 were completed.
[0181]
Subsequently, as shown in the step (2G) of FIG.2 A gap insulating film 31 made of a film was formed.
Next, as shown in the step (2H), following the formation of the gap insulating film 31, a shield film 32 made of a FeNi film with a film thickness of 3.5 μm and an Al film with a film thickness of 0.5 μm are formed on the entire surface.2 OThree A gap layer 33 made of a film was sequentially formed, and an FeNi film 34 having a thickness of 3 μm was further formed thereon. Thereafter, in order to pattern the FeNi film 34 to form a write magnetic pole, the negative resist composition of the present invention was applied to the entire surface of the FeNi film 34 to form a resist film 36.
[0182]
Finally, the resist film formed on the FeNi film 34 as described above was pre-baked, exposed with a KrF excimer exposure apparatus, and further subjected to post-exposure baking (PEB). By alkali development, a fine resist pattern having an opening at the write magnetic pole portion was obtained. The FeNi film was etched by isotropic etching using this resist pattern as a mask. As shown in step (2I), the thin film magnetic head 40 provided with the write magnetic pole 35 was completed.
[0183]
By the way, as can be understood from the above description, in the case of a resist material using a resin such as a conventional novolak having a phenol ring, KrF (krypton fluoride) excimer laser light (248 nm) and at most 210 nm can be obtained. There is a limit to having optical transparency. Therefore, according to the resist material of the present invention, the true value of the present invention can be exhibited from the viewpoint of good light transmittance when exposed using light having a shorter wavelength.
[0184]
In the first place, the reason why negative resists are now in the spotlight is that development is awaited. In order to prolong the life of light exposure, the wavelength is shortened to avoid exposure methods with low throughput such as electron beam exposure. At the same time, it is easy to use when combined with a phase shift mask which is one of the so-called “super-resolution techniques”, and therefore the pattern design of the phase shift mask itself is easy. Therefore, on the other hand, the present invention, when combined with a phase shift mask, has an incidental effect in that it is easy to design a mask pattern and is suitable for forming a fine pattern by light exposure. You will get
[0185]
The present invention has been described in detail above. For further understanding of the present invention, preferred embodiments of the present invention will be described as follows.
(Supplementary Note 1) A binding reaction occurs with the base resin in the presence of the base resin, a photoacid generator capable of releasing an acid upon reception of imaging radiation, and an acid catalyst derived from the photoacid generator. A reactive alicyclic compound represented by the following formula (I):
[0186]
Embedded image
Figure 0004689082
[0187]
(In the above formula,
Z represents a plurality of atoms necessary to complete the ring system of the alicyclic compound,
R represents a reactive group capable of reacting with and binding to the base resin, and when a plurality of reactive groups are included, they may be the same or different from each other;
L represents a linking group that binds the reactive group R to the alicyclic compound;
m is 0 or 1, and
n is an integer of 1 to 6) in combination, and a negative resist composition.
[0188]
(Supplementary note 2) The negative resist composition according to supplementary note 1, wherein the reactive group is an acyloxy group.
(Supplementary note 3) The negative resist composition according to Supplementary note 2, wherein the acyloxy group is an acetoxy group, an ethylcarbonyloxy group, a benzoyloxy group, or a cyclohexylcarbonyloxy group.
[0189]
  (Additional remark 4) The said reactive group is an alkoxy group, Additional remark1The negative resist composition as described.
  (Supplementary Note 5) The alkoxy group is selected from the group consisting of a methoxy group, an ethoxy group, a methoxymethoxy group, an ethoxymethoxy group, a methoxyethoxy group, an ethoxyethoxy group, a tetrahydropyranyloxy group, a tetrahydrofuranyloxy group, and a trimethylsilyloxy group. 5. The negative resist composition as set forth in appendix 4, wherein the negative resist composition is a member.
[0190]
(Appendix 6) The ring system of the alicyclic compound is
Cyclohexane structure,
Norbornene structure,
Bicyclo [2,2,2] octane structure,
Perhydroanthracene structure,
Perhydrophenanthrene structure, and
Adamantane structure
The negative resist composition according to any one of appendices 1 to 5, wherein the negative resist composition is any one of the following.
[0191]
(Additional remark 7) In the said alicyclic compound, the part which the said reactive group couple | bonded is a tertiary carbon atom of the structure fixed stereochemically, Any 1 of Additional remark 1-6 characterized by the above-mentioned. Negative resist composition as described in the item.
(Appendix 8) The base resin is a film-forming polymer that is soluble in a basic aqueous solution and has an alkali-soluble group. When the base resin absorbs and decomposes imaging radiation, the reactive group becomes the alkali-soluble group. Supplementary note 1 characterized in that it is used in combination with a photoacid generator capable of generating an acid capable of reacting with acid, and is itself soluble in a basic aqueous solution, and the exposed area becomes insoluble in alkali after exposure. The negative resist composition of any one of -7.
[0192]
(Supplementary Note 9) The alkali-soluble group includes a phenol group, a carboxyl group, an N-hydroxyamide group, an oxime group, an imide group, a 1,1,1,3,3,3-hexafluorocarbinol group, and a sulfonic acid group. The negative resist composition according to appendix 8, wherein the negative resist composition is selected from the group consisting of:
(Supplementary Note 10) The film-forming polymer is formed by polymerization of a monomer selected from the group consisting of acrylic acid, methacrylic acid, itaconic acid, vinyl benzoic acid and norbornene, vinylphenol, styrene, and derivatives thereof. The negative resist composition according to appendix 8 or 9, characterized in that the negative resist composition.
[0193]
(Appendix 11) Any one of Appendices 8 to 10, wherein the film-forming polymer further comprises a weak alkali-soluble group selected from the group consisting of a lactone ring, an imide ring and an acid anhydride. Negative resist composition as described in the item.
(Additional remark 12) The negative resist composition of any one of Additional remarks 8-11 characterized by the said film-forming polymer containing a polycyclic alicyclic hydrocarbon part.
[0194]
(Supplementary note 13) The supplementary note 12, wherein the polycyclic alicyclic hydrocarbon moiety includes a member selected from the group consisting of an adamantyl group, a norbornyl group, and a bicyclo [2.2.2] octyl group. A negative resist composition as described in 1. above.
(Supplementary note 14) Absorbance at the wavelength of the exposure light source is 1.75 μm-1The negative resist composition according to any one of appendices 1 to 13, wherein the negative resist composition is:
[0195]
(Supplementary Note 15) A solvent selected from the group consisting of ethyl lactate, methyl amyl ketone, methyl-3-methoxypropionate, ethyl-3-ethoxypropionate, and propylene glycol methyl ether acetate is contained alone or in combination. The negative resist composition according to any one of appendices 1 to 14, characterized in that:
[0196]
(Additional remark 16) The negative resist composition of Additional remark 15 characterized by including further the solvent chosen from the group which consists of butyl acetate, (gamma) -butyrolactone, and propylene glycol methyl ether as an additional solvent.
(Supplementary note 17) The following steps:
The negative resist composition according to any one of appendices 1 to 16 is applied on a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing degradation of the photoacid generator of the resist composition; and
Developing the exposed resist film with a basic aqueous solution;
A method of forming a resist pattern, comprising:
[0197]
(Additional remark 18) Using the resist pattern formed from the negative resist composition of any one of Additional remarks 1-16 as a masking means, the substrate to be processed is selectively removed and predetermined. A method for producing an electronic device, comprising the step of forming a functional element layer.
(Supplementary note 19) The following steps:
The negative resist composition is applied onto a substrate to be processed,
Selectively exposing the formed resist film with imaging radiation capable of inducing decomposition of the photoacid generator of the resist composition;
The resist film after exposure is developed with a basic aqueous solution to form a resist pattern, and
Using the resist pattern as a masking means, and selectively removing the substrate to be processed by etching to form the functional element layer;
Item 19. The method for manufacturing an electronic device according to Item 18, wherein the method includes:
[0198]
(Additional remark 20) After forming the negative resist composition of any one of Additional remarks 1-16 on a substrate to be processed,
Selectively exposing the formed resist film with exposure light having a wavelength of 210 nm or less so as to induce decomposition of the photoacid generator of the resist composition;
Developing the resist film after the exposure with a basic aqueous solution;
A method for forming a resist pattern having
[0199]
(Additional remark 21) The said exposure is performed using a phase shift mask, The formation method of the resist pattern of Additional remark 20 characterized by the above-mentioned.
[0200]
【The invention's effect】
When the resist composition according to the present invention is used, it is possible to form a fine negative resist pattern having very high sensitivity and excellent resolution without swelling.
Further, when the alkali-soluble polymer of the resist composition is in the form of a ternary copolymer and the first monomer unit contains a strong alkali-soluble group and the second monomer unit contains a weak alkali-soluble group, Alkali solubility can be easily controlled, and by adjusting the alicyclic compound to be used in combination, intermolecular or intramolecular esterification or etherification reaction can be controlled. Contrast and resolution can be easily obtained.
[0201]
Furthermore, according to the present invention, when the negative resist composition of the present invention is used, a fine functional element layer contained in a device can be accurately and with high yield, so that a semiconductor device, a magnetic recording head, etc. Electronic devices can be advantageously manufactured.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view sequentially showing the first half of a process for manufacturing a MOS transistor according to the present invention.
2 is a cross-sectional view sequentially showing the second half of the manufacturing process of the MOS transistor shown in FIG. 1; FIG.
FIG. 3 is a cross-sectional view sequentially showing the first stage of a manufacturing process of a thin film magnetic head according to the present invention.
4 is a cross-sectional view sequentially showing intermediate stages of the manufacturing process of the thin film magnetic head shown in FIG. 3;
5 is a cross-sectional view sequentially showing the last stage of the manufacturing process of the thin film magnetic head shown in FIG. 3; FIG.
[Explanation of symbols]
1 ... Silicon substrate
2 ... Gate electrode
3 ... Polysilicon film
4 ... WSi film
5 ... Resist film
6 ... N- Diffusion layer
7 ... CVD oxide film
8 ... Sidewall
9 ... N+ Diffusion layer
10 ... Thermal oxide film
11 ... Interlayer insulating film
12 ... Wiring
20 ... MOS transistor
21 ... Board
22 ... Shielding film
23. Gap insulating layer
24. Magnetoresistive (MR) effect film
25. Lower resist film
26. Upper resist film
27 ... TiW film
28 ... Electrodes
29. MR element
31. Gap insulating layer
32 ... Shielding film
33 ... Gap layer
34 ... FeNe film
35 ... Light magnetic pole
40. Thin film magnetic head

Claims (1)

基材樹脂と、結像用放射線の受理によって酸を放出可能な光酸発生剤と、前記光酸発生剤に由来する酸触媒の存在において前記基材樹脂と結合反応を生じることが可能な、次式(I)によって表される反応性脂環式化合物:
Figure 0004689082
(上式において、
Zは、脂環式化合物の環系を完成するのに必要な複数個の原子を表し、前記脂環式化合物の環系は、シクロヘキサン構造、ノルボルネン構造、ビシクロ〔2,2,2〕オクタン構造、パーヒドロアントラセン構造、パーヒドロフェナントレン構造、及びアダマンタン構造のいずれかであり、
Rは、前記基材樹脂と反応してそれに結合可能な、アセトキシ基、エトキシエトキシ基、テトラヒドロピラニルオキシ基のいずれかである反応性基を表し、かつ、複数の反応性基が含まれる場合には、互いに同一もしくは異なっていてもよく、
Lは、反応性基Rを脂環式化合物に結合させる結合基を表し、
mは、0もしくは1であり、そして
nは、1〜6の整数である)とを組み合わせて含むことを特徴とするネガ型レジスト組成物。
It is possible to cause a binding reaction with the base resin in the presence of a base resin, a photoacid generator capable of releasing an acid by accepting imaging radiation, and an acid catalyst derived from the photoacid generator. Reactive alicyclic compounds represented by the following formula (I):
Figure 0004689082
(In the above formula,
Z represents a plurality of atoms necessary to complete the ring system of the alicyclic compound, and the ring system of the alicyclic compound includes a cyclohexane structure, a norbornene structure, and a bicyclo [2,2,2] octane structure. , A perhydroanthracene structure, a perhydrophenanthrene structure, or an adamantane structure,
R represents a reactive group that is one of an acetoxy group, an ethoxyethoxy group, and a tetrahydropyranyloxy group that can react with and bond to the base resin, and includes a plurality of reactive groups May be the same or different from each other,
L represents a linking group that binds the reactive group R to the alicyclic compound;
m is 0 or 1, and n is an integer of 1 to 6) in combination.
JP2001171431A 2001-06-06 2001-06-06 Negative resist composition Expired - Fee Related JP4689082B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001171431A JP4689082B2 (en) 2001-06-06 2001-06-06 Negative resist composition

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001171431A JP4689082B2 (en) 2001-06-06 2001-06-06 Negative resist composition

Publications (2)

Publication Number Publication Date
JP2002365801A JP2002365801A (en) 2002-12-18
JP4689082B2 true JP4689082B2 (en) 2011-05-25

Family

ID=19013199

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001171431A Expired - Fee Related JP4689082B2 (en) 2001-06-06 2001-06-06 Negative resist composition

Country Status (1)

Country Link
JP (1) JP4689082B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4616040B2 (en) * 2005-03-03 2011-01-19 富士通株式会社 Resist cover film forming material, resist pattern forming method, electronic device and manufacturing method thereof
JP4739150B2 (en) * 2006-08-30 2011-08-03 富士通株式会社 Resist cover film forming material, resist pattern forming method, electronic device and manufacturing method thereof
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216556A (en) * 1990-12-18 1992-08-06 Hitachi Ltd Noncrosslinking radiation-sensitive composition and method of forming pattern using the same
JPH05197149A (en) * 1991-04-20 1993-08-06 Hoechst Ag Negative-emission sensitive mixture and emission-sensitive recording material manufactured by using this mixture
JPH08211598A (en) * 1995-02-06 1996-08-20 Japan Synthetic Rubber Co Ltd Radiation-sensitive resin composition
JPH11133606A (en) * 1997-10-30 1999-05-21 Hitachi Ltd Pattern forming material and formation of pattern using the same
JPH11149159A (en) * 1997-11-17 1999-06-02 Hitachi Ltd Pattern forming method and semiconductor device manufacturing method
JPH11305436A (en) * 1998-04-16 1999-11-05 Fujitsu Ltd Negative type resist composition and resist pattern forming method
JPH11311860A (en) * 1998-04-28 1999-11-09 Fujitsu Ltd Negative resist composition and formation of resist pattern
JP2000147752A (en) * 1998-11-05 2000-05-26 Fuji Photo Film Co Ltd Negative resist composition
FR2798202A1 (en) * 1999-09-02 2001-03-09 Fujitsu Ltd Alkali-developable negative resist for production of electronic devices contains film-forming polymer with alkali-soluble and alcohol groups which react together under the action of acid from a light-sensitive acid generator
JP2001092135A (en) * 1999-08-13 2001-04-06 Internatl Business Mach Corp <Ibm> Negative type photoresist pattern forming method
JP2002226470A (en) * 2000-11-29 2002-08-14 Shin Etsu Chem Co Ltd Amine compound, resist material and method for forming pattern

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04216556A (en) * 1990-12-18 1992-08-06 Hitachi Ltd Noncrosslinking radiation-sensitive composition and method of forming pattern using the same
JPH05197149A (en) * 1991-04-20 1993-08-06 Hoechst Ag Negative-emission sensitive mixture and emission-sensitive recording material manufactured by using this mixture
JPH08211598A (en) * 1995-02-06 1996-08-20 Japan Synthetic Rubber Co Ltd Radiation-sensitive resin composition
JPH11133606A (en) * 1997-10-30 1999-05-21 Hitachi Ltd Pattern forming material and formation of pattern using the same
JPH11149159A (en) * 1997-11-17 1999-06-02 Hitachi Ltd Pattern forming method and semiconductor device manufacturing method
JPH11305436A (en) * 1998-04-16 1999-11-05 Fujitsu Ltd Negative type resist composition and resist pattern forming method
JPH11311860A (en) * 1998-04-28 1999-11-09 Fujitsu Ltd Negative resist composition and formation of resist pattern
JP2000147752A (en) * 1998-11-05 2000-05-26 Fuji Photo Film Co Ltd Negative resist composition
JP2001092135A (en) * 1999-08-13 2001-04-06 Internatl Business Mach Corp <Ibm> Negative type photoresist pattern forming method
FR2798202A1 (en) * 1999-09-02 2001-03-09 Fujitsu Ltd Alkali-developable negative resist for production of electronic devices contains film-forming polymer with alkali-soluble and alcohol groups which react together under the action of acid from a light-sensitive acid generator
JP2002226470A (en) * 2000-11-29 2002-08-14 Shin Etsu Chem Co Ltd Amine compound, resist material and method for forming pattern

Also Published As

Publication number Publication date
JP2002365801A (en) 2002-12-18

Similar Documents

Publication Publication Date Title
JP4790153B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device
KR100658476B1 (en) Negative resist composition and method for the formation of resist patterns
JP3859353B2 (en) Negative resist composition and method for forming resist pattern
TW502134B (en) Chemically amplified resist compositions and process for the formation of resist patterns
JP5105667B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JP4865073B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing semiconductor device
JPH1184663A (en) Photosensitive composition, pattern forming method using the same and production of electronic parts
JP3418184B2 (en) Photoresist composition having cyclic olefin polymer having lactone moiety
US20230384670A1 (en) Photoresist composition and method of forming photoresist pattern
US20020177070A1 (en) Negative resist composition, a method for forming a resist pattern thereof, and a method for fabricating a semiconductor device
JP4648526B2 (en) Negative resist composition, method of forming resist pattern, and method of manufacturing electronic device
JP4689082B2 (en) Negative resist composition
JP3859352B2 (en) Negative resist composition and method for forming resist pattern
JP2010198024A (en) Negative resist composition, method for formation of resist pattern and method for production of electronic device
JP4127937B2 (en) Resist composition and method for forming resist pattern
US20240126170A1 (en) Method of manufacturing a semiconductor device and photoresist composition
KR20210157347A (en) Photoresist composition and method of forming photoresist pattern
CN113050374A (en) Photoresist composition and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100617

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101012

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101210

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110208

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110216

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140225

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees