JP4563729B2 - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP4563729B2
JP4563729B2 JP2004159186A JP2004159186A JP4563729B2 JP 4563729 B2 JP4563729 B2 JP 4563729B2 JP 2004159186 A JP2004159186 A JP 2004159186A JP 2004159186 A JP2004159186 A JP 2004159186A JP 4563729 B2 JP4563729 B2 JP 4563729B2
Authority
JP
Japan
Prior art keywords
top plate
processing apparatus
plasma processing
plasma
protrusion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004159186A
Other languages
English (en)
Other versions
JP2005100931A5 (ja
JP2005100931A (ja
Inventor
俊久 野沢
清隆 石橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2004159186A priority Critical patent/JP4563729B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to KR1020067002758A priority patent/KR100824813B1/ko
Priority to TW093126710A priority patent/TWI454186B/zh
Priority to PCT/JP2004/012824 priority patent/WO2005031830A1/ja
Priority to TW101143844A priority patent/TWI436697B/zh
Priority to US10/570,631 priority patent/US7930992B2/en
Publication of JP2005100931A publication Critical patent/JP2005100931A/ja
Publication of JP2005100931A5 publication Critical patent/JP2005100931A5/ja
Application granted granted Critical
Publication of JP4563729B2 publication Critical patent/JP4563729B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

この発明はプラズマ処理装置に関し、特に、アンテナに供給したマイクロ波をチャンバー内の開口部を封止する誘電体からなる天板を介して放射することにより、チャンバー内にプラズマを発生するプラズマ処理装置に関する。
近年、半導体装置の高密度化および微細化に伴って、半導体装置の製造工程において、成膜,エッチング,アッシングなどの処理を施すためにプラズマ処理装置が使用されている。特に、マイクロ波を用いてプラズマを発生させるマイクロ波プラズマ処理装置では,約0.1〜10Paの比較的圧力が低い(高真空)条件のもとでも安心してプラズマを発生させることができる。そのため、例えば周波数2.45GHzのマイクロ波を用いたマイクロ波プラズマ処理装置が注目されている。
図11はそのような従来のプラズマ処理装置の一例を示す断面図である。図11において、プラズマ処理装置は、基板11を収容して基板11に所定の処理を施すためのチャンバー1と、マイクロ波を発生するための高周波電源5と、マイクロ波をチャンバー1内に放射するためのアンテナ部3とを備えている。
アンテナ部3はスロット板3cと遅波板3bとアンテナカバー3aとを有して構成されている。スロット板3cには、マイクロ波をチャンバー1内に向けて放射するための複数のスロット(開口部)が形成されている。高周波電源5によって発生されたマイクロ波は、導波管6によりアンテナ部3へ送られる。チャンバー1の上部にはチャンバー1の隔壁の一部を構成する天板4が配設されており、天板4とチャンバー1の隔壁との間には例えばOリングなどのシール部材14が設けられている。アンテナ部3はこの天板4の上方に配置されている。
チャンバー1内には、収納された基板11を保持するためのサセプタ7が設けられている。さらに、チャンバー1には、チャンバー1内を排気するための真空ポンプ9が接続されている。この真空ポンプ9によってチャンバー1内が排気されて、所定の圧力範囲の下でプラズマを生成するためのガスとして例えばアルゴンガスがチャンバー1内に導入される。
上述のプラズマ装置では、高周波電源5により発生したマイクロ波は導波管6を伝わり、アンテナ部3に到達する。アンテナ部3に到達したマイクロ波は遅波板3bを伝播し、スロット板3cを介して天板4に輻射される。天板4において、マイクロ波は面方向に振動を生じさせて、中心部から周辺部に向けて伝播し、チャンバー1内に電磁界を発生させる。チャンバー1内に発生した電磁界によってアルゴンガスが解離し、基板11と天板4との間にプラズマ生成領域22が形成されて、基板11に所定のプラズマ処理が行われる。
このようなプラズマ処理装置において、基板11に均一にプラズマを照射する必要がある。ところが、天板4の中心部と周辺部とではプラズマ強度が異なるため、特開2002−299240号公報においては、天板4を凹面形状に形成して基板11と天板4の周辺部との距離を接近させることにより、基板11の周辺部におけるプラズマ密度の低下を補償し、低圧処理においてもプラズマを維持し、安定なプラズマ処理を可能にすることが記載されている。
また、特開2003−59919号公報には、誘電体窓にプラズマ励起領域が直接処理容器壁の金属表面と接触しないようにリング状のスリーブを形成して、基板表面で均一なプラズマ密度を得ることが記載されている。
特開2002−299240号公報 特開2003−59919号公報
プラズマ装置では、内部が減圧されるチャンバー1において強度を確保して外気が押す力に対抗するために、天板4として面方向にある程度の厚さが要求される。天板4は誘電体によって構成されており、誘電体にはマイクロ波により共振領域が形成されて強い電界が発生して定在波が形成され、この定在波によりチャンバー1内に電磁界を生じさせ、プラズマ密度が高くなる。定在波を作るには適した誘電体の厚さがある。
図12は天板の厚さに依存する電界強度分布を示す図である。図12(a)は天板4の面方向の厚さが22.8mmのときの電界強度分布を示しており、中心の斜線で示す部分が電界強度の強くなっている部分である。図12(b)は天板4の厚さを27.8mmにしたときの電界強度分布を示しており、図12(a)に比べて電界強度分布が中心から周辺に広がってきている。図12(c)は天板4の厚さを31.6mmにしたときの電界強度分布を示しており、電界強度分布が天板4の中心部分を除いて周辺まで分布しており、最も適した厚さになっている。図12(d)は天板4の厚さを32.8mmにしたときの電界強度分布を示しており、電界強度分布が中心部のみ強くなっている。図12(e)は天板4の厚さを37.8mmにしたときの電界強度分布を示しており、中心部の電界強度が強くなっている。
図11に示したプラズマ処理装置において、チャンバー1内の圧力やマイクロ波の電力などのプラズマ条件を変更させるとプラズマ表面近傍の電子密度が変化するため、プラズマが物質に侵入する侵入深さが変化する。圧力を低下させると拡散係数が増大するため、プラズマ表面付近の電子密度が低下して侵入深さが増加する。このようにプラズマ条件を変更すると誘電体の適切な厚さが変わるため、定在波を形成するための共振領域がずれてしまう。このため、常に最適な状態でプラズマを発生するためには、プラズマ条件に応じた種々の厚さを有する誘電体を用意しておく必要があるという問題があった。また、マイクロ波は低圧力でのプラズマへの吸収効率が悪く、低圧(20mTorr)でのプラズマの安定した生成が困難になる。
それゆえに、この発明の目的は、プラズマ条件に応じて天板内に最適な共振領域を形成し、高い圧力から低い圧力にわたってチャンバー内に安定したプラズマの発生が可能なプラズマ処理装置を提供することである。
この発明は、被処理基板を収納し、プラズマを発生するプラズマ発生室と、プラズマ発生室の上部の開口部に配置され、マイクロ波によって駆動されて電磁界を発生するアンテナと、アンテナの下部に設けられて面方向に均一な所定の厚さを有してプラズマ発生室の開口部を封止する天板と、天板の下面側に形成されたテーパ状の凸部または凹部とを備えたことを特徴とする。
この発明では、天板に形成した凸部または凹部のテーパ状部分により、径方向の厚さを連続的に変化させて、プラズマのどの条件においてもどこかで共振させて最適な共振領域を形成できる。したがって、1種類の天板を用意するだけで種々の厚さの天板を用意したのと同様の効果を奏することができる。これにより、プラズマへの吸収効率を飛躍的に向上させることができ、高い圧力から低い圧力にわたって安定したプラズマの発生が可能になる。
好ましくは、天板は凸部または凹部により肉厚の薄い部分と厚い部分とを含み、肉厚の薄い部分の厚みはλ/4±λ/8に選ばれている。
好ましくは、凸部または凹部は、天板の下面にリング状に形成される突条を含む。
好ましくは、天板は円板状であって、突条は天板の中心と同心的に径方向に複数形成される。
好ましくは、突条は天板側の径方向厚さが先端側の径方向厚さよりも厚く形成される。
好ましくは、凸部または凹部は、天板の下面に形成される円錘状の突起を含む。
好ましくは、円錐状の突起は、天板の中心下面に形成される。
好ましくは、円錐状の突起は複数設けられ、複数の円錐状の突起はリング状に配置される。
好ましくは、凸部または凹部は、複数のリング状の凹部と、複数のリング状凹部の間に形成される下向きの第1の突条と、最外周のリング状凹部の外側に形成される下向きの第2の突条とを含む。
好ましくは、第2の凸部の肉厚は、第1の凸部の肉厚に比べて厚く形成される。
好ましくは、天板のアンテナ側の中心部には凹部が形成されており、凹部には天板の誘電率と異なる物質が配置される。
好ましくは、天板の凹部の深さはλ/8以上の深さに形成される。
好ましくは、天板の凹部の深さはλ/4以上の深さに形成される。
好ましくは、天板の被処理基板側の中心部には凸部が形成され、凸部の周辺における天板の肉厚はλ/4±λ/8である。
好ましくは、被処理基板は円板状であって、凸部または凹部は、被処理基板の半径をRとしたとき、天板の中心から半径Rより外側に少なくとも1つ形成されている。
好ましくは、凸部または凹部は、天板と処理基板との距離をDとしたとき、天板の中心から半径Dより内側に少なくとも1つ形成されている。
好ましくは、アンテナは、面上にスロットが分布して形成されたスロット板を含み、天板にはスロット板上のスロットの位置に対応して凸部または凹部が形成される。
図1はこの発明の一実施形態におけるプラズマ処理装置の断面図であり、図2は図1に示した誘電板を下面から見た図である。
プラズマ処理装置は、前述の図11と同様にして、基板11を収容して基板11に所定の処理を施すためのチャンバー1と、マイクロ波をチャンバー1内に放射するためのアンテナ部3とを備えている。
図示しない高周波電源によって発生されたマイクロ波は、導波管6によりアンテナ部3へ送られる。チャンバー1の上部には、チャンバー1の開口部を封止しかつチャンバー1の隔壁の一部を構成する天板4が配設されており、天板4とチャンバー1の隔壁との間には例えばOリングなどのシール部材14が設けられている。アンテナ部3はこの天板4の上方に配置されている。アンテナ部3の上部には内部に冷媒が流れる冷却プレート10が設けられている。
チャンバー1内には、収納された基板11を保持するためのサセプタ7が設けられている。サセプタ7は基板11を加熱するためのヒータ機能を有している。さらに、チャンバー1には、チャンバー1内を排気するために、図11に示した真空ポンプが接続されている。この真空ポンプによってチャンバー1内が排気されて、所定の圧力範囲の下でプラズマを生成するためのガスとして例えばアルゴンガスがチャンバー1内に導入される。
上述のプラズマ装置では、高周波電源により発生したマイクロ波が導波管6を伝わり、アンテナ部3に到達する。アンテナ部3に到達したマイクロ波は遅波板3bを伝播し、スロット板3cを介して天板4に共振領域を形成し、定在波を発生させてチャンバー1内に電磁界を発生させる。チャンバー1内に発生した電磁界によってアルゴンガスが解離し、基板11と天板4との間にプラズマ生成領域22が形成されて、基板11に所定のプラズマ処理が行われる。
天板4は強度を確保して外気が押す力に対抗するために面方向に均一な所定の厚さを有する円板状に形成されており、その下面には凸部または凹部が形成されている。より具体的には、凸部または凹部として、天板4の周縁から径方向に所定の間隔を隔てて、周辺部に天板4の中心と同心的にリング状の突条41が形成されている。この突条41は外周面が天板4の下面に対して垂直であり、内周面が天板4に対して所定の角度を有するようにテーパ状に形成されて断面が矩形の凸部または凹部を形成している。天板4の周辺部に突条41を形成するのは、天板4にはアンテナ部3からマイクロ波が供給されており、中心部のプラズマ密度が密になっているのに対して、周辺部では疎になっており、周辺部のプラズマ密度を高めるためである。
図3は天板内をマイクロ波が伝播する状態を説明するための図である。天板4は突条41により肉厚の厚い部分と、それ以外の肉厚の薄い部分とが含まれているが、肉厚の薄い部分の厚みをλ/4±λ/8に選ぶことにより、マイクロ波が天板4の肉厚の薄い部分で伝播しにくくなる。
その理由について説明すると、天板内を通過する電磁波の形態には、モードAとモードBとが存在する。モードAは電子密度が所定値以上になると存在し、モードBは電子密度が比較的小さい場合のみ存在するので、ある程度電子密度が高いときにはモードBによるマイクロ波伝播は抑制される。
ただし、これは天板厚みに大きく依存し、λ/4以上の厚みでは、厚くなるほどモードBでの伝播を抑制できる電子密度の下限が高くなってしまう。λ/2以上になると、電子密度に依存せずにモードBが存在することができるようになるので、モードBでの伝播は抑制できなくなる。逆に、λ/4以下では、モードBでの伝播を抑制できる電子密度の下限は変わらない。したがって、天板の強度を考慮するとλ/4が最適となる。ただし、±λ/8の範囲であれば、大方モードBでの伝播を抑制できることになる。
アンテナ部3に供給されたマイクロ波は、アンテナ部3のスロットから下方向に放射されるが、図3に示すように天板4内で反射され、それが天板4内の反射の繰り返しで面方向に振動して共振領域を形成して定在波となる。(肉厚の薄い部分で伝播しにくいという説明でありながら図3においてマイクロ波が径方向に伝播するように図示されており、辻褄が合わないように思われます。補足説明下さい。)突条部41に入ったマイクロ波はプラズマ生成領域22に出難くなるので、突条部分41にマイクロ波が蓄積されやすくなる。これにより、突条41を形成したことによる周辺部のプラズマ密度を高めることができる。突条41部分は天板4の周辺部で共振領域を構成し、マイクロ波が天板4の面方向に直交する径方向に振動する。
突条41は天板4側の径方向厚さが厚いのに対して、先端側の径方向厚さが薄くなるようにテーパ状に形成されているので、径方向に振動する振幅と突条41の厚さが一致する部分が必ず存在する。すなわち、突条41は天板4の周辺部に共振領域を構成し、共振領域がプラズマ密度に応じて自動的に上下するので、プラズマのどの条件においてもどこかで共振するところが存在する。
これにより天板4に最適な共振領域を形成できるので強い電界を発生させて定在波を形成でき、プラズマ密度を高くでき、高い圧力から低い圧力にわたって安定したプラズマの発生が可能になる。
なお、この突条41は、外周面側および内周面側ともにテーパ状に形成してもよい。また、天板4の厚さに応じて、突条41を配置する位置あるいは形状を任意に選択すればよい。
前述の特開2002−299240号公報には、ドーム状に形成した天板について記載されているが、ドーム形状の場合は共振場所が半径方向に大きく移動し、プラズマの強い場所が移動し、均一性が変化することになる。これに対して、この発明では突条41により天板4の外周あるいは中心付近にプラズマを集めることによって均一性の調整を行うことができる点において異なっている。
図4はこの発明の他の実施形態における天板に形成した凸部の変形例を示す断面図である。図4(a)に示した例は、天板4のほぼ中心の下部に凸部としての円錐状の突起42を下向きに形成したものである。この例では、突起42が形成された中心部周辺で共振領域を形成できるので、中心部周辺のプラズマ密度を高くでき、天板4の中心部周辺で電界強度が小さい場合に有効である。
図4(b)に示した例は天板4の周辺にリング状の突条43を形成し、外周面および内周面をともにテーパ状に形成したものである。外周面と内周面とをともにテーパ状に形成することで、突条43の天板側の径方向厚さと先端側の径方向厚さとの差を大きくできるので、突条43の周辺に形成される共振領域を広げることができ、その周辺のプラズマ密度を高くできる。
図4(c)は図2に示した周辺部の突条41の他に、中心部に径方向の厚さを突条41よりも厚くした円錐状の突起44を形成したものである。この例では、突条41によって周辺部に共振領域を形成するとともに、突起44によって中心部に共振領域を形成することで、突条41の径方向厚さよりも大きな振幅のマイクロ波が入力されても、中央の突起44で共振領域を構成できるので中心部でプラズマ密度を高くできる。
図5はこの発明のさらに他の実施形態における凹部を形成した天板を示す断面図である。図5(a)に示した例は、天板4のほぼ中央部に下向きに開口された円形の凹部401を設けたものである。凹部401は下部の開口径が大きくなるように内周面がテーパ状に形成されている。この凹部401により、その外側には凸部402が形成される。この例では、凸部402の肉厚の厚い部分で共振領域を形成できるので、この部分でのプラズマ密度を高くでき、天板4の周辺部分での電界密度が小さい場合に有効である。
図5(b)は天板4と同心的にリング状の凹部403を設けたものである。凹部403の外周面および内周面は下部の開口径が大きくなるようにテーパ状に形成されている。この凹部403により、その内側には下向きの凸部404が形成され、その外側には突条405が形成される。この例では、凸部404と突条405の肉厚の厚い部分で共振領域を形成できるので、これらの部分でのプラズマ密度を高くできる。
図5(c)は天板4のほぼ中央部に下向きに開口された円形の凹部406と、凹部406の外側にリング状の凹部407とを形成したものである。凹部406は下部の開口径が大きくなるように外周面がテーパ状に形成されており、凹部407は図5(b)の凹部403と同様にして、外周面および内周面は下部の開口径が大きくなるようにテーパ状に形成されている。この例では、凹部406の外側に突条408が形成され、凹部407の外側に突条409が形成され、突条408と409の肉厚の厚い部分で共振領域を形成できるので、これらの部分でのプラズマ密度を高くできる。
図6はこの発明のさらに他の実施形態における天板に形成した凹部の変形例を示す断面図である。この実施形態は、図5(c)に示した例における凹部406とリング状の凹部407に代えて、凹部410とリング状の凹部411とを形成したものである。凹部410と411は下向きに開口されているが、凹部410の外周面はテーパ状ではなく円弧状に形成されており、凹部411の外周面および内周面も円弧状に形成されている。したがって、この発明におけるテーパ状には円弧状も含まれるものとする。
このように天板4の厚みが円弧状に変化するように形成することで図5(c)と同様にして、凹部410およびリング状の凹部411の間に突条412が形成され、凹部411の外側に突条413が形成され、これらの肉厚の厚い部分で共振領域を形成できるので、これらの部分でのプラズマ密度を高くできる。
なお、図1〜図6に示した実施形態において、天板4に形成するテーパ状部は、図7に示すように基板11の半径Rよりも外側に少なくとも1つ形成するのが望ましい。これにより、基板11の端部付近でのプラズマ密度が過度に低くならないようにすることができる。
さらに、より好ましくは、天板4に形成するテーパ状部は、図7に示した天板4と基板11との間の距離をDとすると、天板4の中心から半径Dよりも内側に少なくとも1つ形成されているのが望ましい。これにより、天板4の中心付近のプラズマが過度に低くならないようにすることができる。
図8はこの発明のさらに他の実施形態における天板に形成した凹部の変形例を示す断面図である。図8(a)に示した例は、天板4の中心部に下向きに突出する凸部421を形成し、この凸部421の外側付近の天板4の厚みはλ/4±λ/8に選ばれている。さらに、凸部421の外側には、下向きに開口されたリング状の凹部422を形成するとともに、凹部422の外側に、下向きに突出する肉厚の厚い凸部423を形成し、凸部423の外周部を除いて下面に同心的に複数のリング状の溝424を形成する。凸部421の外周面と、凸部423の内周面はテーパ状に形成されている。
この例では、凹部422の外側に肉厚の厚い凸部423を形成したことにより強度を高めることができる。また、この凸部423部分でのプラズマ密度が高くなり電界密度も高くなってプラズマが放射しやすくなるが、複数のリング状の溝424によりその表面からプラズマが放射されるのを抑制でき、溝424が形成されていない最外周部からプラズマを放射しやすくなる。
さらに、天板4のアンテナ3側である大気側には凹部425が形成されている。この凹部425は、その深さがλ/8以上に形成されており、より好ましくはλ/4以上に形成されるのが好ましい。凹部425には大気,良導体もしくは天板4とは誘電率の異なる物質(図示せず)が配置される。これはマイクロ波が天板4の中心部の凹部425付近で強く反射されるので、この部分でプラズマが強くなりがちであるという問題点を改善するためである。凹部425の周辺部の肉厚がλ/4近傍であれば、さらにその効果が助長される。
なお、凹部425は天板4のアンテナ3側の中心部に限らず周辺に形成してもよい。
図8(b)に示した例は、天板4の中心部の下部に突出する凸部421を形成し、凸部421の外側に下部が開口されたリング状の凹部422を形成し、凹部422の外側に突条426を下向きに形成し、さらに突条426の外側に下部が開口されたリング状の凹部427を形成し、凹部427の外側に下向きに突出するリング状の突条428を形成したものである。最外周に形成された突条428は凸部421および突条426に比べて肉厚が厚く形成されている。また、凹部422および427の外周面および内周面はテーパ状に形成されている。
この例では、突条426を形成したことにより、天板4の機械的強度を保つことができる。また、突条426と428とで共振領域が形成されるが、突条426に比べて最外周の突条428部分の肉厚が厚く形成されていることにより、この部分でのプラズマ密度を突条426のプラズマ密度に比べて高くできる。
図8(c)に示した例では、天板4の中央部に下向きに円板状の凸部429を形成し、その下面に同心的に複数の溝430を形成し、凸部429の外側に下向きに開口されたリング状の凹部431を形成し、凹部431の外側に下向きに突出する突条432を形成したものである。突条432は凸部429に比べて肉厚が厚く形成されている。この例では、天板4の中央部の凸部429により肉厚を厚くして機械的強度を高めることができる。凸部429は肉厚が厚いためプラズマが伝播しやすくなり、密度が高くなるが溝430が形成されていることによりプラズマが放射され難くなる。そして、凹部431の肉厚の薄い部分ではプラズマが伝播しにくくなり、最外周部の突条432でのプラズマ密度を高くできる。なお、この例においても、図8(a)と同様にして、天板4のアンテナ3側である大気側には凹部425が形成されている。
図9はこの発明の他の実施形態として、スロット板のスロットの位置に対応して突条を形成したものである。すなわち、図9(a)に示すように円板状のスロット板3cには同心円上に三重にリング状に配列されたスロット31,32,33が形成されている。導波管6に入力されるマイクロ波は、スロット板3cのスロット31,32,33を介してチャンバー1内に放射されて電磁界が発生される。したがって、天板4のうちスロット31,32,33の位置に対応する部分の電界強度が最も大きくなっている。
そこで、図9(b)に示すように各スロット31,32,33の各位置に対応してリング状の複数の突条45,46,47が形成される。これらの突条45,46,47は、図1に示した突条41と同様にして外周面が天板4の下面に対して垂直であり、内周面が天板4に対して所定の角度を有するようにテーパ状に形成されているが、外周面側もテーパ状に形成してもよい。天板4のうち各スロット31,32,33の各位置に対応する部分の電界強度が強くなっており、この部分に共振領域を形成することでプラズマを均一にさせることができる。
図10はこの発明のさらに他の実施形態を示す天板を下から見た図である。前述の図9(b)に示した実施形態は、スロット板3cの各スロット31,32,33の位置に対応してリング状の突条45,46,47を形成したのに対して、この実施形態では、各スロット31,32,33の各位置に対応してそれぞれが独立しかつ径の小さな円錘状の突起48を多数配置したものである。この実施形態においても、各スロット31,32,33で生じた強い電界強度を多数の突起48によって共振を分散させることができる。
本件発明において、天板4の厚さが21mmで、天板4の直径が280mmで、突条41の直径が220mmで突出高さを22mmに形成したとき、プラズマ条件としての、例えばプラズマの圧力が1〜100Torrに変化し、マイクロ波の出力が100〜3000W変化したときでも安定したプラズマを発生できる。
図面を参照してこの発明の一実施形態を説明したが、本発明は、図示した実施形態に限定されるものではない。本発明と同一の範囲内において、または均等の範囲内において、図示した実施形態に対して種々の変更を加えることが可能である。
マイクロ波によって駆動されて電磁界を発生するアンテナ部3の下部にチャンバー1の開口部を封止する天板4を設け、天板4の下面側にリング状の突条41を設けて径方向の厚さをテーパ状に連続的に変化させ、プラズマのどの条件においてもどこかで共振させることにより、高い圧力から低い圧力にわたって安定したプラズマの発生が可能なプラズマ処理装置に利用できる。
この発明の一実施形態におけるプラズマ処理装置の断面図である。 図1に示した天板を下面から見た図である。. 天板内をマイクロ波が伝播する状態を説明するための図である。 この発明の他の実施形態における天板に形成した凸部の変形例を示す断面図である。 この発明の他の実施形態における天板に形成した凹部を示す断面図である。 この発明のさらに他の実施形態における天板に形成した凹部の変形例を示す断面図である。 凸部または凹部を形成する天板の位置を説明するための図である。 この発明のさらに他の実施形態における天板に形成した凹部の変形例を示す断面図である。 この発明の他の実施形態として、スロット板のスロットに対応して天板に突条を形成した例を示す図である。 この発明のさらに他の実施形態を示す天板を下から見た図である。 従来のプラズマ処理装置の一例を示す断面図である。 天板の厚みに依存する電界強度分布を示す図である。
符号の説明
1 チャンバー、3 アンテナ部、3b 遅波板、3c スロット板、4 天板、6 導波管、7 サセプタ、10 冷却プレート、11 基板、22 プラズマ生成領域、31〜33 スロット、41,43,45〜47,405,408,409,412,413,426,428,432 突条、42,44,48 突起、401,403,406,407,410,411,422,425,427,431 凹部、402,404,408,413,421,423,429 凸部、424,430 溝。

Claims (18)

  1. 被処理基板を収納し、プラズマを発生するプラズマ発生室と、
    前記プラズマ発生室の上部の開口部に配置され、マイクロ波によって駆動されて電磁界を発生するアンテナと、
    前記アンテナの下部に設けられ、面方向に渡って均一な所定の厚さを有して前記プラズマ発生室の開口部を封止する天板と、
    前記天板の下面側に形成されるテーパ状部とを備え、
    前記テーパ状部の先端側、および前記テーパ状部の天板側に位置する前記天板の下面側の両方には、平面が形成されている、プラズマ処理装置。
  2. 前記天板の厚みはλ/4±λ/8に選ばれている、請求項1に記載のプラズマ処理装置。
  3. 前記テーパ状部は前記天板の下面に形成されるリング状の突条を含む、請求項1または2に記載のプラズマ処理装置。
  4. 前記天板は円板状であって、
    前記リング状の突条は、前記天板の中心と同心的に径方向に複数形成される、請求項3に記載のプラズマ処理装置。
  5. 前記リング状の突条は、前記天板側の径方向厚さが先端側の径方向厚さよりも厚く形成される、請求項3または4に記載のプラズマ処理装置。
  6. 前記テーパ状部は、前記天板の下面に形成される円錘状の突起を含む、請求項1から3のいずれかに記載のプラズマ処理装置。
  7. 前記円錐状の突起は、前記天板の中心下面に形成される、請求項6に記載のプラズマ処理装置。
  8. 前記リング状の突条は、前記天板の中心と同心的に複数形成され、天板の中心に近い第1の突条と、天板の中心から遠い第2の突条とを含む、請求項7に記載のプラズマ処理装置。
  9. 前記第2の突条の肉厚は、前記第1の突条の肉厚に比べて厚く形成される、請求項8に記載のプラズマ処理装置。
  10. 前記天板のアンテナ側の中心部には凹部が形成されており、前記凹部には前記天板の誘電率と異なる物質が配置される、請求項1から9のいずれかに記載のプラズマ処理装置。
  11. 前記天板のアンテナ側における凹部の深さはλ/8以上の深さに形成される、請求項10に記載のプラズマ処理装置。
  12. 前記天板のアンテナ側における凹部の深さはλ/4以上の深さに形成される、請求項10に記載のプラズマ処理装置。
  13. 前記円錐状の突起の周辺における天板の肉厚は、λ/4±λ/8である、請求項6から8のいずれかに記載のプラズマ処理装置。
  14. 前記被処理基板は円板状であって、
    前記テーパ状部は、前記被処理基板の半径をRとしたとき、前記天板の中心から半径Rより外側に少なくとも1つ形成されている、請求項4、5、7から9のいずれかに記載のプラズマ処理装置。
  15. 前記テーパ状部は、前記天板と前記被処理基板との距離をDとしたとき、前記天板の中心から半径Dより内側に少なくとも1つ形成されている、請求項4、5,7から9のいずれかに記載のプラズマ処理装置。
  16. 前記アンテナは、複数のスロットが所定のパターンで分布するように形成されたスロット板を含み、
    前記天板の下面の前記テーパ状部は、前記所定のパターンに対応する位置に延在するように形成されている、請求項1から5のいずれかに記載のプラズマ処理装置。
  17. 前記テーパ状部は複数の円錐状の突起を含む、請求項16に記載のプラズマ処理装置。
  18. 前記テーパ状部の下面には、同心的に複数のリング状の溝が形成されている、請求項4、5、7から9のいずれかに記載のプラズマ処理装置。
JP2004159186A 2003-09-04 2004-05-28 プラズマ処理装置 Expired - Fee Related JP4563729B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2004159186A JP4563729B2 (ja) 2003-09-04 2004-05-28 プラズマ処理装置
TW093126710A TWI454186B (zh) 2003-09-04 2004-09-03 Plasma processing device
PCT/JP2004/012824 WO2005031830A1 (ja) 2003-09-04 2004-09-03 プラズマ処理装置
TW101143844A TWI436697B (zh) 2003-09-04 2004-09-03 Plasma processing device
KR1020067002758A KR100824813B1 (ko) 2003-09-04 2004-09-03 플라즈마 처리 장치
US10/570,631 US7930992B2 (en) 2003-09-04 2004-09-03 Plasma processing equipment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003312486 2003-09-04
JP2004159186A JP4563729B2 (ja) 2003-09-04 2004-05-28 プラズマ処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010129799A Division JP2010258461A (ja) 2003-09-04 2010-06-07 プラズマ処理装置、およびプラズマ処理装置用の天板

Publications (3)

Publication Number Publication Date
JP2005100931A JP2005100931A (ja) 2005-04-14
JP2005100931A5 JP2005100931A5 (ja) 2007-07-05
JP4563729B2 true JP4563729B2 (ja) 2010-10-13

Family

ID=34395578

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004159186A Expired - Fee Related JP4563729B2 (ja) 2003-09-04 2004-05-28 プラズマ処理装置

Country Status (5)

Country Link
US (1) US7930992B2 (ja)
JP (1) JP4563729B2 (ja)
KR (1) KR100824813B1 (ja)
TW (2) TWI436697B (ja)
WO (1) WO2005031830A1 (ja)

Families Citing this family (282)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8267040B2 (en) 2004-02-16 2012-09-18 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
JP4953153B2 (ja) * 2005-07-28 2012-06-13 住友電気工業株式会社 マイクロ波プラズマcvd装置
JP4756540B2 (ja) * 2005-09-30 2011-08-24 東京エレクトロン株式会社 プラズマ処理装置と方法
JP5082229B2 (ja) * 2005-11-29 2012-11-28 東京エレクトロン株式会社 プラズマ処理装置
JP5096047B2 (ja) * 2007-06-14 2012-12-12 東京エレクトロン株式会社 マイクロ波プラズマ処理装置およびマイクロ波透過板
CN101548364B (zh) 2007-08-28 2013-02-06 东京毅力科创株式会社 顶板以及等离子体处理装置
JP5374853B2 (ja) * 2007-10-17 2013-12-25 東京エレクトロン株式会社 プラズマ処理装置
KR20100106602A (ko) * 2008-01-31 2010-10-01 도쿄엘렉트론가부시키가이샤 마이크로파 플라즈마 처리 장치
JP5369733B2 (ja) * 2008-02-27 2013-12-18 東京エレクトロン株式会社 プラズマ処理装置
US8753475B2 (en) 2008-02-08 2014-06-17 Tokyo Electron Limited Plasma processing apparatus
JP5407388B2 (ja) * 2008-02-08 2014-02-05 東京エレクトロン株式会社 プラズマ処理装置
JP5220772B2 (ja) 2008-02-08 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置用突起部材
JP5243457B2 (ja) * 2008-02-13 2013-07-24 東京エレクトロン株式会社 マイクロ波プラズマ処理装置の天板、プラズマ処理装置およびプラズマ処理方法
JP4585574B2 (ja) * 2008-02-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
KR20090102955A (ko) * 2008-03-27 2009-10-01 주식회사 유진테크 기판처리장치 및 기판처리방법
JP5243089B2 (ja) * 2008-04-09 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置のシール構造、シール方法およびプラズマ処理装置
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
JP2009302181A (ja) * 2008-06-11 2009-12-24 Tokyo Electron Ltd プラズマエッチング処理方法およびプラズマエッチング処理装置
KR20100002532A (ko) * 2008-06-30 2010-01-07 삼성전자주식회사 웨이퍼 가공 장치
US8800484B2 (en) 2008-07-09 2014-08-12 Tokyo Electron Limited Plasma processing apparatus
JP5411136B2 (ja) * 2008-07-15 2014-02-12 東京エレクトロン株式会社 マイクロ波プラズマ処理装置、及び冷却ジャケットの製造方法
CN102326458A (zh) * 2009-02-06 2012-01-18 国立大学法人东北大学 等离子体处理装置
JP2010232493A (ja) * 2009-03-27 2010-10-14 Tokyo Electron Ltd プラズマ処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8415884B2 (en) * 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
JP5670245B2 (ja) * 2011-04-06 2015-02-18 株式会社アルバック プラズマ処理装置
JP5377587B2 (ja) * 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5527490B2 (ja) * 2011-11-11 2014-06-18 東京エレクトロン株式会社 プラズマ処理装置用誘電体窓、およびプラズマ処理装置
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
KR102102003B1 (ko) * 2012-05-25 2020-04-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 및 플라즈마 처리 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014112644A (ja) * 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP2015018685A (ja) * 2013-07-10 2015-01-29 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
JP2015130325A (ja) * 2013-12-03 2015-07-16 東京エレクトロン株式会社 誘電体窓、アンテナ、及びプラズマ処理装置
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9947516B2 (en) * 2014-06-03 2018-04-17 Tokyo Electron Limited Top dielectric quartz plate and slot antenna concept
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6406631B2 (ja) * 2014-10-22 2018-10-17 パナソニックIpマネジメント株式会社 プラズマ処理装置
JP2016086099A (ja) 2014-10-27 2016-05-19 東京エレクトロン株式会社 プラズマ処理装置
JPWO2016098582A1 (ja) * 2014-12-15 2017-11-02 東京エレクトロン株式会社 プラズマ処理装置
US9673059B2 (en) 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9443731B1 (en) 2015-02-20 2016-09-13 Tokyo Electron Limited Material processing to achieve sub-10nm patterning
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6479550B2 (ja) * 2015-04-22 2019-03-06 東京エレクトロン株式会社 プラズマ処理装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR102334378B1 (ko) 2015-09-23 2021-12-02 삼성전자 주식회사 유전체 윈도우, 그 윈도우를 포함한 플라즈마 공정 시스템, 및 그 시스템을 이용한 반도체 소자 제조방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10370763B2 (en) * 2016-04-18 2019-08-06 Tokyo Electron Limited Plasma processing apparatus
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US20190189398A1 (en) * 2017-12-14 2019-06-20 Tokyo Electron Limited Microwave plasma processing apparatus
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
WO2020017015A1 (ja) * 2018-07-20 2020-01-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7301727B2 (ja) * 2019-12-05 2023-07-03 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
CN113527612A (zh) 2020-04-13 2021-10-22 大金工业株式会社 聚氨酯化合物
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP7242612B2 (ja) * 2020-07-22 2023-03-20 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002176033A (ja) * 2000-12-06 2002-06-21 Hitachi Ltd プラズマ処理装置
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP2003059919A (ja) * 2001-08-17 2003-02-28 Ulvac Japan Ltd マイクロ波プラズマ処理装置および処理方法
JP2004511906A (ja) * 2000-10-13 2004-04-15 ラム リサーチ コーポレーション プラズマ処理の均一性のためのステップのある上部電極

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0525624A (ja) * 1991-07-15 1993-02-02 Nippon Telegr & Teleph Corp <Ntt> スパツタリング方法
JP3107971B2 (ja) * 1994-05-17 2000-11-13 株式会社半導体エネルギー研究所 気相反応装置
JP2770753B2 (ja) 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
JPH09232099A (ja) * 1996-02-20 1997-09-05 Hitachi Ltd プラズマ処理装置
WO1997036461A1 (fr) * 1996-03-28 1997-10-02 Sumitomo Metal Industries, Ltd. Procede et dispositif de traitement plasmique
JP2967770B2 (ja) * 1997-11-10 1999-10-25 日本電気株式会社 プラズマ処理装置
JP2000059919A (ja) * 1998-08-10 2000-02-25 Toyota Motor Corp 電気自動車のバッテリ充電装置
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP4298049B2 (ja) * 1999-04-02 2009-07-15 キヤノン株式会社 誘電体窓を用いたマイクロ波プラズマ処理装置
JP2001135626A (ja) * 1999-11-02 2001-05-18 Hitachi Kokusai Electric Inc プラズマcvd装置及びプラズマcvd膜形成方法
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP2002237462A (ja) * 2001-02-13 2002-08-23 Toshiba Ceramics Co Ltd プラズマ処理装置、及びそれに用いるマイクロ波透過用窓材
JP2003347282A (ja) * 2002-05-29 2003-12-05 Toshiba Corp プラズマ処理方法とその装置
JP3723783B2 (ja) * 2002-06-06 2005-12-07 東京エレクトロン株式会社 プラズマ処理装置
JP2004186303A (ja) * 2002-12-02 2004-07-02 Tokyo Electron Ltd プラズマ処理装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004511906A (ja) * 2000-10-13 2004-04-15 ラム リサーチ コーポレーション プラズマ処理の均一性のためのステップのある上部電極
JP2002176033A (ja) * 2000-12-06 2002-06-21 Hitachi Ltd プラズマ処理装置
JP2002299240A (ja) * 2001-03-28 2002-10-11 Tadahiro Omi プラズマ処理装置
JP2003059919A (ja) * 2001-08-17 2003-02-28 Ulvac Japan Ltd マイクロ波プラズマ処理装置および処理方法

Also Published As

Publication number Publication date
WO2005031830A1 (ja) 2005-04-07
KR20060038466A (ko) 2006-05-03
US20070113788A1 (en) 2007-05-24
US7930992B2 (en) 2011-04-26
KR100824813B1 (ko) 2008-04-23
TWI454186B (zh) 2014-09-21
TWI436697B (zh) 2014-05-01
TW200522801A (en) 2005-07-01
TW201313076A (zh) 2013-03-16
JP2005100931A (ja) 2005-04-14

Similar Documents

Publication Publication Date Title
JP4563729B2 (ja) プラズマ処理装置
JP2010258461A (ja) プラズマ処理装置、およびプラズマ処理装置用の天板
KR101176061B1 (ko) 천판 및 플라즈마 처리 장치
JP5243457B2 (ja) マイクロ波プラズマ処理装置の天板、プラズマ処理装置およびプラズマ処理方法
JP5835985B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP3828539B2 (ja) マイクロ波プラズマ処理装置、プラズマ処理方法及びマイクロ波放射部材
WO2006009213A1 (ja) プラズマ処理装置
JP7085828B2 (ja) プラズマ処理装置
JP5407388B2 (ja) プラズマ処理装置
JP5036092B2 (ja) マイクロ波プラズマ処理装置
US7807019B2 (en) Radial antenna and plasma processing apparatus comprising the same
JP5374853B2 (ja) プラズマ処理装置
JP2004186303A (ja) プラズマ処理装置
WO2009099186A1 (ja) プラズマ処理装置およびプラズマ処理方法
JP7336378B2 (ja) プラズマ処理装置及びプラズマ処理方法
US20060065195A1 (en) Microwave plasma generating device
KR20190107582A (ko) 안테나 장치, 및 플라즈마 처리 장치
JP2008182102A (ja) 天板部材及びこれを用いたプラズマ処理装置
KR102131539B1 (ko) 마이크로파 플라즈마 처리 장치
WO2020116252A1 (ja) プラズマ処理装置、及び、プラズマ処理方法
JP6486207B2 (ja) プラズマ処理装置
JP2000349068A (ja) プラズマ処理装置
US20190013180A1 (en) Plasma treatment apparatus
TWI415526B (zh) 電漿處理裝置
JP2000173989A (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060704

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070518

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091002

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100607

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100616

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100727

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100729

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130806

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4563729

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees