JP3122617B2 - Plasma processing equipment - Google Patents

Plasma processing equipment

Info

Publication number
JP3122617B2
JP3122617B2 JP08208846A JP20884696A JP3122617B2 JP 3122617 B2 JP3122617 B2 JP 3122617B2 JP 08208846 A JP08208846 A JP 08208846A JP 20884696 A JP20884696 A JP 20884696A JP 3122617 B2 JP3122617 B2 JP 3122617B2
Authority
JP
Japan
Prior art keywords
processing
chamber
plasma
lower electrode
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP08208846A
Other languages
Japanese (ja)
Other versions
JPH1041096A (en
Inventor
光明 小美野
淳一 荒見
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP08208846A priority Critical patent/JP3122617B2/en
Priority to EP97112132A priority patent/EP0821395A3/en
Priority to TW086110151A priority patent/TW406291B/en
Priority to US08/895,993 priority patent/US6156151A/en
Priority to TW088109726A priority patent/TW432466B/en
Priority to KR1019970033443A priority patent/KR100392549B1/en
Publication of JPH1041096A publication Critical patent/JPH1041096A/en
Application granted granted Critical
Publication of JP3122617B2 publication Critical patent/JP3122617B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はプラズマ処理装置に
関する。
[0001] The present invention relates to a plasma processing apparatus.

【0002】[0002]

【従来の技術】従来より、半導体ウェハやLCD用基板
などの被処理体に対してエッチングなどのプラズマ処理
するにあたり、図8に示すように、処理室10内に上部
電極12と下部電極14を対向配置し、少なくとも上部
電極12と下部電極14のいずれか一方に高周波電源1
6より高周波電力を印加して処理ガスをプラズマ(P)
化するプラズマ処理装置が提案されている。かかるプラ
ズマ処理装置では、上部電極12の下面(すなわち、被
処理体に対する対向面)に複数の処理ガス噴出口18を
設け、その処理ガス噴出口18から供給される処理ガス
を高周波電力によりプラズマ(P)化して被処理体Wに
対して処理を施すとともに、その排ガスを下部電極14
の周辺に設けられたバッフル板20を介して処理室10
に連通する一つの真空排気系22により真空排気してい
た。
2. Description of the Related Art Conventionally, when plasma processing such as etching is performed on an object to be processed such as a semiconductor wafer or an LCD substrate, an upper electrode 12 and a lower electrode 14 are placed in a processing chamber 10 as shown in FIG. The high-frequency power source 1 is disposed to face at least one of the upper electrode 12 and the lower electrode 14.
6 to apply plasma power to process gas into plasma (P)
There has been proposed a plasma processing apparatus that can be used. In such a plasma processing apparatus, a plurality of processing gas outlets 18 are provided on the lower surface of the upper electrode 12 (that is, the surface facing the object), and the processing gas supplied from the processing gas outlets 18 is converted into plasma ( P) to perform processing on the object W to be processed, and discharge the exhaust gas to the lower electrode 14.
Of the processing chamber 10 via a baffle plate 20 provided around the
Was evacuated by one evacuation system 22 that communicates with the.

【0003】しかし、近年、被処理体の大口径化、大型
化が進むにつれ、処理室の容量も大きくなっている。そ
れに伴い、有効排気速度を高める必要が生じているが、
単に真空排気系の真空ポンプの容量を大型化したのみで
は、プラズマの真空排気系の回り込みを防止するための
上記バッフル板のコンダクタンスがネックとなり、十分
な有効排気速度が得られないという問題があった。
However, in recent years, the capacity of the processing chamber has been increased as the diameter and size of the object to be processed have increased. Along with that, it is necessary to increase the effective pumping speed,
Simply increasing the capacity of the vacuum pump of the vacuum pumping system has a problem in that the conductance of the baffle plate for preventing the plasma from wrapping around the vacuum pumping system becomes a bottleneck, and a sufficient effective pumping speed cannot be obtained. Was.

【0004】また、従来の処理装置では、図8に示すよ
うに、処理室10を複数の構成部材10a〜10fを組
み立てることにより構成されている。そのため、各部材
10a〜10f間の導通性をいくら改善しても限界があ
り、各部材10a〜10fが有する電位(V1〜V4)
は異ならざるを得なかった。そのため、プラズマ処理時
に、プラズマが電位の低い方向に流れるという傾向が生
じ、プラズマを処理室内の処理空間(被処理体の上部)
に閉じこめにくいという問題があった。そして、かかる
問題は、被処理体の大口径化、大型化が進めば進むほど
顕著になってくるという問題があった。
In a conventional processing apparatus, as shown in FIG. 8, a processing chamber 10 is formed by assembling a plurality of constituent members 10a to 10f. Therefore, no matter how much the conductivity between the members 10a to 10f is improved, there is a limit, and the potential (V1 to V4) of the members 10a to 10f has
Had to be different. Therefore, during the plasma processing, the plasma tends to flow in the direction of lower potential, and the plasma is generated in the processing space in the processing chamber (above the object to be processed).
There was a problem that it was difficult to trap. Then, there is a problem that the problem becomes more remarkable as the diameter and size of the object to be processed increase.

【0005】さらに、従来の処理装置では、図8に示す
ように、上部電極12を成す処理室の天板が開放自在に
構成されており、この天板を開放することにより、処理
室内のメンテナンスを行っていた。しかし、処理室内の
内壁に損傷などが生じた場合に、その修復は非常に困難
であるという問題があった。
Further, in the conventional processing apparatus, as shown in FIG. 8, a top plate of a processing chamber forming the upper electrode 12 is configured to be freely openable. By opening this top plate, maintenance in the processing chamber is performed. Had gone. However, when the inner wall in the processing chamber is damaged, it is very difficult to repair the inner wall.

【0006】[0006]

【発明が解決しようとする課題】本発明は、上記のよう
な従来のプラズマ処理装置が有する問題点に鑑みてなさ
れたものであり、その目的は、大口径化あるいは大型化
した被処理体にも対応可能なように高い有効排気速度を
確保することが可能であり、さらに、処理室内にプラズ
マを効果的に封じ込めることが可能であり、さらにま
た、処理室内のメンテナンスも容易な新規かつ改良され
たプラズマ処理装置を提供することを目的としている。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems of the conventional plasma processing apparatus, and has as its object to provide a large-diameter or large-sized workpiece. It is possible to secure a high effective pumping speed so as to cope with the problem, furthermore, it is possible to effectively confine the plasma in the processing chamber, and furthermore, a new and improved processing chamber is easy to maintain. It is an object of the present invention to provide a plasma processing apparatus.

【0007】[0007]

【課題を解決するための手段】上記課題を解決するため
に、請求項1に記載の発明は、処理室内に上部電極と下
部電極を対向配置し、少なくとも上部電極と下部電極の
いずれか一方に高周波電力を印加して処理ガスをプラズ
マ化し、下部電極上に載置された被処理体に対して処理
を施すプラズマ処理装置において、処理室の上部に処理
室に連通するとともに少なくとも1の上部真空排気系を
有する上部排気室を設け、処理室の下部に処理室に連通
するとともに少なくとも1の下部真空排気系を有する下
部排気室を設けたことを特徴としている。かかる構成に
より、処理室の上部と下部から別系統の真空排気系を用
いて処理室内を真空排気することができるので、処理室
の容積が増えた場合であっても、処理室と上部および下
部排気室との間に設けられる多孔板(バッフル板)のコ
ンダクタンスにかかわらず、十分な有効排気速度を確保
できる。
According to a first aspect of the present invention, an upper electrode and a lower electrode are opposed to each other in a processing chamber, and at least one of the upper electrode and the lower electrode is disposed in the processing chamber. In a plasma processing apparatus for applying a high-frequency power to convert a processing gas into a plasma and performing processing on an object mounted on a lower electrode, the plasma processing apparatus communicates with the processing chamber at an upper part of the processing chamber and has at least one upper vacuum. An upper exhaust chamber having an exhaust system is provided, and a lower exhaust chamber communicating with the processing chamber and having at least one lower vacuum exhaust system is provided below the processing chamber. With this configuration, the processing chamber can be evacuated from the upper and lower parts of the processing chamber using a separate evacuation system, so that even if the volume of the processing chamber increases, the processing chamber and the upper and lower parts can be evacuated. Regardless of the conductance of the perforated plate (baffle plate) provided between the exhaust chamber and the exhaust chamber, a sufficient effective exhaust speed can be secured.

【0008】なお上記プラズマ処理装置において、請求
項2に記載のように、上部真空排気系および下部真空排
気系は、選択的にまたは同期して駆動することが可能で
あるように構成することが好ましい。かかる構成によれ
ば、要求される有効排気速度が小さい場合には一方の真
空排気系のみを選択的に駆動し、要求される有効排気速
度が大きい場合には両方の真空排気系を同期させて駆動
できるので、システムを柔軟に運用することが可能とな
る。
In the above plasma processing apparatus, the upper evacuation system and the lower evacuation system can be selectively or synchronously driven. preferable. According to such a configuration, when the required effective pumping speed is low, only one of the vacuum pumping systems is selectively driven, and when the required effective pumping speed is large, both the pumping systems are synchronized. Since it can be driven, the system can be operated flexibly.

【0009】また上記プラズマ処理装置において、処理
室には、請求項3に記載のように、少なくとも2以上の
処理ガス供給系が配されており、処理ガス供給系は選択
的にまたは同期して駆動することが可能であるように構
成することが好ましい。かかる構成によれば、被処理体
の大口径化/大型化により処理室の容量が増えた場合で
あっても、また上記構成により有効排気速度を高めた場
合であっても、処理室内に十分な処理ガスを供給するこ
とができる。
In the above plasma processing apparatus, the processing chamber is provided with at least two or more processing gas supply systems, and the processing gas supply systems are selectively or synchronously provided. It is preferable to be configured to be able to be driven. According to such a configuration, even if the capacity of the processing chamber is increased due to an increase in the diameter / size of the object to be processed, or even if the effective pumping speed is increased by the above configuration, the processing chamber can be sufficiently filled. The processing gas can be supplied.

【0010】さらに、上記プラズマ処理装置において、
各真空排気系および/または処理ガス供給系は、少なく
とも上部排気室と下部排気室のいずれか一方に設置され
た圧力センサの出力に応じて駆動制御されるように構成
することが好ましい。本発明によれば、上部排気室と下
部排気室との2つの排気室が設けられるが、発明者らの
知見によれば、各真空排気系を同期して駆動させれば、
必ずしも各排気室に圧力センサを設ける必要はなく、い
ずれかの排気室に設けたセンサの出力により、各真空排
気系および/または処理ガス供給系の駆動制御すれば、
十分な効果を得ることが可能である。
Further, in the above plasma processing apparatus,
It is preferable that each vacuum evacuation system and / or the processing gas supply system be configured to be driven and controlled in accordance with an output of a pressure sensor installed in at least one of the upper exhaust chamber and the lower exhaust chamber. According to the present invention, two exhaust chambers, an upper exhaust chamber and a lower exhaust chamber, are provided. According to the knowledge of the inventors, if each vacuum exhaust system is driven in synchronization,
It is not necessary to provide a pressure sensor in each exhaust chamber. If the drive of each vacuum exhaust system and / or the processing gas supply system is controlled by the output of a sensor provided in any one of the exhaust chambers,
It is possible to obtain a sufficient effect.

【0011】上記課題を解決するために、請求項5に記
載の発明は、上部電極と下部電極が対向配置され少なく
とも上部電極と下部電極のいずれか一方に高周波電力を
印加して処理ガスをプラズマ化し下部電極上に載置され
た被処理体に対して処理を施す処理室と、処理室の上部
にあって処理室に連通するとともに少なくとも1の上部
真空排気系を備えた上部排気室と、処理室の下部にあっ
て処理室に連通するとともに少なくとも1の下部真空排
気系を備えた下部排気室とを備えたプラズマ処理装置に
おいて、上部排気室と、下部電極を除く処理室と、下部
電極を含む下部排気室とは、それぞれ分離可能であるよ
うに構成されたことを特徴としている。かかる構成によ
れば、清掃や部品の交換などのメンテナンスを従来装置
に比較して容易にかつ迅速に行うことができる。
According to a fifth aspect of the present invention, an upper electrode and a lower electrode are arranged to face each other, and high-frequency power is applied to at least one of the upper electrode and the lower electrode to convert the processing gas into plasma. A processing chamber for performing processing on an object to be processed mounted on the lower electrode, an upper exhaust chamber that is provided at an upper part of the processing chamber, communicates with the processing chamber, and has at least one upper vacuum exhaust system; In a plasma processing apparatus having a lower exhaust chamber at a lower portion of a processing chamber and communicating with the processing chamber and having at least one lower evacuation system, a processing chamber excluding an upper exhaust chamber, a lower electrode, and a lower electrode And a lower exhaust chamber including the above. According to such a configuration, maintenance such as cleaning and replacement of parts can be performed easily and quickly as compared with the conventional apparatus.

【0012】そして、かかるプラズマ処理装置は、請求
項6に記載のように、下部排気室は固定されており、上
部排気室は、上部真空排気系とともに、昇降機構により
処理室および下部排気室に対して昇降自在であるととも
に、回転機構により天地逆転可能であるように構成され
ていることが好ましく、さらに、下部電極を除く処理室
は、請求項7に記載のように、上部排気室と下部電極を
含む下部排気室とから着脱自在であることが好ましい。
かかる構成によれば、より一層、処理装置のメンテナン
スの便宜を図ることが可能となる。
In this plasma processing apparatus, the lower exhaust chamber is fixed, and the upper exhaust chamber is connected to the processing chamber and the lower exhaust chamber by an elevating mechanism together with the upper vacuum exhaust system. It is preferable that the processing chamber except for the lower electrode is configured so that it can be moved up and down and can be turned upside down by a rotating mechanism. It is preferable to be detachable from the lower exhaust chamber including the electrodes.
According to such a configuration, it becomes possible to further facilitate the maintenance of the processing apparatus.

【0013】また、上記プラズマ処理装置において、請
求項8に記載のように、処理室は、下部電極を除く部分
の電位が実質的に等価となるように、下部電極を除き一
体成型されていることが好ましく、さらに、請求項9に
記載のように、少なくとも下部電極を除く処理室の内壁
は被膜でコーティングされており、その被膜は、被覆の
損傷が発見されたときに、または所定ロットの処理ごと
に、または所定の時間経過ごとに再コーティングされる
ように構成することができる。
In the above plasma processing apparatus, the processing chamber is integrally formed except for the lower electrode so that the potential of the portion other than the lower electrode is substantially equivalent. Preferably, further, as set forth in claim 9, at least the inner wall of the processing chamber except for the lower electrode is coated with a coating, and the coating is applied when damage to the coating is found or when a predetermined lot of the coating is damaged. It can be configured to be recoated after each process or after a predetermined period of time.

【0014】上記課題を解決するために、さらに請求項
10に記載の発明は、処理室内に上部電極と下部電極が
対向配置され、少なくとも上部電極と下部電極のいずれ
か一方に高周波電力を印加して処理ガスをプラズマ化
し、下部電極上に載置された被処理体に対して処理を施
すプラズマ処理装置において、処理室は、下部電極を除
く部分の電位が実質的に等価となるように、下部電極を
除き一体成型されていることを特徴としている。かかる
構成によれば、従来の処理室のように、プラズマが電位
の低い方に流れる傾向を防止できるので、より効果的に
プラズマを処理空間に閉じ込め、処理速度を向上させる
ことができる。
According to another aspect of the present invention, an upper electrode and a lower electrode are opposed to each other in a processing chamber, and high-frequency power is applied to at least one of the upper electrode and the lower electrode. In a plasma processing apparatus that performs processing on a target placed on the lower electrode by processing the processing gas into plasma, the processing chamber is configured such that the potentials of portions other than the lower electrode are substantially equivalent. It is characterized by being integrally molded except for the lower electrode. According to this configuration, unlike the conventional processing chamber, it is possible to prevent the plasma from flowing to the lower potential side, so that the plasma can be more effectively confined in the processing space and the processing speed can be improved.

【0015】[0015]

【発明の実施の形態】以下に添付図面を参照しながら本
発明にかかるプラズマ処理装置の実施の一形態について
添付図面を参照しながら詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of a plasma processing apparatus according to the present invention will be described below in detail with reference to the accompanying drawings.

【0016】図1には、本実施の形態にかかるプラズマ
処理装置をエッチング装置100に適用した実施の一形
態の断面を模式的に示した断面図である。このエッチン
グ装置100は、処理室PCを中心に、その上部に上部
排気室UC、その下部に下部排気室DCを備えている。
これらの処理室PC、上部排気室UC、下部排気室DC
は相互に分離可能であり、図2には、本エッチング装置
100を分離した状態の略断面図を示す。
FIG. 1 is a cross-sectional view schematically showing a cross section of an embodiment in which the plasma processing apparatus according to the present embodiment is applied to an etching apparatus 100. The etching apparatus 100 includes an upper exhaust chamber UC above the processing chamber PC and a lower exhaust chamber DC below the processing chamber PC.
These processing chamber PC, upper exhaust chamber UC, lower exhaust chamber DC
Can be separated from each other, and FIG. 2 is a schematic sectional view showing a state where the present etching apparatus 100 is separated.

【0017】まず処理室PCの構造から説明すると、処
理室PCは、アルミニウムなどの導電性材料を略円筒形
状に一体成型して成り、その内面は陽極酸化処理が施さ
れている。さらに、本実施の形態によれば、陽極酸化膜
の表面にコーティングが施されており、陽極酸化面が保
護されている。このコーティング材料としてはPBI
(ポリベンズイミダゾール)やポリイミド樹脂などを用
いることができる。後述するように、処理室PCは、エ
ッチング装置100から自在に取り外すことができるの
で、容易に再コーティング処理を行うことができる。し
たがって、上記コーティング材料に損傷が発見された場
合、あるいは所定ロットの処理後に、あるいは所定時間
経過後に、処理室PCに対して再コーティング処理を施
すことにより、プラズマによる処理室PCの損傷を防止
し、損傷箇所からのコンタミネーションの発生を防止す
ると共に、処理室PCの寿命を延長することが可能とな
る。
First, the structure of the processing chamber PC will be described. The processing chamber PC is formed by integrally molding a conductive material such as aluminum into a substantially cylindrical shape, and an inner surface thereof is subjected to an anodizing treatment. Further, according to the present embodiment, the surface of the anodic oxide film is coated to protect the anodic oxide surface. The coating material is PBI
(Polybenzimidazole) or a polyimide resin can be used. As described later, the processing chamber PC can be freely removed from the etching apparatus 100, so that the recoating processing can be easily performed. Therefore, if damage is found in the coating material, or after processing a predetermined lot, or after a predetermined time has elapsed, the processing chamber PC is re-coated to prevent damage to the processing chamber PC due to plasma. In addition, it is possible to prevent the occurrence of contamination from a damaged portion and extend the life of the processing chamber PC.

【0018】処理室PCの天井部102は、上部電極を
成すとともに、処理ガスの供給部を成すもので、その略
中央部表面(被処理体の対向面)には、図3に示すよう
に、多数のガス供給孔104が穿設されている。このガ
ス供給孔104は、組立時に、上部排気室UCの略中央
を貫通する処理ガス供給管106と連通し、処理ガス源
108より流量制御装置(MFC)により流量制御され
た処理ガスを、処理室内に均一に吹き出すことができ
る。なお、図3は、処理室PCを図2のA−A線で切断
して、処理室PCの天井部102を処理室PC側から上
部排気室UC側に見た断面図である。また、処理ガス供
給管106の下端106aは皿状にガス供給孔104が
穿設された領域を覆うように水平方向に展開しており、
その縁部分106bは、組立時に上記天井部102の上
面に気密に当接して、ガス供給孔104領域への処理ガ
ス供給系を構成する。処理ガスとしては、プラズマ処理
の種類に応じて各種ガスを使用することが可能であり、
例えばシリコン酸化膜(SiO2)のエッチングを行う
場合は、CF系のガス、例えばCF4やCHF3などの
エッチングガスを使用することができる。
The ceiling portion 102 of the processing chamber PC forms an upper electrode and a processing gas supply portion, and has a substantially central surface (a surface facing the object to be processed) as shown in FIG. , A large number of gas supply holes 104 are formed. The gas supply hole 104 communicates with a processing gas supply pipe 106 that penetrates substantially the center of the upper exhaust chamber UC at the time of assembling, and the processing gas whose flow rate is controlled by a flow control device (MFC) from a processing gas source 108 is processed. It can be blown out evenly into the room. FIG. 3 is a cross-sectional view of the processing chamber PC taken along the line AA in FIG. 2 and the ceiling 102 of the processing chamber PC viewed from the processing chamber PC side to the upper exhaust chamber UC side. Further, the lower end 106a of the processing gas supply pipe 106 is developed in a horizontal direction so as to cover a region where the gas supply holes 104 are formed in a dish shape,
The edge portion 106b hermetically contacts the upper surface of the ceiling portion 102 during assembly to form a processing gas supply system to the gas supply hole 104 region. As the processing gas, it is possible to use various gases depending on the type of plasma processing,
For example, when etching a silicon oxide film (SiO2), a CF-based gas, for example, an etching gas such as CF4 or CHF3 can be used.

【0019】さらに上記天井部102の外周部にも、図
3に示すように、組立時に処理室PCと上部排気室UC
とを連通する多数の上部排気孔112aが穿設された上
部バッフル板112が設置されている。この上部排気孔
112aの孔径および上部バッフル板112の設置面積
は、上部排気室UCへのプラズマの回り込みを防止する
とともに、必要な有効排気量を確保するために、排気時
のコンダクタンスが高くならないように設計されてい
る。
Further, as shown in FIG. 3, a processing chamber PC and an upper exhaust chamber UC are also provided at the outer peripheral portion of the ceiling portion 102 at the time of assembly.
An upper baffle plate 112 provided with a number of upper exhaust holes 112a communicating therewith is provided. The hole diameter of the upper exhaust hole 112a and the installation area of the upper baffle plate 112 prevent the plasma from flowing into the upper exhaust chamber UC and ensure that the conductance at the time of exhaust does not increase in order to secure a necessary effective exhaust amount. Designed for

【0020】また処理室PCの底部中央には、図2に示
すように、分解時には、下部排気室DCの一部を成す
が、組立時には、図1に示すように処理室PCの一部を
成す下部電極114が配されている。この下部電極11
4は、図1および図4に示すように、半導体ウェハWな
どの被処理体を載置することができるもので、サセプタ
とも称されるものである。なお、図4は、処理室PCを
図2のA−A線で切断して、処理室PCの底部を処理室
PC側から下部排気室DC側に見た断面図である。この
サセプタ114は、セラミックなどの絶縁板115を介
して、後述する下部排気室DCの中央部を貫通する昇降
軸116によって支持されており、この昇降軸116
は、不図示の外部モータにより、上下動自在となってい
る。したがって、被処理体Wを処理室PCに搬入搬出す
る際には、サセプタ114は下部排気室DCの側壁に設
けられたゲートバルブ116の位置まで下降し、処理時
には、後述する下部バッフル板118の上面と略同一面
を成す処理位置まで上昇する。なお処理室PCおよび下
部排気室DCの機密性を確保するために、サセプタ11
4は下部排気室DCの底部との間には、昇降軸116の
外方を囲むように伸縮自在な気密部材、例えばベローズ
120が設けられている。また昇降軸116の内部には
サセプタ114に連通する給電経路113が設けられて
おり、処理時には、高周波電源117より、例えば1
3.56MHzの高周波を下部電極114に印加し、処
理室PC内に導入された処理ガスをプラズマ化し、被処
理体Wに対して所定のプラズマ処理を施すことができ
る。
At the center of the bottom of the processing chamber PC, as shown in FIG. 2, it forms a part of the lower exhaust chamber DC at the time of disassembly, but at the time of assembly, as shown in FIG. A lower electrode 114 is provided. This lower electrode 11
As shown in FIGS. 1 and 4, reference numeral 4 denotes a member on which an object to be processed such as a semiconductor wafer W can be placed, which is also called a susceptor. FIG. 4 is a cross-sectional view of the processing chamber PC cut along the line AA in FIG. 2 and the bottom of the processing chamber PC viewed from the processing chamber PC side to the lower exhaust chamber DC side. The susceptor 114 is supported by an elevating shaft 116 that penetrates a central portion of a lower exhaust chamber DC, which will be described later, via an insulating plate 115 made of ceramic or the like.
Are vertically movable by an external motor (not shown). Therefore, when the workpiece W is loaded and unloaded into the processing chamber PC, the susceptor 114 is lowered to the position of the gate valve 116 provided on the side wall of the lower exhaust chamber DC. It rises to the processing position which forms the substantially same plane as the upper surface. In order to secure the confidentiality of the processing chamber PC and the lower exhaust chamber DC, the susceptor 11
An airtight member, for example, a bellows 120, is provided between the lower exhaust chamber DC and the lower part 4 so as to be able to expand and contract so as to surround the outside of the elevating shaft 116. A power supply path 113 communicating with the susceptor 114 is provided inside the elevating shaft 116.
By applying a high frequency of 3.56 MHz to the lower electrode 114, the processing gas introduced into the processing chamber PC is turned into plasma, and the target object W can be subjected to a predetermined plasma processing.

【0021】さらにサセプタ114は、表面が陽極酸化
処理されたアルミニウムからなり、その内部には、温度
調節手段、例えばセラミックヒータなどの加熱手段(図
示せず)や、外部の冷媒源(図示せず)との間で冷媒を
循環させるための冷媒循環路(図示せず)が設けられて
おり、サセプタ114上に載置される被処理体Wを所定
温度に維持することが可能なように構成されている。ま
たかかる温度は、温度センサ(図示せず)、および温度
制御機構(図示せず)によって自動的に制御される構成
となっている。また上記サセプタ114の載置面には、
被処理体Wをサセプタ114上に固定するための静電チ
ャック(図示せず)や機械的なクランプ機構(図示せ
ず)が設けられている。
The susceptor 114 is made of aluminum whose surface is anodically oxidized. Inside the susceptor 114, a temperature control means, for example, a heating means (not shown) such as a ceramic heater, or an external coolant source (not shown) is provided. A coolant circulation path (not shown) for circulating the coolant between the susceptor 114 and the susceptor 114 is provided at a predetermined temperature. Have been. The temperature is automatically controlled by a temperature sensor (not shown) and a temperature control mechanism (not shown). Also, on the mounting surface of the susceptor 114,
An electrostatic chuck (not shown) for fixing the workpiece W on the susceptor 114 and a mechanical clamping mechanism (not shown) are provided.

【0022】さらに上記サセプタ114の周囲には、図
4に示すように、組立時に処理室PCと下部排気室DC
とを連通する多数の下部排気孔118aが穿設された下
部バッフル板118が設置されている。この下部排気孔
118aの孔径および下部バッフル板118の設置面積
についても、上部排気孔112aおよび上部バッフル板
112と同様に、下部排気室DCへのプラズマの回り込
みを防止するとともに、必要な有効排気量を確保するた
めに、排気時のコンダクタンスが高くならないように設
計されている。また、上記バッフル板118の内周部に
石英などからなるフォーカスリングを設けて、プラズマ
を被処理体Wに効果的に入射させる構成とすることもで
きる。
Further, around the susceptor 114, as shown in FIG.
Is provided with a lower baffle plate 118 having a number of lower exhaust holes 118a communicating therewith. Regarding the hole diameter of the lower exhaust hole 118a and the installation area of the lower baffle plate 118, similarly to the upper exhaust hole 112a and the upper baffle plate 112, it is possible to prevent the plasma from flowing into the lower exhaust chamber DC and to obtain a necessary effective exhaust amount. It is designed so that the conductance at the time of exhaust does not increase in order to ensure Further, a focus ring made of quartz or the like may be provided on the inner peripheral portion of the baffle plate 118 so that the plasma can be effectively incident on the workpiece W.

【0023】以上、組立時に処理室PCを構成する上部
電極104、下部電極114、上部バッフル板112、
下部バッフル板118などについて説明したが、本実施
の形態によれば、図2に示すように、分解時には、処理
室PCは、下部電極(サセプタ)114を除き一体物を
成すように構成されている。かかる構成により、メンテ
ナンスが容易になるばかりでなく、下部電極(サセプ
タ)114を除く部分の電位が均一化されるので、従来
の装置のように、処理室PC内に生成した反応性プラズ
マが、電位の低い方に流れる現象を効果的に防止し、プ
ラズマを処理空間(被処理体Wの上部空間)に閉じ込め
ることが可能となり、その結果、処理速度の向上を図る
ことが可能となる。
As described above, the upper electrode 104, the lower electrode 114, the upper baffle plate 112,
Although the lower baffle plate 118 and the like have been described, according to the present embodiment, as shown in FIG. 2, at the time of disassembly, the processing chamber PC is configured to form an integral body except for the lower electrode (susceptor) 114. I have. This configuration not only facilitates maintenance, but also makes the potential of the portion other than the lower electrode (susceptor) 114 uniform, so that the reactive plasma generated in the processing chamber PC as in the conventional apparatus becomes It is possible to effectively prevent the phenomenon of flowing to the lower potential side and to confine the plasma in the processing space (the upper space of the processing target object W). As a result, it is possible to improve the processing speed.

【0024】次に、上部排気室UCの構成について説明
すると、この上部排気室UCは、処理室PCと同様に略
円筒形状をしており、例えばアルミニウムから構成さ
れ、その内壁面には陽極酸化処理が施されている。また
この上部排気室UCは処理室PCの上部に気密に嵌合す
るように構成されており、組立時に、処理室PC内と上
部排気室UC内とは、上部バッフル板112の上部排気
孔112aを介して相互に連通する。また、すでに説明
したように、上部排気室UCの略中央には処理ガス供給
管106が貫通しており、処理室PCの上部電極102
に穿設された処理ガス供給孔104より所定の処理ガス
を処理室PC内に供給することができる。また上部排気
室UCには、流量調整弁122を介してターボポンプ1
24からなる上部真空排気系が接続されており、上部排
気孔112aを介して処理室PC内を排気することがで
きる。なお、上部真空排気系の駆動タイミングおよび排
気量は、後述するように、下部排気室DCに設けられた
圧力センサ126からの出力を受けた制御器128によ
り制御される。
Next, the structure of the upper exhaust chamber UC will be described. The upper exhaust chamber UC has a substantially cylindrical shape similarly to the processing chamber PC, and is made of, for example, aluminum. Processing has been applied. The upper exhaust chamber UC is configured to fit airtightly into the upper portion of the processing chamber PC. At the time of assembly, the interior of the processing chamber PC and the interior of the upper exhaust chamber UC are separated from each other by the upper exhaust holes 112a of the upper baffle plate 112. Communicate with each other via Further, as described above, the processing gas supply pipe 106 penetrates substantially in the center of the upper exhaust chamber UC, and the upper electrode 102 of the processing chamber PC.
A predetermined processing gas can be supplied into the processing chamber PC from the processing gas supply hole 104 formed in the processing chamber PC. In addition, a turbo pump 1 is connected to the upper exhaust chamber UC via a flow control valve 122.
An upper evacuation system consisting of 24 is connected, and the inside of the processing chamber PC can be evacuated through the upper evacuation hole 112a. The drive timing and the exhaust amount of the upper vacuum exhaust system are controlled by a controller 128 which receives an output from a pressure sensor 126 provided in the lower exhaust chamber DC, as described later.

【0025】次に、下部排気室DCの構成について説明
すると、この下部排気室DCの上部は、処理室PCと同
様に略円筒形状をしており、サセプタ114の昇降機構
などの駆動部が収容される下部は、略矩形形状をしてい
る(図5参照)。また下部排気室DCも、上部排気室U
Cと同様に、例えばアルミニウムから構成され、その内
壁面には陽極酸化処理が施されている。またこの下部排
気室DCは処理室PCの下部に気密に嵌合するように構
成されており、組立時に、処理室PC内と下部排気室D
C内とは、下部バッフル板118の下部排気孔118a
を介して相互に連通する。また、すでに説明したよう
に、下部排気室UCの略中央には、上下動可能なサセプ
タ114が収容されている。また下部排気室DCの略矩
形の下部の一方壁には、被処理体Wの搬入搬出を行うた
めのゲートバルブ116が設けられている。このゲート
バルブ116は、不図示のロードロック室に連通してお
り、このゲートバルブ116を介して不図示の搬送アー
ム等の搬送機構により被処理体Wをエッチング装置10
0に対して搬入搬出するものである。なお、被処理体W
の搬入搬出時には、サセプタ114はその上面がゲート
バルブ116の位置になるまで下降される。また下部排
気室DCには、流量調整弁130を介してターボポンプ
132からなる下部真空排気系が接続されており、下部
排気孔118aを介して処理室PC内を排気することが
できる。また下部排気室DCには、圧力センサ126が
設けられており、その圧力センサ126からの出力に応
じて制御器128は、上部および下部真空排気系の駆動
タイミングおよび排気量、並びに処理ガス供給量を制御
する。
Next, the structure of the lower exhaust chamber DC will be described. The upper part of the lower exhaust chamber DC has a substantially cylindrical shape similarly to the processing chamber PC, and accommodates a drive unit such as a lifting mechanism of the susceptor 114. The lower part to be formed has a substantially rectangular shape (see FIG. 5). In addition, the lower exhaust chamber DC is also the upper exhaust chamber U
Like C, it is made of, for example, aluminum, and its inner wall surface is anodized. The lower exhaust chamber DC is configured to fit airtightly into the lower part of the processing chamber PC.
C means the lower exhaust hole 118a of the lower baffle plate 118
Communicate with each other via In addition, as described above, the susceptor 114 that can move up and down is housed substantially at the center of the lower exhaust chamber UC. In addition, a gate valve 116 for carrying in / out the workpiece W is provided on one lower wall of the substantially rectangular shape of the lower exhaust chamber DC. The gate valve 116 communicates with a load lock chamber (not shown), and the workpiece W is transferred to the etching apparatus 10 by a transport mechanism such as a transport arm (not shown) via the gate valve 116.
0 is carried in and out. The object W to be processed
At the time of loading and unloading, the susceptor 114 is lowered until the upper surface thereof is at the position of the gate valve 116. In addition, a lower vacuum exhaust system including a turbo pump 132 is connected to the lower exhaust chamber DC via a flow control valve 130, and the inside of the processing chamber PC can be exhausted via the lower exhaust hole 118a. Further, a pressure sensor 126 is provided in the lower exhaust chamber DC, and in accordance with an output from the pressure sensor 126, a controller 128 drives the upper and lower vacuum evacuation systems in terms of drive timing and exhaust amount, and processing gas supply amount. Control.

【0026】なお、上部真空排気系および下部真空排気
系を同時に駆動する場合には、制御器128により、駆
動タイミングが同期するように制御される。また、要求
される有効排気速度が余り高くないような場合には、い
ずれか一方の真空排気系を選択的に駆動することができ
る。
When the upper and lower evacuation systems are driven simultaneously, the controller 128 controls the driving timing so as to be synchronized. When the required effective pumping speed is not so high, one of the vacuum pumping systems can be selectively driven.

【0027】以上説明したように、本発明にかかるプラ
ズマ処理装置は、上部排気室UCと処理室PCと下部排
気室DCとから構成されており、これらの各チャンバを
分離させることが可能な点に最大の特徴を有している。
すなわち、これらの各チャンバを分離可能に構成するこ
とにより、従来の装置に比較して、メンテナンスを遥か
に容易にかつ迅速に行うことができる。特に、本発明に
よれば、処理室PCを一体的に構成することにより、下
部電極114を除く処理室PCの電位差をなくし、プラ
ズマの封じ込めを促進することが可能となるとともに、
処理室PC自体を装置から容易に取り外すことが可能と
なり、プラズマ処理により損傷を受けやすい処理室PC
内のメンテナンスを容易に行うことができる。そして、
すでに説明したように、処理室PCの内壁を構成する陽
極酸化膜の表面にさらにコーティングを施した場合に
は、このコーティング材料に損傷が発見された時に、あ
るいは所定ロットの処理後に、あるいは所定時間経過後
に、取り外した処理室PCに対して再コーティング処理
を容易に施すことが可能となる。
As described above, the plasma processing apparatus according to the present invention includes the upper exhaust chamber UC, the processing chamber PC, and the lower exhaust chamber DC, and can separate these chambers. Has the greatest features.
That is, by configuring each of these chambers to be separable, maintenance can be performed much easier and faster than in the conventional apparatus. In particular, according to the present invention, by integrally configuring the processing chamber PC, it is possible to eliminate the potential difference of the processing chamber PC excluding the lower electrode 114 and to promote plasma containment,
The processing room PC itself can be easily removed from the apparatus, and the processing room PC is easily damaged by the plasma processing.
Maintenance inside can be easily performed. And
As described above, when a coating is further applied to the surface of the anodic oxide film constituting the inner wall of the processing chamber PC, when the coating material is found to be damaged, after processing a predetermined lot, or for a predetermined time. After the lapse of time, it becomes possible to easily perform the recoating process on the removed processing chamber PC.

【0028】次に、本実施の形態にかかるエッチング装
置100の各チャンバを分離する機構200およびその
動作について、図5および図6を参照しながら説明す
る。図5に示すように、本エッチング装置100の上部
排気室UCは、2本のアーム202に固定されている。
そして、アーム202は、昇降機構204に回転自在に
取り付けられたベース206に固定されている。昇降機
構204は、駆動モータ208によりボールネジ210
を回転させることにより、昇降軸210に沿って上下動
可能に構成されている。
Next, a mechanism 200 for separating each chamber of the etching apparatus 100 according to the present embodiment and its operation will be described with reference to FIGS. As shown in FIG. 5, the upper exhaust chamber UC of the present etching apparatus 100 is fixed to two arms 202.
The arm 202 is fixed to a base 206 rotatably attached to the elevating mechanism 204. The lifting mechanism 204 is driven by a drive motor 208 so that a ball screw 210
Is configured to be able to move up and down along the elevating shaft 210 by rotating.

【0029】メンテナンス時などにエッチング装置10
0を分解する場合には、まず、昇降機構204を上昇さ
せ、上部排気室UCを処理室PCおよび下部排気室DC
から分離させた後、図6に示すように、ベース206を
回転させ、上部排気室UCの天地を逆転させる。このよ
うに、上部排気室UCの天地を逆転させることにより、
上部排気室UCの内部の清掃等を容易に行うことができ
る。なお、図示の例では、上部排気室UCに取り付けら
れるターボポンプ124(図1)は省略されているが、
本機構200によれば、ターボポンプ124も上部排気
室UCと一緒に上昇させ、さらに回転させることができ
る。もちろん、ターボポンプ124を上部排気室UCか
ら取り外した後に、上部排気室UCを処理室PCおよび
下部排気室DCから分離させることも可能である。
The etching apparatus 10 is used for maintenance or the like.
In the case of disassembling 0, first, the lifting mechanism 204 is raised, and the upper exhaust chamber UC is connected to the processing chamber PC and the lower exhaust chamber DC.
Then, as shown in FIG. 6, the base 206 is rotated to reverse the top and bottom of the upper exhaust chamber UC. Thus, by reversing the top and bottom of the upper exhaust chamber UC,
It is possible to easily clean the inside of the upper exhaust chamber UC. In the illustrated example, the turbo pump 124 (FIG. 1) attached to the upper exhaust chamber UC is omitted,
According to the present mechanism 200, the turbo pump 124 can be raised together with the upper exhaust chamber UC and further rotated. Of course, it is also possible to separate the upper exhaust chamber UC from the processing chamber PC and the lower exhaust chamber DC after removing the turbo pump 124 from the upper exhaust chamber UC.

【0030】このようにして、上部排気室UCを処理室
PCおよび下部排気室DCから分離させた後、図6に示
すように、処理室PCを下部排気室DCから取り外す。
図示の例では、処理室PCの取り外しを、メンテナンス
要員が手動で行う構成が示されているが、もちろんロボ
ットアーム等を用いて自動的に取り外しを行うように構
成してもよい。メンテナンス終了後の組立は、分解動作
と逆順で行うことが可能なので、その詳細な説明は省略
する。
After the upper exhaust chamber UC is separated from the processing chamber PC and the lower exhaust chamber DC in this way, the processing chamber PC is removed from the lower exhaust chamber DC as shown in FIG.
In the illustrated example, a configuration in which the maintenance personnel manually removes the processing chamber PC is shown. However, a configuration may be employed in which the processing chamber PC is automatically removed using a robot arm or the like. The assembly after the maintenance is completed can be performed in the reverse order of the disassembling operation, and the detailed description thereof will be omitted.

【0031】次に、本実施の形態にかかるエッチング装
置100により、半導体ウェハWの酸化膜(SiO2)
に対してエッチング処理を施す場合の動作について簡単
に説明する。なお、エッチング装置100の上部排気室
UC、処理室PCおよび下部排気室DCは、すでに気密
に組み立てられているものとする。
Next, the oxide film (SiO 2) of the semiconductor wafer W is set by the etching apparatus 100 according to the present embodiment.
The operation in the case of performing an etching process on the substrate will be briefly described. It is assumed that the upper exhaust chamber UC, the processing chamber PC, and the lower exhaust chamber DC of the etching apparatus 100 are already airtightly assembled.

【0032】まず、サセプタ114をゲートバルブ11
6の位置にまで下降させた後、ロードロック室(図示せ
ず)に連通するゲートバルブ116を開放し、搬送アー
ム(図示せず)により、ウェハWが下部排気室DC内の
サセプタ114上に載置され、静電チャック(図示せ
ず)によりサセプタ114上に吸着保持される。次い
で、搬送アームが下部排気室DCから待避したことを確
認した後、ゲートバルブ116が閉止される。そしてサ
セプタ114は、処理位置(図1に示す位置)にまで上
昇される。
First, the susceptor 114 is connected to the gate valve 11.
6, the gate valve 116 communicating with the load lock chamber (not shown) is opened, and the wafer W is moved onto the susceptor 114 in the lower exhaust chamber DC by the transfer arm (not shown). The susceptor is placed and held on the susceptor 114 by an electrostatic chuck (not shown). Next, after confirming that the transfer arm has been retracted from the lower exhaust chamber DC, the gate valve 116 is closed. Then, the susceptor 114 is raised to the processing position (the position shown in FIG. 1).

【0033】次いで、上部排気室UCに接続されるター
ボポンプ124と下部排気室DCに接続されるターボポ
ンプ132を同期駆動し、処理室PCの天井部周囲の上
部排気孔112aおよび底部周囲の下部排気孔118a
を介して、処理室PC内を所定の圧力にまで減圧する。
次いで、処理ガス源108から流量制御装置100を介
して、処理ガス、例えばCF4ガスが、処理室PCの上
部電極102の下面の処理ガス供給孔104から処理室
PC内に噴出される。なお、処理室PC内は、下部排気
室126に設置された圧力センサの出力を受ける制御器
128により、上部真空排気系および下部真空排気系、
並びに処理ガス供給系の動作を調整することにより、所
定の圧力、例えば10mTorrに設定、維持される。
この場合、本実施の形態にかかるエッチング装置100
によれば、処理室PC内を上下に設置された上部排気室
UCと下部排気室DCの双方から真空排気するので、被
処理体の大口径化および大型化に伴い、処理室PC内の
容量が大きくなった場合であっても、上部排気孔112
aおよび下部排気孔118aのコンダクタンスにかかわ
らず、処理室PC内を所定の減圧雰囲気に調整維持する
ことを容易に行うことが可能である。
Next, the turbo pump 124 connected to the upper exhaust chamber UC and the turbo pump 132 connected to the lower exhaust chamber DC are driven synchronously, and the upper exhaust hole 112a around the ceiling and the lower part around the bottom of the processing chamber PC. Exhaust hole 118a
, The pressure inside the processing chamber PC is reduced to a predetermined pressure.
Next, a processing gas, for example, a CF 4 gas, is spouted from the processing gas source 108 through the flow control device 100 into the processing chamber PC from the processing gas supply hole 104 on the lower surface of the upper electrode 102 of the processing chamber PC. The inside of the processing chamber PC is controlled by a controller 128 that receives an output of a pressure sensor installed in the lower exhaust chamber 126, so that the upper evacuation system and the lower evacuation system are controlled.
In addition, by adjusting the operation of the processing gas supply system, the pressure is set and maintained at a predetermined pressure, for example, 10 mTorr.
In this case, the etching apparatus 100 according to the present embodiment
According to the method described above, since the inside of the processing chamber PC is evacuated from both the upper exhaust chamber UC and the lower exhaust chamber DC installed vertically, the capacity inside the processing chamber PC is increased with the increase in the diameter and the size of the object to be processed. Is larger, the upper exhaust holes 112
It is possible to easily adjust and maintain the inside of the processing chamber PC to a predetermined reduced pressure atmosphere regardless of the conductance of the lower exhaust hole 118a.

【0034】その後、高周波電源117より、例えば1
3.56MHzの高周波が下部電極114に印加され、
処理室PC内に導入された処理ガスがプラズマ化され
る。この場合、本実施の形態によれば、処理室PCは下
部電極114を除いて一体的に構成されているので、処
理室PC内に電位差が生じにくく、プラズマが低い電位
部分に流れる現象を効果的に回避できる。その結果、プ
ラズマを処理空間に効果的に閉じ込めることが可能とな
り、処理速度を向上させることができる。またエッチン
グ時に処理室PC内に生成する反応生成物も、本実施の
形態によれば、上下の排気室UC、DCより適宜排気さ
れるので、一系統の真空排気系のみを備えた従来装置に
比較して、デポの付着も防止できる。
Thereafter, for example, 1
A high frequency of 3.56 MHz is applied to the lower electrode 114,
The processing gas introduced into the processing chamber PC is turned into plasma. In this case, according to the present embodiment, since the processing chamber PC is integrally formed except for the lower electrode 114, a potential difference hardly occurs in the processing chamber PC, and the phenomenon that plasma flows to a low potential portion is effectively prevented. Can be avoided. As a result, the plasma can be effectively confined in the processing space, and the processing speed can be improved. According to the present embodiment, reaction products generated in the processing chamber PC at the time of etching are also appropriately exhausted from the upper and lower exhaust chambers UC and DC, so that a conventional apparatus having only one system of vacuum exhaust system can be used. In comparison, deposition of a deposit can be prevented.

【0035】以上のようにして所定の処理が終了した
後、高周波電力の印加および処理ガスの供給が停止さ
れ、上下真空排気系の排気速度を調整しながら、処理室
PC内にパージガスが導入される。そして、所定の圧力
まで昇圧された後、サセプタ114が搬出位置にまで下
降される。次いで、ゲートバルブ116が開放し、搬送
アームにより処理済みのウェハWが下部排気室DCから
搬出され、一連の動作を終了する。
After the predetermined processing is completed as described above, the application of the high-frequency power and the supply of the processing gas are stopped, and the purge gas is introduced into the processing chamber PC while adjusting the exhaust speed of the upper and lower vacuum exhaust system. You. Then, after the pressure is increased to a predetermined pressure, the susceptor 114 is lowered to the carry-out position. Next, the gate valve 116 is opened, the processed wafer W is unloaded from the lower exhaust chamber DC by the transfer arm, and a series of operations is completed.

【0036】なお、上記エッチング装置100のメンテ
ナンス時には、上部排気室UCと処理室PCと下部排気
室DCとが分離され、それぞれに対してメンテナンスが
施されるが、これらのチャンバの分離動作については、
図5および図6に関連してすでに説明したので、重複説
明は省略する。
During the maintenance of the etching apparatus 100, the upper exhaust chamber UC, the processing chamber PC, and the lower exhaust chamber DC are separated from each other, and maintenance is performed on each of them. ,
Since the description has already been made in relation to FIG. 5 and FIG.

【0037】以上本発明の好適な実施の一形態につい
て、添付図面を参照しながら説明したが、本発明はかか
る例に限定されない。特許請求の範囲に記載された技術
的思想の範疇において、当業者であれば、各種の変更例
および修正例に想到し得るものであり、それらの変更例
および修正例についても本発明の技術的範囲に属するも
のと了解される。
Although the preferred embodiment of the present invention has been described with reference to the accompanying drawings, the present invention is not limited to this example. Within the scope of the technical idea described in the claims, those skilled in the art can come up with various modified examples and modified examples. It is understood that it belongs to the range.

【0038】例えば、真空排気系に関して、上記実施の
形態では、上部排気室および下部排気室にそれぞれ一系
統の真空排気系を接続する構成を示したが、本発明はか
かる例に限定されず、少なくとも上部排気室と下部排気
室にに一系統ずつ真空排気系が接続されていれば、任意
の数の真空排気系を各排気室に接続することが可能であ
る。例えば、より大きな有効排気速度が要求されるよう
な場合には、図7に示すように、下部排気室DCに2つ
の真空排気系P1、P2を接続するとともに、上部排気
室UCに1つの真空排気系P3を接続し、これら3系統
の真空排気系P1〜P3を、同期させてあるいは選択的
に駆動するように構成することができる。なお、図7の
基本的構成は、図1〜図6に関連して説明したものと実
質的に変わらないので、実質的に同一の機能構成を有す
る部材については、同一の参照番号を付することにより
重複説明を省略することにする。
For example, with respect to the vacuum exhaust system, in the above-described embodiment, a configuration in which one system of the vacuum exhaust system is connected to each of the upper exhaust chamber and the lower exhaust chamber has been described, but the present invention is not limited to this example. As long as at least one vacuum exhaust system is connected to at least the upper exhaust chamber and the lower exhaust chamber, an arbitrary number of vacuum exhaust systems can be connected to each exhaust chamber. For example, when a larger effective pumping speed is required, as shown in FIG. 7, two vacuum pumping systems P1 and P2 are connected to the lower pumping chamber DC, and one vacuum pumping system UC is connected to the upper pumping chamber UC. The exhaust system P3 is connected, and the three vacuum exhaust systems P1 to P3 can be configured to be driven synchronously or selectively. Note that the basic configuration of FIG. 7 is substantially the same as that described with reference to FIGS. 1 to 6, and thus, members having substantially the same functional configuration are denoted by the same reference numerals. Thus, the duplicate description will be omitted.

【0039】また、ガス供給系に関して、上記実施の形
態では、処理室PCの上部電極102に穿設されたガス
供給孔104から処理ガスを供給する一系統のガス供給
系のみが示されていたが、本発明によれば、複数の処理
ガス供給系を設けて、複数箇所から処理室PC内にガス
を供給するように構成してもよい。かかる構成によれ
ば、被処理体の大口径化および大型化に伴って、処理室
PCの容積が拡大した場合であっても、処理室PC内に
十分な量の処理ガスを均一に分布させることが可能とな
る。例えば図7に示す例では、図1〜図6に示すガス供
給系に加えて、別のガス供給系150が設けられてい
る。このガス供給系150は、処理室PCの側壁の外方
にガス供給系路152が巡らされており、処理室PCの
側壁に形成された処理ガス供給孔154からも処理ガス
を処理室PC内に導入することが可能である。
As for the gas supply system, in the above embodiment, only one gas supply system for supplying the processing gas from the gas supply hole 104 formed in the upper electrode 102 of the processing chamber PC is shown. However, according to the present invention, a plurality of processing gas supply systems may be provided to supply gas into the processing chamber PC from a plurality of locations. According to this configuration, a sufficient amount of the processing gas is uniformly distributed in the processing chamber PC even when the volume of the processing chamber PC is increased due to the increase in the diameter and size of the object to be processed. It becomes possible. For example, in the example shown in FIG. 7, another gas supply system 150 is provided in addition to the gas supply systems shown in FIGS. In the gas supply system 150, a gas supply system path 152 is routed outside the side wall of the processing chamber PC, and the processing gas is also supplied from the processing gas supply hole 154 formed in the side wall of the processing chamber PC. It is possible to introduce

【0040】さらに上記実施の形態では下部電極にのみ
高周波電源を接続する構成を示したが、本発明はかかる
実施の形態に限定されない。例えば、上部電極にも高周
波電源を接続し、上部電極と下部電極間で位相の異なる
高周波電力を供給して、プラズマ密度を制御することが
可能なプラズマ処理装置にも当然に適用することが可能
である。
Further, in the above embodiment, the configuration in which the high-frequency power supply is connected only to the lower electrode is shown, but the present invention is not limited to this embodiment. For example, a high-frequency power supply can be connected to the upper electrode, and high-frequency power with a different phase can be supplied between the upper and lower electrodes, so that it can be applied to a plasma processing apparatus that can control the plasma density. It is.

【0041】さらに、上記実施の形態では、半導体ウェ
ハ表面のシリコン酸化膜(SiO2)をエッチングする
装置を例に挙げて、本発明を説明したが、本発明はかか
る例に限定されず、他のエッチングプロセスを実施する
装置としても構成することができる。また、本発明を適
用できる装置についても、エッチングを行うエッチング
装置に限らず、プラズマにより被処理体に対して処理を
行う各種プロセス、例えばアッシング、スパッタリン
グ、CVD処理などを行う装置に対しても適用すること
ができる。さらにまた、被処理体についても、ウェハに
限らず、LCD基板の加工にも当然に適用することがで
きる。
Further, in the above-described embodiment, the present invention has been described by taking as an example an apparatus for etching a silicon oxide film (SiO 2) on the surface of a semiconductor wafer. However, the present invention is not limited to such an example, and the present invention is not limited thereto. It can also be configured as an apparatus for performing an etching process. In addition, the apparatus to which the present invention can be applied is not limited to an etching apparatus for performing etching, but is also applicable to various processes for performing processing on an object to be processed by plasma, for example, an apparatus for performing ashing, sputtering, CVD processing, and the like. can do. Further, the object to be processed is not limited to a wafer, and can be naturally applied to processing of an LCD substrate.

【0042】[0042]

【発明の効果】以上説明したように、本発明によれば、
処理室の上下に設置された排気室から別系統の真空排気
系により排気を行うので、被処理体が大口径化または大
型化した場合にも、上部および下部排気室と処理室とを
それぞれ連通する上部および下部排気孔のコンダクタン
スにもかかわらず、十分な有効排気速度を確保すること
ができる。
As described above, according to the present invention,
Since the exhaust chambers located above and below the processing chamber are evacuated by separate vacuum exhaust systems, the upper and lower exhaust chambers communicate with the processing chamber even when the workpiece becomes large or large. In spite of the conductance of the upper and lower exhaust holes, a sufficient effective exhaust speed can be ensured.

【0043】また本発明によれば、プラズマ処理装置を
構成する上部排気室と処理室と下部排気室とを、簡単に
分離することができるので、処理装置内のメンテナンス
を容易にかつ迅速に行うことができる。
According to the present invention, the upper exhaust chamber, the processing chamber, and the lower exhaust chamber constituting the plasma processing apparatus can be easily separated from each other, so that maintenance in the processing apparatus can be performed easily and quickly. be able to.

【0044】さらに本発明によれば、処理室が、下部電
極部分を除いて一体的に構成されているので、処理室内
の電位を均一化することが可能となり、プラズマが電位
の低い方向に流れる傾向を抑えることができる。その結
果、プラズマを処理空間に効果的に閉じ込めることがで
きる。
Further, according to the present invention, since the processing chamber is integrally formed except for the lower electrode portion, the potential in the processing chamber can be made uniform, and the plasma flows in the direction of lower potential. The tendency can be suppressed. As a result, the plasma can be effectively confined in the processing space.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明にかかるプラズマ処理装置をエッチング
装置に適用した実施の一形態の概略的な断面図である。
FIG. 1 is a schematic sectional view of an embodiment in which a plasma processing apparatus according to the present invention is applied to an etching apparatus.

【図2】図1に示すエッチング装置を、上部排気室と、
処理室と、下部排気室に分離させた様子を示す概略的な
断面図である。
FIG. 2 shows an etching apparatus shown in FIG.
FIG. 4 is a schematic cross-sectional view showing a state where the processing chamber and a lower exhaust chamber are separated.

【図3】処理室を、図2のA−A線で切断し、処理室側
から上部排気室側に見た様子を示す概略的な断面図であ
る。
FIG. 3 is a schematic cross-sectional view showing a state where the processing chamber is cut along a line AA in FIG. 2 and viewed from the processing chamber side to the upper exhaust chamber side.

【図4】処理室を、図2のA−A線で切断し、処理室側
から下部排気室側に見た様子を示す概略的な断面図であ
る。
4 is a schematic cross-sectional view showing a state where the processing chamber is cut along the line AA in FIG. 2 and viewed from the processing chamber side to the lower exhaust chamber side.

【図5】本発明にかかるプラズマ処理装置の上部排気室
と処理室と下部排気室とを分離させる機構を示す概略的
な見取図である。
FIG. 5 is a schematic sketch showing a mechanism for separating an upper exhaust chamber, a processing chamber, and a lower exhaust chamber of the plasma processing apparatus according to the present invention.

【図6】図5に示すプラズマ処理装置の上部排気室と処
理室と下部排気室とを分離させる分離機構の動作を示す
概略的な見取図である。
6 is a schematic sketch showing an operation of a separation mechanism for separating an upper exhaust chamber, a processing chamber, and a lower exhaust chamber of the plasma processing apparatus shown in FIG. 5;

【図7】本発明にかかるプラズマ処理装置のさらに別の
実施の形態を示す概略的な断面図である。
FIG. 7 is a schematic sectional view showing still another embodiment of the plasma processing apparatus according to the present invention.

【図8】従来のプラズマ処理装置の概略的な構成を示す
断面図である。
FIG. 8 is a cross-sectional view illustrating a schematic configuration of a conventional plasma processing apparatus.

【符号の説明】[Explanation of symbols]

UC 上部排気室 PC 処理室 DC 下部排気室 102 上部電極 104 ガス供給孔 106 ガス供給管 108 ガス源 110 流量制御装置 112 上部バッフル板 114 下部電極 116 ゲートバルブ 117 高周波電源 118 下部バッフル板 122 流量調整弁 124 ターボポンプ 126 圧力センサ 128 制御器 130 流量調整弁 132 ターボポンプ UC Upper exhaust chamber PC Processing chamber DC Lower exhaust chamber 102 Upper electrode 104 Gas supply hole 106 Gas supply pipe 108 Gas source 110 Flow controller 112 Upper baffle plate 114 Lower electrode 116 Gate valve 117 High frequency power supply 118 Lower baffle plate 122 Flow control valve 124 turbo pump 126 pressure sensor 128 controller 130 flow regulating valve 132 turbo pump

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI H01L 21/3065 H01L 21/302 C (58)調査した分野(Int.Cl.7,DB名) H05H 1/46 C23C 16/50 C23F 4/00 H01L 21/3065 ──────────────────────────────────────────────────続 き Continuation of the front page (51) Int.Cl. 7 identification code FI H01L 21/3065 H01L 21/302 C (58) Investigated field (Int.Cl. 7 , DB name) H05H 1/46 C23C 16 / 50 C23F 4/00 H01L 21/3065

Claims (10)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 処理室内に上部電極と下部電極を対向配
置し、少なくとも前記上部電極と前記下部電極のいずれ
か一方に高周波電力を印加して処理ガスをプラズマ化
し、前記下部電極上に載置された被処理体に対して処理
を施すプラズマ処理装置において、 前記処理室の上部に前記処理室に連通するとともに少な
くとも1の上部真空排気系を有する上部排気室を設け、 前記処理室の下部に前記処理室に連通するとともに少な
くとも1の下部真空排気系を有する下部排気室を設けた
ことを特徴とする、プラズマ処理装置。
An upper electrode and a lower electrode are disposed in a processing chamber so as to face each other, and a high-frequency power is applied to at least one of the upper electrode and the lower electrode to convert a processing gas into a plasma, and is mounted on the lower electrode. A plasma processing apparatus for performing processing on the processed object, wherein an upper exhaust chamber communicating with the processing chamber and having at least one upper vacuum exhaust system is provided at an upper part of the processing chamber; A plasma processing apparatus, comprising: a lower exhaust chamber communicating with the processing chamber and having at least one lower evacuation system.
【請求項2】 前記上部真空排気系および前記下部真空
排気系は、選択的にまたは同期して駆動することが可能
であることを特徴とする、請求項1に記載のプラズマ処
理装置。
2. The plasma processing apparatus according to claim 1, wherein the upper evacuation system and the lower evacuation system can be selectively or synchronously driven.
【請求項3】 前記処理室には、少なくとも2以上の処
理ガス供給系が配されており、前記処理ガス供給系は選
択的にまたは同期して駆動することが可能であることを
特徴とする、請求項1または2に記載のプラズマ処理装
置。
3. The processing chamber is provided with at least two or more processing gas supply systems, and the processing gas supply systems can be selectively or synchronously driven. The plasma processing apparatus according to claim 1.
【請求項4】 前記各真空排気系および/または前記処
理ガス供給系は、少なくとも前記上部排気室と前記下部
排気室のいずれか一方に設置された圧力センサの出力に
応じて駆動制御されることを特徴とする、請求項2また
は3に記載のプラズマ処理装置。
4. The drive of each of the vacuum exhaust systems and / or the processing gas supply system is controlled in accordance with an output of a pressure sensor provided in at least one of the upper exhaust chamber and the lower exhaust chamber. The plasma processing apparatus according to claim 2, wherein:
【請求項5】 上部電極と下部電極が対向配置され、少
なくとも前記上部電極と前記下部電極のいずれか一方に
高周波電力を印加して処理ガスをプラズマ化し、前記下
部電極上に載置された被処理体に対して処理を施す処理
室と;前記処理室の上部にあって前記処理室に連通する
とともに少なくとも1の上部真空排気系を備えた上部排
気室と;前記処理室の下部にあって前記処理室に連通す
るとともに少なくとも1の下部真空排気系を備えた下部
排気室とを備えたプラズマ処理装置において:前記上部
排気室と、前記下部電極を除く前記処理室と、前記下部
電極を含む前記下部排気室とは、それぞれ分離可能であ
るように構成されたことを特徴とする、プラズマ処理装
置。
5. An upper electrode and a lower electrode are arranged to face each other, and a high-frequency power is applied to at least one of the upper electrode and the lower electrode to convert a processing gas into a plasma, and an object mounted on the lower electrode is formed. A processing chamber for performing processing on the processing body; an upper exhaust chamber that is located at an upper part of the processing chamber and communicates with the processing chamber and has at least one upper evacuation system; A plasma processing apparatus comprising: a lower exhaust chamber communicating with the processing chamber and having at least one lower vacuum exhaust system: including the upper exhaust chamber, the processing chamber excluding the lower electrode, and the lower electrode. The plasma processing apparatus according to claim 1, wherein the plasma processing apparatus is configured to be separable from the lower exhaust chamber.
【請求項6】 前記下部排気室は固定されており、前記
上部排気室は、前記上部真空排気系とともに、昇降機構
により前記処理室および前記下部排気室に対して昇降自
在であるとともに、回転機構により天地逆転可能である
ように構成されていることを特徴とする、請求項5に記
載のプラズマ処理装置。
6. The lower exhaust chamber is fixed, and the upper exhaust chamber is movable up and down with respect to the processing chamber and the lower exhaust chamber by an elevating mechanism together with the upper vacuum exhaust system, and a rotating mechanism. The plasma processing apparatus according to claim 5, wherein the plasma processing apparatus is configured to be capable of reversing the top and bottom of the plasma.
【請求項7】 前記下部電極を除く処理室は、前記上部
排気室と前記下部電極を含む前記下部排気室とから着脱
自在であることを特徴とする、請求項5または6に記載
のプラズマ処理装置。
7. The plasma processing according to claim 5, wherein the processing chamber excluding the lower electrode is detachable from the upper exhaust chamber and the lower exhaust chamber including the lower electrode. apparatus.
【請求項8】 前記処理室は、前記下部電極を除く部分
の電位が実質的に等価となるように、前記下部電極を除
き一体成型されていることを特徴とする、請求項5、6
または7のいずれかに記載のプラズマ処理装置。
8. The processing chamber is formed integrally except for the lower electrode so that the potential of the portion other than the lower electrode is substantially equivalent.
Or the plasma processing apparatus according to any of 7.
【請求項9】 少なくとも前記下部電極を除く前記処理
室の内壁は被膜でコーティングされており、その被膜
は、被覆に損傷が発見されたときに、または所定ロット
の処理ごとに、または所定の時間経過ごとに再コーティ
ングされることを特徴とする、請求項8に記載のプラズ
マ処理装置。
9. At least an inner wall of the processing chamber except for the lower electrode is coated with a coating, and the coating is applied when damage is found in the coating, or after processing of a predetermined lot, or for a predetermined time. 9. The plasma processing apparatus according to claim 8, wherein recoating is performed as time passes.
【請求項10】 処理室内に上部電極と下部電極が対向
配置され、少なくとも前記上部電極と前記下部電極のい
ずれか一方に高周波電力を印加して処理ガスをプラズマ
化し、前記下部電極上に載置された被処理体に対して処
理を施すプラズマ処理装置において、 前記処理室は、前記下部電極を除く部分の電位が実質的
に等価となるように、前記下部電極を除き一体成型され
ていることを特徴とする、プラズマ処理装置。
10. An upper electrode and a lower electrode are opposed to each other in a processing chamber, and a high-frequency power is applied to at least one of the upper electrode and the lower electrode to turn a processing gas into a plasma and to be placed on the lower electrode. In the plasma processing apparatus for performing processing on the processed object, the processing chamber may be integrally molded except for the lower electrode so that potentials of portions except for the lower electrode are substantially equivalent. A plasma processing apparatus characterized by the above-mentioned.
JP08208846A 1996-07-19 1996-07-19 Plasma processing equipment Expired - Fee Related JP3122617B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP08208846A JP3122617B2 (en) 1996-07-19 1996-07-19 Plasma processing equipment
EP97112132A EP0821395A3 (en) 1996-07-19 1997-07-16 Plasma processing apparatus
TW086110151A TW406291B (en) 1996-07-19 1997-07-17 Plasma processing apparatus
US08/895,993 US6156151A (en) 1996-07-19 1997-07-17 Plasma processing apparatus
TW088109726A TW432466B (en) 1996-07-19 1997-07-17 Plasma processing apparatus
KR1019970033443A KR100392549B1 (en) 1996-07-19 1997-07-18 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP08208846A JP3122617B2 (en) 1996-07-19 1996-07-19 Plasma processing equipment

Publications (2)

Publication Number Publication Date
JPH1041096A JPH1041096A (en) 1998-02-13
JP3122617B2 true JP3122617B2 (en) 2001-01-09

Family

ID=16563078

Family Applications (1)

Application Number Title Priority Date Filing Date
JP08208846A Expired - Fee Related JP3122617B2 (en) 1996-07-19 1996-07-19 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP3122617B2 (en)

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4217299B2 (en) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 Processing equipment
JP3205312B2 (en) * 1999-03-17 2001-09-04 株式会社日立製作所 Plasma processing apparatus and maintenance method for plasma processing apparatus
US6700089B1 (en) * 1999-03-30 2004-03-02 Tokyo Electron Limited Plasma processing device, its maintenance method, and its installation method
KR100688954B1 (en) 2005-09-16 2007-03-02 주식회사 아이피에스 Opening and shutting structure of plasma processing apparatus
WO2007018139A1 (en) * 2005-08-10 2007-02-15 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate treating device
JP4642608B2 (en) * 2005-08-31 2011-03-02 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR102164678B1 (en) * 2009-08-31 2020-10-12 램 리써치 코포레이션 Radio frequency (rf) ground return arrangements
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
JP7022982B2 (en) * 2018-02-19 2022-02-21 サムコ株式会社 Exhaust structure for plasma processing room
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN113994021B (en) * 2019-07-22 2023-12-01 株式会社爱发科 Vacuum processing apparatus
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
JPH1041096A (en) 1998-02-13

Similar Documents

Publication Publication Date Title
JP3122617B2 (en) Plasma processing equipment
KR100392549B1 (en) Plasma processing apparatus
JP2001077088A (en) Plasma processing device
JPWO2002065532A1 (en) Method for treating object to be treated and apparatus for treating the same
JPH1116858A (en) Method of cleaning and processing film forming device
JP2879887B2 (en) Plasma processing method
JPH10321605A (en) Plasma treatment device
KR100491945B1 (en) Plasma processing apparatus
JP2004288704A (en) Plasma treatment device
JPH08339984A (en) Plasma processor
US8869376B2 (en) Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
JP2869384B2 (en) Plasma processing method
JP3208008B2 (en) Processing equipment
JPH07331445A (en) Treatment device and method for washing cover body used in the treatment device
TW201940257A (en) Cleaning method and processing apparatus
JP4060941B2 (en) Plasma processing method
JP3162272B2 (en) Plasma processing method
JP6750928B2 (en) Vacuum processing device
JPH07183280A (en) Plasma treatment device
JP2804762B2 (en) Plasma processing equipment
JPH09129611A (en) Etching
JPS60249329A (en) Spatter etching mechanism in vacuum treatment unit
WO2022255215A1 (en) Substrate processing apparatus
KR100501618B1 (en) Plasma processing apparatus and shield ring
JPH11330056A (en) Method for cleaning electrode

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20001010

LAPS Cancellation because of no payment of annual fees