JP3053009B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device

Info

Publication number
JP3053009B2
JP3053009B2 JP9264298A JP26429897A JP3053009B2 JP 3053009 B2 JP3053009 B2 JP 3053009B2 JP 9264298 A JP9264298 A JP 9264298A JP 26429897 A JP26429897 A JP 26429897A JP 3053009 B2 JP3053009 B2 JP 3053009B2
Authority
JP
Japan
Prior art keywords
trench
substrate
semiconductor device
insulating film
oxide film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP9264298A
Other languages
Japanese (ja)
Other versions
JPH11102960A (en
Inventor
篤樹 小野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP9264298A priority Critical patent/JP3053009B2/en
Publication of JPH11102960A publication Critical patent/JPH11102960A/en
Application granted granted Critical
Publication of JP3053009B2 publication Critical patent/JP3053009B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は高集積な半導体回路
を実現する微細なMOS型半導体装置の製造方法に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a fine MOS type semiconductor device for realizing a highly integrated semiconductor circuit.

【0002】[0002]

【従来の技術】半導体回路の高性能化のためには、半導
体素子の高集積化が必要であり、そのため素子領域を縮
小しなくてはならない。トランジスタの素子領域は酸化
膜からなる素子分離領域で決まるが、トランジスタの設
計ルールが0.35μm程度まで分離領域の形成方法
は、熱酸化法を用いたLOCOS(LOCal Oxidation of
Silicon)もしくは改良LOCOS方法で形成されるも
のであった。
2. Description of the Related Art In order to improve the performance of a semiconductor circuit, it is necessary to increase the degree of integration of a semiconductor element. Therefore, the element area must be reduced. The element region of a transistor is determined by an element isolation region made of an oxide film. The isolation region is formed up to about 0.35 μm by a LOCOS (LOCal Oxidation of LOCOS) method using a thermal oxidation method.
Silicon) or an improved LOCOS method.

【0003】しかしながら、この熱酸化法を用いる方法
では、酸化の際、素子領域を決めている窒化シリコン膜
の下が酸化される結果、バーズビークが生じてこれが素
子分離領域の微細化を妨げていた。そこで、0.35μ
mルール以降の微細デバイスに対しては、シャロートレ
ンチ分離(Shallow Trench Isolation)技術が主流にな
ってきている。このシャロートレンチの形成法を図2
(a)〜(i)を用いて説明する。
However, in the method using the thermal oxidation method, during oxidation, a portion below the silicon nitride film which defines the element region is oxidized, resulting in a bird's beak, which hinders miniaturization of the element isolation region. . Therefore, 0.35μ
Shallow trench isolation (Shallow Trench Isolation) technology has become mainstream for micro devices with m-rule and subsequent rules. The method of forming the shallow trench is shown in FIG.
This will be described with reference to (a) to (i).

【0004】図2(a)は半導体基板1に熱酸化法によ
ってパッド酸化シリコン膜2を形成し、さらにプラズマ
化学気相(CVD:Chemical Vapor Deposition)法に
よって窒化シリコン膜3を堆積した状態を示す摸式説明
図である。これらの膜厚は、酸化シリコン膜2は10〜
20nm、窒化シリコン膜3は15〜200nm程度と
する。
FIG. 2A shows a state in which a pad silicon oxide film 2 is formed on a semiconductor substrate 1 by a thermal oxidation method, and a silicon nitride film 3 is further deposited by a plasma chemical vapor deposition (CVD) method. It is a model explanatory view. The thickness of the silicon oxide film 2 is 10 to
The thickness of the silicon nitride film 3 is set to about 15 to 200 nm.

【0005】この窒化シリコン3の膜厚は、後に行う化
学的機械研磨(CMP:Chemical Mechanical Polishin
g)法における研磨のストッパー膜となり得る厚さの膜
厚とする。次いで、フォトリソグラフィーによってレジ
スト4をパターニングし、フォトレジストをマスクとし
て素子分離領域になる領域の窒化シリコン膜と酸化シリ
コン膜をエッチング(図2(b)参照)する。
The film thickness of the silicon nitride 3 is determined by chemical mechanical polishing (CMP) performed later.
The thickness is set to a thickness that can be used as a stopper film for polishing in the method g). Next, the resist 4 is patterned by photolithography, and the silicon nitride film and the silicon oxide film in a region to be an element isolation region are etched using the photoresist as a mask (see FIG. 2B).

【0006】さらに、素子分離領域となる領域のシリコ
ン基板をエッチングしてトレンチ溝5を形成(図2
(c)参照)する。このトレンチ溝5の深さは300〜
400nmとし、75〜80゜の傾斜角度となるように
エッチングする。次いで、フォトレジスト4を剥離した
後、トレンチ5のコーナー部を丸めの形状とするための
トレンチ内壁の酸化を10nm程度行う。次いで、CV
D法により酸化シリコシ膜6を500〜700nm堆積
し、トレンチ内部を埋め込む(図2(d)参照、図には
内壁部の酸化膜は薄いため示されていない)。
Further, a trench groove 5 is formed by etching the silicon substrate in a region to be an element isolation region.
(See (c)). The depth of the trench 5 is 300 to
Etching is performed so as to have a thickness of 400 nm and an inclination angle of 75 to 80 °. Next, after the photoresist 4 is peeled off, oxidation of the inner wall of the trench 5 is performed to about 10 nm in order to round the corner of the trench 5. Then, CV
A silicon oxide film 6 having a thickness of 500 to 700 nm is deposited by the method D to bury the inside of the trench (see FIG. 2D, not shown in the figure because the oxide film on the inner wall is thin).

【0007】次いで、CMP法によってストッパーとな
る窒化シリコン膜3が現れるまで平坦化(図2(e)参
照)する。その後、ストッパーの窒化シリコン膜3をリ
ン酸系のエッチャントでエッチングし、さらにパッド酸
化膜2をふっ酸系のエッチング液で除去して、分離領域
を形成(図2(f)参照)する。
Next, the surface is flattened by the CMP method until the silicon nitride film 3 serving as a stopper appears (see FIG. 2E). Thereafter, the silicon nitride film 3 serving as a stopper is etched with a phosphoric acid-based etchant, and the pad oxide film 2 is removed with a hydrofluoric acid-based etchant to form an isolation region (see FIG. 2F).

【0008】その後、イオン注入法によりウェルおよび
チャネル領域のための不純物を導入し、さらに、熱酸化
法によってゲート酸化膜9を形成(図2(h)参照)
し、ゲート電極となるポリシリコン膜をCVD法によっ
て形成していくのは、通常のMOS型トランジスタを形
成する工程と同一である。
Thereafter, impurities for the well and channel regions are introduced by an ion implantation method, and a gate oxide film 9 is formed by a thermal oxidation method (see FIG. 2 (h)).
The formation of the polysilicon film serving as the gate electrode by the CVD method is the same as the step of forming a normal MOS transistor.

【0009】[0009]

【発明が解決しようとする課題】しかしながら、このよ
うなプロセスでは、CMP時のストッパー膜である窒化
シリコン膜の膜厚相当分だけピラー53(図2(f)参
照)が生じてしまう。さらに、パッドの酸化シリコン膜
2が熱酸化膜であり、一方、ピラーを形成するのはCV
D法で形成された酸化シリコン膜であるため、後者の酸
化膜の方がふっ酸系のエッチング液に対してエッチング
レートが大きい。したがって、トレンチ分離のエッジで
膜減りが起き、窪み54(図2(g)参照)を生じる。
However, in such a process, pillars 53 (see FIG. 2F) are generated by an amount corresponding to the thickness of the silicon nitride film which is a stopper film during the CMP. Furthermore, the silicon oxide film 2 of the pad is a thermal oxide film, while the pillar is formed by CV.
Since the silicon oxide film is formed by the method D, the latter oxide film has a higher etching rate with respect to a hydrofluoric acid-based etchant. Therefore, the film is reduced at the edge of the trench isolation, and the depression 54 (see FIG. 2G) is generated.

【0010】この窪み54は、電界集中が生じ易く、後
工程のゲート酸化膜9の形成、およびゲート電極である
ポリシリコン8の形成によって、この肩部分にゲート構
造が形成されると、この肩部分は、サイドチャネルが生
じ易い領域91(図2(h)参照)となり、トランジス
タのオフリーク電流の増加の原因となるという弊害を生
じる。
The recess 54 is liable to cause an electric field concentration. If a gate structure is formed on the shoulder by the formation of a gate oxide film 9 and polysilicon 8 serving as a gate electrode in a later step, the shoulder 54 The portion becomes a region 91 (see FIG. 2H) in which a side channel is likely to occur, which causes a problem that the off-leak current of the transistor is increased.

【0011】さらに、ピラー部の絶対段差によってゲー
トリソグラフィーを行う際に、レジストのくびれ等が生
じ、ゲートの加工精度を劣化させるため、大きな問題と
なる可能性がある。
Further, when performing gate lithography due to the absolute step of the pillar portion, a constriction of the resist occurs, and the processing accuracy of the gate is deteriorated, which may cause a serious problem.

【0012】一方、このようなパッド酸化膜のエッチン
グ時における窪み問題、およびピラーによる段差の問題
を解消するためには、完全平坦化が必要であり、そのた
めには平坦化をプラズマエッチングにより行うドライエ
ッチングが有効であるが、この方法には、トランジスタ
のチャネル領域がプラズマに曝されて、ダメージ領域9
3(図2(i)参照)を生じ、その結果トランジスタ特
性が劣化するという問題があった。
On the other hand, complete flattening is necessary to eliminate the problem of dents during etching of the pad oxide film and the problem of steps due to pillars. For this purpose, dry planarization is performed by plasma etching. Although etching is effective, in this method, the channel region of the transistor is exposed to plasma, and the damaged region 9 is exposed.
3 (see FIG. 2 (i)), which results in a problem that the transistor characteristics are degraded.

【0013】本発明は、上記のような問題のない、シャ
ロートレンチプロセスにおいてトランジスタのチャネル
領域にダメージを与えることなく、完全平坦化を実現す
ることのできる半導体装置製造方法の提供をその目的と
するものである。
An object of the present invention is to provide a method of manufacturing a semiconductor device which can realize complete planarization without damaging a channel region of a transistor in a shallow trench process without the above problems. Things.

【0014】[0014]

【課題を解決するための手段】上記の課題・目的は以下
に示す本発明によって解決・達成される。すなわち本発
明は、MOS型半導体装置の製造方法において、半導体
基板表面に第1および第2の絶縁膜を形成する工程、該
半導体基板まで達する溝(トレンチ)を形成する工程、
トレンチ内に第3の絶縁膜を埋め込む工程、前記第2
の絶縁膜が表面に現れるまで第3の絶緑膜の表面を機械
的研磨法によって平坦化する工程、平坦化後に前記第2
および第1の絶縁膜を剥離する工程、基板全体を窒化す
る工程、第4の絶縁膜で基板表面を覆いトレンチの窪み
を埋める工程、プラズマエッチングにより窒化された基
板が現れるまでエッチバックし平坦化する工程、基板表
面の窒化された領域を剥離する工程、の各工程を有する
ことを特徴とする半導体装置の製造方法を開示するもの
である。
The above objects and objects are solved and achieved by the present invention described below. That is, the present invention provides a method of manufacturing a MOS type semiconductor device, wherein a step of forming first and second insulating films on a surface of a semiconductor substrate, a step of forming a trench reaching the semiconductor substrate,
Embedding a third insulating film in the trench;
Flattening the surface of the third green film by a mechanical polishing method until the insulating film appears on the surface;
And a step of stripping the first insulating film, a step of nitriding the entire substrate, and a step of covering the substrate surface with the fourth insulating film to form a trench.
, A step of etching back and planarizing until a substrate nitrided by plasma etching appears, and a step of peeling off a nitrided region on the substrate surface.
A method for manufacturing a semiconductor device characterized by the above is disclosed.

【0015】そして、本発明の半導体装置の製造方法
は、前記第1、第3および第4の絶縁膜の材質が、酸化
シリコンであることを特徴とし、また前記第2の絶縁膜
の材質が、窒化シリコンであることを特徴とし、さらに
前記第1の絶縁膜の剥離を、ふっ酸を主体としたウェッ
ト系のエッチング液を用いて行うことを特徴とし、そし
て前記基板表面の窒化を、窒索、アンモニア、NO、ま
たはN2O雰囲気中で行うことを特徴とするものであ
る。
In the method of manufacturing a semiconductor device according to the present invention, the material of the first, third and fourth insulating films is silicon oxide, and the material of the second insulating film is Wherein the first insulating film is peeled off by using a wet etching solution mainly composed of hydrofluoric acid. It is characterized in that it is carried out in a cord, ammonia, NO or N 2 O atmosphere.

【0016】酸化膜の平坦化のためのエッチバックに対
してトランジスタのチャネル領域がプラズマのダメージ
を受けなくするため、表面を窒化して保護する。このた
めトランジスタ領域にダメージを与えることなく、素子
分離領域の平坦化ができるので、集積度を高めつつ、し
きい値電圧のばらつきのない安定したトランジスタ特性
を得ることができる。
In order to prevent the channel region of the transistor from being damaged by plasma against etch back for flattening the oxide film, the surface is protected by nitriding. Therefore, the element isolation region can be flattened without damaging the transistor region, so that stable transistor characteristics without variation in threshold voltage can be obtained while increasing the degree of integration.

【0017】[0017]

【発明の実施の形態】以下、本発明の実施態様について
具体的に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The embodiments of the present invention will be specifically described below.

【0018】[0018]

【実施例】以下に、本発明の詳細を実施例により図面
(図1(a)〜(k))に基づいて説明する。図1
(a)は半導体基板1上にパッド酸化シリコン膜2およ
びCMPのストッパー膜となる窒化シリコン膜3を形成
した状態を示す摸式説明図である。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The details of the present invention will be described below with reference to the drawings (FIGS. 1A to 1K). FIG.
1A is a schematic explanatory view showing a state in which a pad silicon oxide film 2 and a silicon nitride film 3 serving as a CMP stopper film are formed on a semiconductor substrate 1. FIG.

【0019】パッド酸化シリコン膜2は、熱酸化法によ
って10〜20nm、窒化シリコン膜3はCVD法によ
って、150〜200nmの膜厚で堆積される。次い
で、レジストを基板全面に塗布し、フォトリソグラフィ
ー法によって素子分離領域となる領域以外に、レジスト
4を残すようにパターニング(図1(b)参照)する。
The pad silicon oxide film 2 is deposited to a thickness of 10 to 20 nm by a thermal oxidation method, and the silicon nitride film 3 is deposited to a thickness of 150 to 200 nm by a CVD method. Next, a resist is applied to the entire surface of the substrate, and is patterned by photolithography so as to leave the resist 4 in a region other than a region to be an element isolation region (see FIG. 1B).

【0020】その後、異方性エッチングによってレジス
ト4をマスクとして、窒化シリコン膜3、およびパッド
酸化シリコン膜2をエッチングし、さらに、シリコン基
板にトレンチ溝5をエッチングによって形成(図1
(c)参照)する。
Thereafter, the silicon nitride film 3 and the pad silicon oxide film 2 are etched by anisotropic etching using the resist 4 as a mask, and a trench 5 is formed in the silicon substrate by etching (FIG. 1).
(See (c)).

【0021】トレンチ溝5は、その傾斜角度が70〜8
5゜になるようにする。その後、レジスト4を剥離し
て、熱酸化によって内壁酸化し、その後にCVD法によ
って酸化シリコン膜6を500〜700nm堆積して、
トレンチの内部に酸化シリコン膜を埋め込む(図1
(d)参照、図には内壁部の酸化膜は示されていな
い)。
The trench 5 has an inclination angle of 70 to 8
Make it 5%. After that, the resist 4 is removed, the inner wall is oxidized by thermal oxidation, and then a silicon oxide film 6 is deposited to a thickness of 500 to 700 nm by a CVD method.
A silicon oxide film is buried inside the trench (FIG. 1
(See (d), the figure does not show the oxide film on the inner wall).

【0022】酸化シリコン膜6の埋め込み後、CMP法
によつて、酸化シリコン膜を研磨によりストッパーの窒
化シリコン膜が現れるまで平坦化する(図1(e)参
照)。さらに、その後ストッパーであった窒化シリコン
膜3をリン酸系のエッチング液で剥離(図1(f)参
照)する。
After the silicon oxide film 6 is embedded, the silicon oxide film is planarized by polishing until the silicon nitride film serving as a stopper appears by CMP (see FIG. 1E). Then, the silicon nitride film 3 serving as a stopper is peeled off with a phosphoric acid-based etchant (see FIG. 1F).

【0023】このとき窒化シリコンの膜厚相当分のピラ
ー53が生じる。さらに、パッドの酸化シリコン膜2を
ふっ酸系のエッチング液でエッチングする。バッド酸化
膜2のエッチングは同時にトレンチ溝5内に埋め込んだ
CVD酸化シリコン膜6の上部をもエッチングするが、
CVD酸化シリコン膜に対するエッチングレートは熱酸
化膜であるパッド酸化シリコン膜よりも大きいので、分
離領域の形状はトレンチの肩のでた、窪み54を持つ図
のような形状(図1(g)参照)になる。
At this time, pillars 53 corresponding to the thickness of the silicon nitride are formed. Further, the silicon oxide film 2 of the pad is etched with a hydrofluoric acid-based etchant. The etching of the bad oxide film 2 simultaneously etches the upper portion of the CVD silicon oxide film 6 buried in the trench 5.
Since the etching rate for the CVD silicon oxide film is higher than that for the pad silicon oxide film which is a thermal oxide film, the shape of the isolation region is a shape as shown in FIG. become.

【0024】次に、この状態で基板全面を窒化する。こ
の全面窒化の条件は、例えば窒素雰囲気中900℃にて
30秒間の熱処理を行うことによって、4〜5nmの窒
化シリコン膜12が、トランジスタが形成される領城の
みに形成(図1(h)参照)される。なお、この窒化さ
れた領域12は酸化シリコン膜上には形成されない。次
いでさらに、CVD酸化シリコン膜62を基板全面に堆
積し、ふっ酸系エッチングによって生じた窪み54を埋
める。このCVD酸化膜62の厚さは、窪み54自体が
埋まればよいので20〜40nm程度でよい(図1
(i)参照)。
Next, the entire surface of the substrate is nitrided in this state. The conditions for the entire surface nitriding are as follows. For example, by performing a heat treatment at 900 ° C. for 30 seconds in a nitrogen atmosphere, a silicon nitride film 12 of 4 to 5 nm is formed only in a region where a transistor is to be formed (FIG. 1H). See). Note that the nitrided region 12 is not formed on the silicon oxide film. Next, a CVD silicon oxide film 62 is further deposited on the entire surface of the substrate to fill the depressions 54 generated by the hydrofluoric acid etching. The thickness of the CVD oxide film 62 may be about 20 to 40 nm because the recess 54 itself may be filled.
(See (i)).

【0025】その後、基板全体をエッチバックして、基
板表面を完全平坦化(図1(j)参照)する。このと
き、トランジスタができる素子領域は窒化シリコン膜で
覆われているので、このときのプラズマダメージは受け
ない。その後、窒化シリコン膜をリン酸系のエッチング
液で剥離する。窒化された領域の厚さは4〜5nmなの
で、全体の平坦化にはほとんど影響を及ぼさない。した
がって、素子分離領域の完全平坦化が完了(図1(k)
参照)する。
Thereafter, the entire substrate is etched back to completely flatten the substrate surface (see FIG. 1 (j)). At this time, since an element region in which a transistor can be formed is covered with the silicon nitride film, no plasma damage occurs at this time. After that, the silicon nitride film is separated with a phosphoric acid-based etchant. Since the thickness of the nitrided region is 4 to 5 nm, it hardly affects the overall planarization. Therefore, complete planarization of the element isolation region is completed (FIG. 1 (k)).
refer.

【0026】その後の工程は、通常のトランジスタ製造
工程における、素子分離領域形成以後の工程と同一であ
る。なお、トランジスタ領城を保護する窒化シリコン膜
を剥離する際、窪みの分のトレンチ溝とトランジスタ領
域との間に隙間が生じるが、この小さな溝自体は4〜5
nm程度であり、通常行われる後工程でのイオン注入前
のスルー酸化膜の形成時に酸化によって埋め戻されるの
で問題はない。
The subsequent steps are the same as the steps after the formation of the element isolation region in the usual transistor manufacturing process. When the silicon nitride film for protecting the transistor region is peeled off, a gap is formed between the trench region corresponding to the depression and the transistor region.
There is no problem because it is buried by oxidation when a through oxide film is formed before ion implantation in a usual post-process.

【0027】以上はn型MOSFET(Field Effect T
ransistor)の製造プロセスを例にとって説明したが、
p型MOSFETに対しても上記の不純物の導電型をか
えることにより同様の効果を得ることができる。
The above is an n-type MOSFET (Field Effect T)
ransistor) manufacturing process,
The same effect can be obtained for p-type MOSFETs by changing the conductivity type of the above impurities.

【0028】[0028]

【発明の効果】製造プロセスが複雑化することなく、ト
ランジスタにプラズマダメージ等の悪影響を及ぼすこと
なく、トレンチ分離の完全平坦化を可能とする、優れた
半導体装置の製造方法が提供される。
According to the present invention, there is provided an excellent method of manufacturing a semiconductor device which enables complete flattening of trench isolation without complicating the manufacturing process and without adversely affecting a transistor such as plasma damage.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明による半導体装置の製造方法を示す摸式
説明図。
FIG. 1 is a schematic explanatory view showing a method for manufacturing a semiconductor device according to the present invention.

【図2】従来の技術による半導体装置の製造方法を示す
摸式説明図。
FIG. 2 is a schematic explanatory view showing a method for manufacturing a semiconductor device according to a conventional technique.

【符号の説明】[Explanation of symbols]

1 シリコン基板 2 パッド酸化シリコン膜 3 窒化シリコン膜 4 レジスト 5 トレンチ溝 6 CVD酸化シリコン膜 8 ゲートポリシリコン 9 ゲート酸化膜 12 窒化された領域 53 ピラー 54 トレンチの窪み 62 CVD酸化シリコン領域 91 サイドチャネルが生じ易い領域 93 プラズマダメージ領域 Reference Signs List 1 silicon substrate 2 pad silicon oxide film 3 silicon nitride film 4 resist 5 trench groove 6 CVD silicon oxide film 8 gate polysilicon 9 gate oxide film 12 nitrided region 53 pillar 54 trench depression 62 CVD silicon oxide region 91 side channel Probable area 93 Plasma damage area

Claims (5)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 MOS型半導体装置の製造方法におい
て、半導体基板表面に第1および第2の絶縁膜を形成す
る工程、該半導体基板まで達する溝(トレンチ)を形成
する工程、該トレンチ内に第3の絶縁膜を埋め込む工
程、前記第2の絶縁膜が表面に現れるまで第3の絶緑膜
の表面を機械的研磨法によって平坦化する工程、平坦化
後に前記第2および第1の絶縁膜を剥離する工程、基板
全体を窒化する工程、第4の絶縁膜で基板表面を覆いト
レンチの窪みを埋める工程、プラズマエッチングにより
窒化された基板が現れるまでエッチバックし平坦化する
工程、基板表面の窒化された領域を剥離する工程、の各
工程を有することを特徴とする半導体装置の製造方法。
In a method of manufacturing a MOS type semiconductor device, a step of forming first and second insulating films on a surface of a semiconductor substrate, a step of forming a trench (trench) reaching the semiconductor substrate, and a step of forming a trench in the trench . A step of embedding the third insulating film, a step of flattening the surface of the third green insulating film by mechanical polishing until the second insulating film appears on the surface, and the second and first insulating films after the flattening. Stripping, nitriding the entire substrate, and covering the substrate surface with a fourth insulating film .
Fill depressions wrench step, a step of planarizing is etched back until the substrate is nitrided appears by plasma etching, the semiconductor device characterized by comprising the step, each step of peeling the nitrided region of the substrate surface Production method.
【請求項2】 前記第1、第3および第4の絶縁膜の材
質が、酸化シリコンである請求項1記載の半導体装置の
製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, wherein the material of said first, third and fourth insulating films is silicon oxide.
【請求項3】 前記第2の絶縁膜の材質が、窒化シリコ
ンである請求項1記載の半導体装置の製造方法。
3. The method according to claim 1, wherein a material of the second insulating film is silicon nitride.
【請求項4】 前記第1の絶縁膜の剥離を、ふっ酸を主
体としたウェット系のエッチング液を用いて行う請求項
1記載の半導体装置の製造方法。
4. The method for manufacturing a semiconductor device according to claim 1, wherein said first insulating film is peeled off using a wet etching solution mainly composed of hydrofluoric acid.
【請求項5】 前記基板表面の窒化を、窒索、アンモニ
ア、NOまたはN2O雰囲気中で行う請求項1記載の半
導体装置の製造方法。
5. The method according to claim 1, wherein the nitriding of the substrate surface is performed in an atmosphere of nitriding, ammonia, NO or N 2 O.
JP9264298A 1997-09-29 1997-09-29 Method for manufacturing semiconductor device Expired - Fee Related JP3053009B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9264298A JP3053009B2 (en) 1997-09-29 1997-09-29 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9264298A JP3053009B2 (en) 1997-09-29 1997-09-29 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH11102960A JPH11102960A (en) 1999-04-13
JP3053009B2 true JP3053009B2 (en) 2000-06-19

Family

ID=17401239

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9264298A Expired - Fee Related JP3053009B2 (en) 1997-09-29 1997-09-29 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3053009B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332708A (en) * 2000-05-19 2001-11-30 Nec Corp Nonvolatile semiconductor storage device and its manufacturing method
US7091106B2 (en) * 2004-03-04 2006-08-15 Advanced Micro Devices, Inc. Method of reducing STI divot formation during semiconductor device fabrication

Also Published As

Publication number Publication date
JPH11102960A (en) 1999-04-13

Similar Documents

Publication Publication Date Title
US6524931B1 (en) Method for forming a trench isolation structure in an integrated circuit
KR100394517B1 (en) A method for forming a trench isolation structure in an integrated circuit
US5989977A (en) Shallow trench isolation process
US6331469B1 (en) Trench isolation structure, semiconductor device having the same, and trench isolation method
JP2000012676A (en) Method of isolating elements through trenches of semiconductor device
KR100273615B1 (en) Semiconductor device and fabrication method thereof
JP2003332416A (en) Semiconductor integrated circuit and its manufacturing method
JPH11145273A (en) Manufacture of semiconductor device
JP3407023B2 (en) Method for manufacturing semiconductor device
JP3127893B2 (en) Semiconductor device and method of manufacturing semiconductor device
JP3053009B2 (en) Method for manufacturing semiconductor device
US5851901A (en) Method of manufacturing an isolation region of a semiconductor device with advanced planarization
JP2000323565A (en) Manufacture of semiconductor device and semiconductor device
JP2002190515A (en) Semiconductor device and its manufacturing method
JP2002237518A (en) Semiconductor device and manufacturing method therefor
JP2003203968A (en) Semiconductor device and its manufacturing method
KR20020081462A (en) Semiconductor device and fabrication method therefor
JP2002100670A (en) Semiconductor device and its manufacturing method
JP2006024605A (en) Method of manufacturing semiconductor integrated circuit device
JPH11317443A (en) Manufacture of semiconductor device
KR100223825B1 (en) Method of forming an element isolation region in a semiconductor device
KR100800106B1 (en) Method for forming trench isolation layer in semiconductor device
JPS60206150A (en) Manufacture of semiconductor device
KR19990013335A (en) Semiconductor device and manufacturing method
JP2001237308A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees