JP2985833B2 - Clock distribution system and method - Google Patents

Clock distribution system and method

Info

Publication number
JP2985833B2
JP2985833B2 JP9150302A JP15030297A JP2985833B2 JP 2985833 B2 JP2985833 B2 JP 2985833B2 JP 9150302 A JP9150302 A JP 9150302A JP 15030297 A JP15030297 A JP 15030297A JP 2985833 B2 JP2985833 B2 JP 2985833B2
Authority
JP
Japan
Prior art keywords
flip
flop
delay
clock
minimum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP9150302A
Other languages
Japanese (ja)
Other versions
JPH10326303A (en
Inventor
尚 山内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP9150302A priority Critical patent/JP2985833B2/en
Publication of JPH10326303A publication Critical patent/JPH10326303A/en
Application granted granted Critical
Publication of JP2985833B2 publication Critical patent/JP2985833B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Logic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Pulse Circuits (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、LSIの遅延解析
及びレイアウト技術に関し、特に、LSIのクロック信
号分配部分に関する配置配線方式において、電源ノイズ
やエレクトロマイグレーションを低減するための技術に
関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a delay analysis and layout technique for an LSI, and more particularly to a technique for reducing power supply noise and electromigration in an arrangement and wiring method for a clock signal distribution portion of an LSI.

【0002】[0002]

【従来の技術】従来、CMOS系のLSIにおいて、回
路内の変化が時間的に場所的に集中することにより、電
源やグランドの電位が変化する電源ノイズや、電流密度
の増大に伴うエレクトロマイグレーション問題を低減す
る手法としては、電源の供給源やグランド配線を増やし
たり、これら電源やグランドに関する配線幅を広げたり
することにより解決してきた。
2. Description of the Related Art Conventionally, in a CMOS LSI, a change in a circuit is concentrated temporally and locally, thereby causing a power supply noise in which a power supply or a ground potential changes, and an electromigration problem accompanying an increase in current density. This problem has been solved by increasing the number of power supply sources and ground wiring, or by increasing the width of these power supply and ground wirings.

【0003】最近では、クロックスキューを減じるため
の技術である、クロックツリー合成方式が広く採用され
るに至っている。しかしながら、これら技術は、回路内
のフリップフロップのクロックの変化の差を縮める技術
であるため、基本的に変化を集中させる方向となり、電
源ノイズ問題やエレクトロマイグレーション問題をより
一層深刻にしている。
Recently, a clock tree synthesizing method, which is a technique for reducing clock skew, has been widely adopted. However, these techniques are techniques for reducing the difference between the clock changes of the flip-flops in the circuit, so that the changes are basically concentrated, and the power supply noise problem and the electromigration problem are further exacerbated.

【0004】これらクロックツリー合成方式を遅延最適
化のために応用する手法として、例えば特開平5−19
7780号公報には、クロック配線方式において、クロ
ックサイクルをワーストケースパスの遅延時間よりも短
くし、且つ回路の動作速度を高速化することを可能とし
たクロック配線方式が提案されており、クロックスキュ
ーを考慮した遅延計算手法として、例えば特開平4−2
74567号公報には、一相同期式論理回路において最
大伝搬遅延時間または最小伝搬遅延時間に基づいてクロ
ックスキューを考慮した正確な遅延余裕度を研鑽する遅
延計算方式が提案されている。しかしながら、これらは
電源ノイズやエレクトロマイグレーションを低減する手
法にはなっていない。
As a method of applying these clock tree synthesizing methods for delay optimization, for example, Japanese Patent Laid-Open No. 5-19 / 1993
Japanese Patent Application Laid-Open No. 7780 proposes a clock wiring method which makes it possible to shorten the clock cycle from the delay time of the worst case path and to increase the operation speed of the circuit. For example, Japanese Patent Application Laid-Open No. 4-2
No. 74567 proposes a delay calculation method for studying an accurate delay margin in consideration of clock skew based on a maximum propagation delay time or a minimum propagation delay time in a one-phase synchronous logic circuit. However, these are not techniques for reducing power supply noise or electromigration.

【0005】さらに、最近では、ゲーテドクロック手法
により、動作不要な部分のクロックを一時的に停止する
技術が登場している。
[0005] Recently, a technique has been introduced in which a clock of an operation unnecessary part is temporarily stopped by a gated clock method.

【0006】[0006]

【発明が解決しようとする課題】従来の電源ノイズやエ
レクトロマイグレーションの軽減手法は、電源の供給源
やグランドの配線を増加させたり、これら電源やグラン
ドの配線幅を広げる等であったが、これら手法は、これ
ら配線のために広げる領域を確保したり、外部の供給源
となる端子数を増加させる必要があり、LSIのチップ
面積増大や端子数が増大するという問題があった。
Conventional methods for reducing power supply noise and electromigration have been to increase the number of power supply and ground wirings or to increase the width of these power supply and ground wirings. In the method, it is necessary to secure an area to be expanded for these wirings or to increase the number of terminals serving as external supply sources, and there is a problem that the chip area of the LSI and the number of terminals increase.

【0007】また、配線幅を場所によって広げるには、
レイアウトにおける配線処理の負荷が重くなる、という
問題があった。
In order to increase the wiring width depending on the location,
There is a problem that the load of the wiring process in the layout becomes heavy.

【0008】またクロックスキューを調整することによ
り、遅延最適化を行う上記特開平5−197780号公
報に記載の方式では、結果的に、電源ノイズやエレクト
ロマイグレーションを軽減することになる場合もある
が、特に、これらを積極的に低減するためのする技術が
開示されていない。
In the method described in Japanese Patent Laid-Open No. 5-197780, in which delay optimization is performed by adjusting clock skew, power supply noise and electromigration may be reduced as a result. In particular, a technique for positively reducing these is not disclosed.

【0009】またゲーテドクロック手法に関しては、全
体の消費電力を下げるための技術としては有効である。
しかしながら、電源ノイズやエレクトロマイグレーショ
ンの問題は局所的な電流の問題であり、これらをゲーテ
ドクロックで対処するためには、ごく小さい部分単位に
クロックを制御する必要があり、クロックを止めるため
のゲート面積の増大や全体のスキューの増大の問題があ
り、さらにその制御が複雑になるという問題があり、実
用的ではないという問題があった。
Further, the gated clock method is effective as a technique for reducing the overall power consumption.
However, the problems of power supply noise and electromigration are local current problems.To deal with these problems with gated clocks, it is necessary to control the clock in a very small unit, and a gate for stopping the clock is required. There is a problem that the area and the overall skew increase, and further, there is a problem that the control is complicated, and there is a problem that it is not practical.

【0010】したがって、本発明は、上記問題点に鑑み
てなされたものであって、その目的は、回路内の、多数
のフリップフロップのクロックが小さなスキュー時間の
間に集中して変化することに起因する、電源ノイズ及び
エレクトロマイグレーションを低減する、クロック分配
方式及び方法を提供することにある。
Therefore, the present invention has been made in view of the above problems, and has as its object to make the clocks of a large number of flip-flops in a circuit change intensively during a small skew time. It is an object of the present invention to provide a clock distribution system and a method for reducing power supply noise and electromigration caused by the clock distribution method.

【0011】[0011]

【課題を解決するための手段】前記目的を達成するた
め、本発明のクロック分配方式は、LSIのクロック分
配方式において、フリップフロップの入力経路と出力経
路の遅延解析を行い前記フリップフロップの経路への最
小到達時間と最大到達時間を求める遅延解析手段と、フ
リップフロップへの入力経路に対し、クロック分配にお
けるクロックスキューを加えた最小遅延制約を求め、フ
リップフロップからの出力経路に関し、直接到達可能な
全てのフリップフロップ及び外部出力端子に到る経路に
対する最大遅延制約を求める遅延制約解析手段と、前記
求められた制約時間と到達時間とから、前記制約時間に
対する前記到達時間の差である余裕度を求める余裕度解
析手段と、前記余裕度の絶対値の最小値よりも小さい遅
延をもつ素子もしくは配線が、クロック経路へ挿入され
るフリップフロップを決定する手段と、前記決定された
フリップフロップのクロック経路に前記余裕度の絶対値
の最小値よりも小さい遅延をもつ素子もしくは配線を挿
入する手段と、を備えたことを特徴とする。また本発明
のクロック分配方式は、LSIのクロック分配方式にお
いて、フリップフロップの入力経路と出力経路の遅延解
析を行い前記フリップフロップへの経路の最小到達時間
と最大到達時間を求める遅延解析手段と、フリップフロ
ップへの入力経路に対し、クロック分配におけるクロッ
クスキューを減じた最大遅延制約を求め、フリップフロ
ップからの出力経路に関し、直接到達可能な全てのフリ
ップフロップ及び外部出力端子に到る経路に対する最小
遅延制約を求める遅延制約解析手段と、前記求められた
制約時間と到達時間とから、前記制約時間に対する前記
到達時間の差である余裕度を求める余裕度解析手段と、
前記余裕度の絶対値の最小値よりも小さい遅延をもつ素
子あるいは配線を削除するフリップフロップを決定する
手段と、前記決定されたフリップフロップのクロック経
路から前記余裕度の絶対値の最小値よりも小さい遅延を
もつ素子あるいは配線を削除する手段と、を備えたこと
を特徴とする。
In order to achieve the above object, a clock distribution system according to the present invention is a clock distribution system for an LSI, in which delay analysis of an input path and an output path of a flip-flop is performed , and the clock is distributed to a path of the flip-flop. Most
Delay analysis means for obtaining a short arrival time and a maximum arrival time; and a minimum delay constraint obtained by adding a clock skew in clock distribution to an input path to a flip-flop, and all directly reachable output paths from the flip-flop. On the path to the flip-flop and external output terminal
A delay constraint analyzing means for obtaining a maximum delay constraint against the
A margin analysis means for calculating a margin, which is a difference between the arrival time and the arrival time, from the obtained restriction time and the arrival time, and an element or wiring having a delay smaller than a minimum value of the absolute value of the margin. Means for determining a flip-flop to be inserted into a clock path; means for inserting an element or a wiring having a delay smaller than the minimum value of the absolute value of the margin into the clock path of the determined flip-flop; It is characterized by having. The present invention
Clock distribution method is the same as LSI clock distribution method.
And the delay solution of the input path and output path of the flip-flop
And the minimum arrival time of the path to the flip-flop
Delay analysis means for determining the maximum arrival time
Clock path in the clock distribution to the input path to the
Find the maximum delay constraint with reduced skew, and
All the directly reachable flips on the output path from the
Minimum for the path to flip-flops and external output terminals
A delay constraint analysis means for finding a delay constraint,
From the constraint time and the arrival time, the
A margin analysis means for determining a margin, which is a difference in arrival time,
A element having a delay smaller than the minimum value of the absolute value of the margin
Determine flip-flops to remove children or wires
Means and a clock cycle of the determined flip-flop.
From the road, a delay smaller than the minimum value of the absolute value of the margin
Means for deleting elements or wirings having
It is characterized by.

【0012】本発明のクロック分配方法は、(a)回路
を構成する各フリップフロップの最大到達時間及び最小
到達時間を求めるステップと、(b)前記フリップフロ
ップの最大要求時間及び最小要求時間を求めるステップ
と、(c)前記最大要求時間から前記最大到達時間を差
し引いた最大余裕度と、前記最小到達時間から前記最小
要求時間を差し引いた最小余裕度とを求めるステップ
と、(d)前記フリップフロップのクロック入力端子に
遅延素子を挿入しても前記最大余裕度と前記最小余裕度
とが確保されるフリップフロップを決定するステップ
と、(e)前記決定されたフリップフロップのクロック
入力端子に前記遅延素子を挿入し、前記各フリップフロ
ップの動作タイミングを互いに分散させるステップと、
を含むことを特徴とする。また本発明のクロック分配方
法は、(a)回路を構成する各フリップフロップの最大
到達時間及び最小到達時間を求めるステップと、(b)
前記フリップフロップの最大要求時間及び最小要求時間
を求めるステップと、(c)前記最大要求時間から前記
最大到達時間を差し引いた最大余裕度と、前記最小到達
時間から前記最小要求時間を差し引いた最小余裕度とを
求めるステップと、(d)前記フリップフロップのクロ
ック入力端子に接続された遅延素子を削除しても前記最
大余裕度と前記最小余裕度とが確保されるフリップフロ
ップを決定するステップと、(e)前記決定されたフリ
ップフロップのクロック入力端子に接続された前記遅延
素子を削除し、前記各フリップフロップの動作タイミン
グを互いに分散させるステップと、を含むことを特徴と
する
[0012] The clock distribution method of the present invention comprises :
The maximum arrival time and minimum time of each flip-flop
Determining the arrival time; and (b) the flip flow
The maximum and minimum required times
And (c) the difference between the maximum required time and the maximum arrival time.
From the maximum margin and the minimum arrival time
Step for finding the minimum margin after subtracting the required time
And (d) a clock input terminal of the flip-flop.
Even if a delay element is inserted, the maximum margin and the minimum margin
Determining which flip-flop is secured
And (e) the clock of the determined flip-flop.
Insert the delay element into the input terminal, and
Dispersing the operation timings of the
It is characterized by including. The clock distribution method of the present invention
The method is as follows: (a) The maximum of each flip-flop constituting the circuit
Determining the arrival time and the minimum arrival time; (b)
Maximum required time and minimum required time of the flip-flop
(C) determining the maximum required time from the maximum required time
The maximum margin that is obtained by subtracting the maximum arrival time and the minimum arrival
And the minimum margin obtained by subtracting the minimum required time from the time
(D) closing the flip-flop.
Even if the delay element connected to the
Flip flow ensuring a large margin and the minimum margin
(E) determining the determined flip-flop.
The delay connected to the clock input terminal of the flip-flop
The element is deleted, and the operation timing of each of the flip-flops is
Distributing the tags to each other.
I do .

【0013】[発明の概要]本発明の概要について以下
に説明する。本発明は、通常のクロック配線を行った場
合のクロックスキューに基づいて遅延解析を行い、フリ
ップフロップに於いて、そのフリップフロップに課せら
れた、入力側及び出力側の最大制約と最小制約を同時に
満たす範囲を求め、その制限を満たす範囲で、余裕のあ
るフリップフロップのクロックに遅延を付加、あるいは
クロックの遅延を削除することにより、他のクロックと
変化時間をずらす機能を有する。
[Outline of the Invention] The outline of the present invention will be described below. According to the present invention, delay analysis is performed based on clock skew when normal clock wiring is performed, and in a flip-flop, the maximum constraint and the minimum constraint on the input and output sides imposed on the flip-flop are simultaneously determined. It has a function of finding a range that satisfies and adding a delay to a clock of a flip-flop having a margin or removing a delay of the clock within a range that satisfies the limit, thereby shifting a change time from another clock.

【0014】[0014]

【発明の実施の形態】本発明の実施の形態について図面
を参照し、以下に説明する。 図1は、本発明の実施の
形態の処理フローを示す図である。図1を参照すると、
本発明の実施の形態においては、まず、遅延解析処理1
02を行う。ここでは、外部端子及びフリップフロップ
の間の遅延の解析を行い、各フリップフロップ及び外部
出力端子に対し、そのフリップフロップへの経路の最小
到達時間と最大到達時間を求める。クロックスキューに
関しては、そのばらつき範囲を加味して、(a)そのク
ロック分配経路自体の遅延値を最悪遅延の中に考慮する
か、あるいは、(b)フリップフロップ間の遅延解析に
おいて、そのばらつき値のみを、マージンとして扱う
か、のどちらかにより考慮する。
Embodiments of the present invention will be described below with reference to the drawings. FIG. 1 is a diagram showing a processing flow according to the embodiment of the present invention. Referring to FIG.
In the embodiment of the present invention, first, delay analysis processing 1
02 is performed. Here, the delay between the external terminal and the flip-flop is analyzed, and for each flip-flop and the external output terminal, the minimum arrival time and the maximum arrival time of the path to the flip-flop are obtained. Regarding the clock skew, taking into account its variation range, (a) consider the delay value of the clock distribution path itself in the worst delay, or (b) analyze the variation value in the delay analysis between flip-flops. Is considered as either a margin or a margin.

【0015】次に、遅延制約解析処理103を行う。こ
こでは、あらかじめ外部端子とフリップフロップに設定
された、到達時間に対するの最大遅延の制約と最小遅延
の制約をもとに、入力側のフリップフロップに遡り、各
遅延計算単位となるブロック毎に、当該ブロックまでの
地点における、最大遅延制約と最小遅延制約を求めてゆ
く。
Next, a delay constraint analysis process 103 is performed. Here, based on the maximum delay constraint and the minimum delay constraint on the arrival time set in advance to the external terminal and the flip-flop, based on the input-side flip-flop, for each block serving as each delay calculation unit, The maximum delay constraint and the minimum delay constraint at points up to the block are obtained.

【0016】当該ブロックが複数の信号伝播経路上にあ
る場合は、最悪となる値をとる。これをフリップフロッ
プあるいは外部入力に到達するまで、繰り返し行い、フ
リップフロップの出力端子に対する要求時間を求める。
If the block is on a plurality of signal propagation paths, the worst value is taken. This is repeated until the signal reaches the flip-flop or the external input, and the required time for the output terminal of the flip-flop is obtained.

【0017】次に、余裕度の解析104を行う。ここで
は、到達時間と要求時間の差を求める。最大遅延制約に
関しては、要求時間に対し、到達時間が小さい場合に
は、その値の差だけ、遅延が増加しても良いことを示し
ている。
Next, a margin analysis 104 is performed. Here, the difference between the arrival time and the required time is obtained. Regarding the maximum delay constraint, when the arrival time is shorter than the required time, it indicates that the delay may be increased by the value difference.

【0018】最小遅延制約に対しては、到達時間が要求
時間よりも大きいとき、その差の分、遅延が減少しても
良いことを示している。
The minimum delay constraint indicates that when the arrival time is longer than the required time, the delay may be reduced by the difference.

【0019】次に、他のフリップフロップに対して、ク
ロックの遅延を増加させるフリップフロップを決定する
処理105を行う。あるフリップフロップのクロックの
遅延を増加させた場合、まず、当該フリップフロップか
ら出力する経路への遅延が増加する。つまり、当該フリ
ップフロップの出力に対する最大遅延制約が厳しくな
る。また、同時に、当該フリップフロップに至る経路へ
の遅延制約が増加する。つまり、当該フリップフロップ
の入力に対する最小遅延制約が厳しくなる。
Next, a process 105 for determining a flip-flop for increasing the clock delay is performed on another flip-flop. When the delay of the clock of a certain flip-flop is increased, first, the delay from the flip-flop to the output path increases. That is, the maximum delay constraint on the output of the flip-flop becomes strict. At the same time, delay restrictions on the path leading to the flip-flop increase. That is, the minimum delay constraint on the input of the flip-flop becomes strict.

【0020】よって、この処理105では、フリップフ
ロップの中で、そのクロックに増加させる遅延値の分以
上に出力に対する最大遅延制約に対して余裕があり、な
おかつ、クロックに増加させる遅延分以上に入力に対す
る最小遅延制約に対し余裕があるものを求め、その中か
ら、クロックに実際に遅延を挿入するフリップフロップ
を決定する。
Therefore, in the process 105, the flip-flop has a margin for the maximum delay constraint on the output more than the delay value to be increased by the clock and the input more than the delay to be increased by the clock. , And a flip-flop that actually inserts a delay into a clock is determined.

【0021】次に、クロック遅延挿入が決定されたフリ
ップフロップに対し、実際にクロックに遅延を挿入する
処理106を行う。なお、上記各処理は、コンピュータ
で実行されるプログラムにより実現することができる。
Next, a process 106 for actually inserting a delay into the clock is performed on the flip-flop for which the clock delay insertion has been determined. Each of the above processes can be realized by a program executed by a computer.

【0022】図2は、本発明の第2の実施の形態の処理
フローを示す図である。ここでは、通常のクロックツリ
ー形成に、ばらつき分の遅延以外にベースとなる遅延が
バッファ等によりついており、これを削除することによ
り、クロックの遅延が削減可能なクロックツリー形成の
場合を想定している。
FIG. 2 is a diagram showing a processing flow according to the second embodiment of the present invention. Here, it is assumed that a normal clock tree formation has a base delay other than the variation delay due to a buffer or the like, and a clock tree formation in which the clock delay can be reduced by deleting the base delay. I have.

【0023】まず、図1を参照して説明した前記実施の
形態の処理と同様に、遅延解析処理202、さらに遅延
制約解析処理203を行い、続いて、余裕度の解析20
4を行う。
First, a delay analysis process 202 and a delay constraint analysis process 203 are performed in the same manner as the process of the embodiment described with reference to FIG.
Perform 4.

【0024】次に、他のフリップフロップに対し、遅延
を減少させるフリップフロップを決定する処理205を
行う。あるフリップフロップのクロックの遅延を減少さ
せた場合、まず、当該フリップフロップから出力する経
路への遅延が減少する。つまり、当該フリップフロップ
の出力に対する最大遅延制約が厳しくなる。
Next, a process 205 is performed for other flip-flops to determine a flip-flop that reduces the delay. When the delay of the clock of a certain flip-flop is reduced, first, the delay to the output path from the flip-flop is reduced. That is, the maximum delay constraint on the output of the flip-flop becomes strict.

【0025】また、同時に、当該フリップフロップに至
る経路への遅延制約が減少する。つまり、当該フリップ
フロップの入力に対する最小遅延制約が厳しくなる。よ
って、この処理205では、フリップフロップの中で、
そのクロックから減少させる遅延値の分以上に出力に対
する最小遅延制約に対し余裕があり、なおかつ、クロッ
クから減少させる遅延分以上に入力に対する最大遅延制
約に対し余裕があるものを求め、その中からクロックに
実際に遅延を削除するフリップフロップを決定する。
At the same time, the delay constraint on the path leading to the flip-flop is reduced. That is, the minimum delay constraint on the input of the flip-flop becomes strict. Therefore, in this process 205, in the flip-flop,
Obtain the minimum delay constraint for the output more than the delay value reduced from the clock, and the margin for the maximum delay constraint for the input more than the delay reduced from the clock. The flip-flop that actually removes the delay is determined.

【0026】次に、クロック遅延削減が決定されたフリ
ップフロップに対し、実際にクロックに遅延を削除する
処理206を行う。
Next, a process 206 for actually removing the clock delay is performed on the flip-flop for which the clock delay reduction is determined.

【0027】[0027]

【実施例】以下では、上記した本発明の実施の形態につ
いてさらに具体的な回路に即して説明すべく、本発明の
実施例について詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS In the following, embodiments of the present invention will be described in detail in order to explain the above-described embodiments of the present invention in further detail with reference to specific circuits.

【0028】図3は、本発明が適用される回路構成の一
実施例を説明するための図である。図3において、30
1−304は外部入力端子であり、特に、304は外部
クロック端子である。305−307及び327−32
9はバッファである。308と309はクロックツリー
を形成するバッファである。特に、クロックツリーを形
成するバッファの段数に制限はないが、ここでは仮に、
2段でクロックツリーを形成するものとした。310−
312、317−319、324−326はフリップフ
ロップであり、313、314、320、321はイン
バータであり、315、316、322はANDゲート
であり、323はNANDゲートである。
FIG. 3 is a diagram for explaining an embodiment of a circuit configuration to which the present invention is applied. In FIG. 3, 30
Reference numeral 1-304 denotes an external input terminal. In particular, reference numeral 304 denotes an external clock terminal. 305-307 and 327-32
9 is a buffer. 308 and 309 are buffers forming a clock tree. In particular, there is no limit on the number of buffers that form the clock tree.
A clock tree is formed in two stages. 310-
312, 317-319, 324-326 are flip-flops, 313, 314, 320, 321 are inverters, 315, 316, 322 are AND gates, and 323 is a NAND gate.

【0029】ここでは、説明の簡単化のために、配線遅
延は、各ゲートの遅延に含まれるものとして扱い、
“0”から“1”への変化(立ち上がり)と、“1”か
ら“0”への変化(立ち下がり)の遅延時間の差は無視
する。
Here, for the sake of simplicity, the wiring delay is treated as being included in the delay of each gate.
The difference in delay time between the change (rise) from “0” to “1” and the fall (fall) from “1” to “0” is ignored.

【0030】各ブロックの遅延は、バッファが最小遅延
4ns最大遅延8ns、インバータが最小遅延3ns最
大遅延5ns、NANDゲートが最小遅延5ns最大遅
延10ns、ANDゲートが最小遅延8ns最大遅延1
5ns、とする。
The delay of each block is as follows: a buffer has a minimum delay of 4 ns, a maximum delay of 8 ns, an inverter has a minimum delay of 3 ns, a maximum delay of 5 ns, a NAND gate has a minimum delay of 5 ns, a maximum delay of 10 ns, and an AND gate has a minimum delay of 8 ns and a maximum delay of 1 ns.
5 ns.

【0031】通常部分に対するクロックツリーは、レイ
アウト時に、4nsに対し、−2nsから+2nsの範
囲のばらつきに抑えられるものとする。遅延値で表現す
れば、通常のクロックツリー形成部のクロック遅延は、
2nsから6nsの範囲となる。さらに、フリップフロ
ップの内部遅延に関しては、クロック入力から出力への
経路に対し、最小遅延5ns最大遅延10nsとし、セ
ットアップ時間は2ns、またホールド時間は2nsと
する。
It is assumed that the clock tree for the normal part is suppressed to a variation in the range of -2 ns to +2 ns with respect to 4 ns at the time of layout. In terms of a delay value, the clock delay of a normal clock tree forming unit is
The range is from 2 ns to 6 ns. Further, regarding the internal delay of the flip-flop, the minimum delay is 5 ns, the maximum delay is 10 ns, the setup time is 2 ns, and the hold time is 2 ns with respect to the path from the clock input to the output.

【0032】表1は、遅延解析、要求時間解析を行う場
合の初期値を示している。一番左の列は、ブロック名
(図3参照)を示しており、フリップフロップ以外はそ
のブロック名の出力部分の値を示している。フリップフ
ロップに関しては、その入力と出力を区別して表現して
いる。空白部は、遅延解析、要求時間解析及び余裕度解
析が行われることにより求められる部分を示している。
また、「−」は求める意味がない部分を示している。こ
こで、単位はnsとする。
Table 1 shows initial values when delay analysis and required time analysis are performed. The leftmost column shows the block name (see FIG. 3), and the values other than flip-flops show the values of the output part of the block name. With respect to flip-flops, their inputs and outputs are distinguished from each other. The blank part indicates a part obtained by performing the delay analysis, the required time analysis, and the margin analysis.
Further, "-" indicates a portion having no meaning to be obtained. Here, the unit is ns.

【0033】解析の初期値としては、まず、外部入力端
子に対し到達時間、つまり変化時間と、外部出力端子に
対し要求時間すなわち制約時間と、クロック周期が与え
られる。ここでは、これらの値は、最大値及び最小値と
も、外部クロック入力端子が到達時間0nsであり、そ
の他の外部入力端子は到達時間25nsとする。外部出
力端子に対しては、最大要求時間が25ns、最小要求
時間が0nsとする。つまり、外部端子に対しては、0
ns以上25ns以下の到達時間となるように回路が構
成されていなければならないことを示している。クロッ
クの周期は仮に50nsとする。
As the initial values of the analysis, first, the arrival time, that is, the change time, for the external input terminal, the required time, that is, the constraint time, and the clock cycle for the external output terminal are given. Here, as for these values, both the maximum value and the minimum value have an arrival time of 0 ns at the external clock input terminal and an arrival time of 25 ns at the other external input terminals. For the external output terminal, the maximum required time is 25 ns and the minimum required time is 0 ns. That is, for the external terminal, 0
This indicates that the circuit must be configured so that the arrival time is not less than ns and not more than 25 ns. The clock cycle is assumed to be 50 ns.

【0034】次に、フリップフロップに対し、遅延解析
の始点となるフリップフロップの出力に対して到達時間
を設定し、制約解析の始点となるフリップフロップの入
力に対し要求時間を設定する。ここでは、クロックのス
キューに関しては、遅延値として考慮することとする。
すなわち、ブロック309の出力への到達時間は2ns
から6nsとなる(表1参照)。
Next, for the flip-flop, the arrival time is set for the output of the flip-flop which is the starting point of the delay analysis, and the required time is set for the input of the flip-flop which is the starting point of the constraint analysis. Here, the clock skew is considered as a delay value.
That is, the time to reach the output of block 309 is 2 ns
To 6 ns (see Table 1).

【0035】これらクロックの遅延時間とフリップフロ
ップのクロックから出力への遅延から、フリップフロッ
プの出力の到達時間を求める。ここでは、最大到達時間
を16ns、最小到達時間を7nsとする。またクロッ
ク遅延とセットアップ及びホールド時間から、各フリッ
プフロップの入力での要求時間を求める。ここでは、最
大要求時間を50ns、最小要求時間を8nsとする。
The arrival time of the output of the flip-flop is obtained from the delay time of the clock and the delay from the clock to the output of the flip-flop. Here, the maximum arrival time is 16 ns and the minimum arrival time is 7 ns. Also, the required time at the input of each flip-flop is obtained from the clock delay and the setup and hold times. Here, the maximum required time is 50 ns and the minimum required time is 8 ns.

【0036】表2は、表1の初期値に基づき、到達時間
と要求時間と余裕度を求めた結果を示している。
Table 2 shows the result of obtaining the arrival time, the required time, and the margin based on the initial values in Table 1.

【0037】遅延解析及び要求時間の求め方には種々の
方法があるが、ここでは、遅延解析においては、始点か
ら、つまり外部入力端子及びフリップフロップの出力か
ら解析を進め、各ブロック毎に、その出力毎に、当該ブ
ロックの全ての入力からの遅延のうち、最悪のもののみ
伝播してゆく手法をとるものとする。最大遅延解析にお
いては、最大のもの、最小遅延解析においては最小のも
のを選択する。
There are various methods for the delay analysis and the method of obtaining the required time. Here, in the delay analysis, the analysis is started from the starting point, that is, from the external input terminal and the output of the flip-flop. For each output, a method of propagating only the worst of delays from all inputs of the block is taken. The largest one is selected in the maximum delay analysis, and the smallest one is selected in the minimum delay analysis.

【0038】例として、全体処理の中から、図3のフリ
ップフロップ318のD入力に至る経路の最大遅延解析
処理を切り出して説明をする。
As an example, a description will be given by cutting out the maximum delay analysis processing of the path leading to the D input of the flip-flop 318 in FIG. 3 from the entire processing.

【0039】インバータ314とANDゲート315が
まず解析されるが、インバータ314の出力はフリップ
フロップ311からの経路のみであるため、フリップフ
ロップ311の最大到達時間に、インバータ314の最
大遅延5nsを足して、21nsとなり、ANDゲート
315に対しては、フリップフロップ310と312か
らの両方の経路があるが、ともに同じ遅延であるため選
択上の問題はなく、ANDゲート315の出力の最大到
達時間は、フリップフロップ310または312の最大
遅延時間と315の最大遅延を足して、31nsとな
る。
The inverter 314 and the AND gate 315 are analyzed first. However, since the output of the inverter 314 is only the path from the flip-flop 311, the maximum arrival time of the flip-flop 311 is added to the maximum delay 5 ns of the inverter 314. , 21 ns, and there are both paths from the flip-flops 310 and 312 to the AND gate 315. However, since both have the same delay, there is no problem in selection, and the maximum arrival time of the output of the AND gate 315 is: The sum of the maximum delay time of the flip-flop 310 or 312 and the maximum delay of 315 is 31 ns.

【0040】次にANDゲート316での解析において
は選択が生じる。インバータ314から到達する経路に
おいては、ANDゲート316の出力に対し36nsと
なり、ANDゲート315からの経路に対しては46n
sとなり、最大遅延解析時には大きな方の値を最悪値と
してとり、ANDゲート316の出力に対する最大到達
時間は46nsとなる。
Next, selection occurs in the analysis at the AND gate 316. In the path arriving from the inverter 314, the output from the AND gate 316 is 36 ns, and in the path from the AND gate 315, 46 ns.
In the maximum delay analysis, the larger value is taken as the worst value, and the maximum arrival time for the output of the AND gate 316 is 46 ns.

【0041】ここでは、配線による遅延はブロックの遅
延に含むと考えているため、ANDゲート316とフリ
ップフロップ318のD入力の間には遅延はないものと
して、フリップフロップ318への最大到達時間46n
sが求められる。以下同様に解析し、到達時間を得る。
Here, since it is considered that the delay due to the wiring is included in the delay of the block, there is no delay between the AND gate 316 and the D input of the flip-flop 318, and the maximum arrival time 46n to the flip-flop 318 is assumed.
s is required. Hereinafter, the same analysis is performed to obtain the arrival time.

【0042】次に、要求時間の解析処理の例として、フ
リップフロップ312のQ出力への最大遅延制約に対す
る要求時間、つまり最大要求時間を全体の処理の中から
切り出して説明する。
Next, as an example of the required time analysis processing, the required time for the maximum delay constraint on the Q output of the flip-flop 312, that is, the maximum required time will be cut out from the entire processing.

【0043】まず、ANDゲート316の要求時間は、
ここでは配線遅延をブロック遅延と別には定義していな
いため、フリップフロップ318のD入力の要求時間と
同じで50nsとなる。
First, the required time of the AND gate 316 is
Here, since the wiring delay is not defined separately from the block delay, it is 50 ns, which is the same as the required time of the D input of the flip-flop 318.

【0044】次に、ANDゲート316の入力であるイ
ンバータ314とANDゲート315に至るが、インバ
ータ314に対しては、出力は1つであるため、単に、
ANDゲート316の出力の最大要求時間からANDゲ
ート316のもつ最大遅延15nsを減じて、35ns
が、インバータ314の出力に対する要求時間となる。
Next, the signal reaches the inverter 314 and the AND gate 315 which are the inputs of the AND gate 316. Since the inverter 314 has one output,
By subtracting the maximum delay 15 ns of the AND gate 316 from the maximum required time of the output of the AND gate 316, 35 ns
Is the required time for the output of the inverter 314.

【0045】一方、ANDゲート315に対してはその
出力が、ANDゲート316とフリップフロップ319
の2箇所につながっているため、両者の最悪値をとる。
On the other hand, the output of the AND gate 315 is supplied to the AND gate 316 and the flip-flop 319.
Since the two points are connected, the worst value of both is taken.

【0046】この時、ANDゲート316からの要求時
間は35ns、フリップフロップ319からの要求時間
は50nsであるため、最大遅延制約として厳しい方の
35nsをとる。
At this time, since the required time from the AND gate 316 is 35 ns and the required time from the flip-flop 319 is 50 ns, the stricter 35 ns is taken as the maximum delay constraint.

【0047】次に、ANDゲート315の最大遅延分を
減じて、フリップフロップ312のQ出力の要求時間2
0nsが求められる。その他に対しても、同様に要求時
間が求められる。
Next, by subtracting the maximum delay amount of the AND gate 315, the required time 2 of the Q output of the flip-flop 312 is obtained.
0 ns is required. The required time is similarly required for the others.

【0048】次に余裕度を求めるが、ここでは、最大の
要求時間に対する余裕度は、最大要求時間から最大到達
時間を差し引いた値と定義し、最小の要求時間に対する
余裕度は、最小到達時間から最小の要求時間を差し引い
た値と定義する。
Next, a margin is obtained. Here, the margin for the maximum required time is defined as a value obtained by subtracting the maximum arrival time from the maximum required time, and the margin for the minimum required time is defined as the minimum arrival time. Minus the minimum required time.

【0049】つまり、ここでは、各ブロックの最大余裕
度は、当該ブロックの最大到達時間がその余裕度分の時
間増加したとしても制約を満たし、各ブロックの最小余
裕度は、そのブロックの最小到達時間がその余裕度分減
ったとしても制約を満たすことを表している。余裕度
は、各ブロックに対し、到達時間と要求時間の差からた
だちに求められる。
That is, here, the maximum margin of each block satisfies the constraint even if the maximum arrival time of the block is increased by the margin, and the minimum margin of each block is the minimum margin of the block. This indicates that the constraint is satisfied even if the time is reduced by the margin. The margin is immediately obtained from the difference between the arrival time and the required time for each block.

【0050】次に、クロックに遅延を挿入してクロック
変化時間を分散させる場合には、クロックに遅延を挿入
するフリップフロップを決定する。ここでは、クロック
に挿入する遅延ブロックとして、5nsのもののみが用
意されているものとする。この場合、フリップフロップ
のクロックにこの遅延を挿入した場合、当該フリップフ
ロップに対しては、出力の遅延時間が5ns増加し、入
力の要求時間が5ns増加する。このため余裕度として
は、出力の最大余裕度が5ns減少し、入力の最小余裕
度が5ns減少することを考慮する必要がある。その他
の余裕に関しては、クロックに遅延を挿入する前は全て
制約が満たされているとすれば、余裕が増大する方向で
あるため、考慮する必要はない。
Next, in order to disperse the clock change time by inserting a delay into the clock, a flip-flop that inserts a delay into the clock is determined. Here, it is assumed that only 5 ns delay blocks are prepared to be inserted into the clock. In this case, when this delay is inserted in the clock of the flip-flop, the output delay time of the flip-flop increases by 5 ns, and the input request time increases by 5 ns. For this reason, it is necessary to consider that the maximum margin of output decreases by 5 ns and the minimum margin of input decreases by 5 ns. Regarding the other margins, if all the constraints are satisfied before the delay is inserted into the clock, the margins tend to increase, so there is no need to consider them.

【0051】つまり、クロックの遅延を増加させること
が可能なフリップフロップは、少なくとも、出力に対し
最大余裕度が5ns以上あり、入力に対し最小余裕度が
5ns以上なければならない。この場合、図3におい
て、312、324、325、326は出力の最大余裕
度が不足しており、317は入力の最小余裕度が不足し
ている。よって、全フリップフロップのうち、この条件
を満たすフリップフロップは、310、311、31
8、319の4つである。
That is, the flip-flop capable of increasing the clock delay must have at least a maximum margin of 5 ns or more for the output and a minimum margin of 5 ns or more for the input. In this case, in FIG. 3, 312, 324, 325, and 326 lack the maximum allowance for output, and 317 lacks the minimum allowance for input. Therefore, among all the flip-flops, the flip-flops satisfying this condition are 310, 311 and 31
8, 319.

【0052】よって、最大を、この4つとして、この4
つの中から、クロックに遅延を挿入するフリップフロッ
プを選択することになる。選択法の例としては、可能な
すべてのクロックに遅延を挿入する方法、ランダムにい
くつかを選ぶ方法等がある。最終的に、決定されたフリ
ップフロップのクロックに遅延を挿入して終了する。
Therefore, assuming that the maximum is these four, this four
One of them is to select a flip-flop that inserts a delay into the clock. Examples of selection methods include inserting delays into all possible clocks, randomly selecting some, and so on. Finally, a delay is inserted in the determined flip-flop clock, and the process ends.

【0053】また、これとは逆に、クロックから遅延を
削除して、クロックの変化時間を分散させる場合には、
以下のように処理を行う。ここで、通常のクロック分配
に使用されている分配方式は、一部を削除することがで
きるようになっているものとし、その削除可能な遅延は
3nsのみであるとする。
Conversely, when the delay is removed from the clock and the change time of the clock is dispersed,
The processing is performed as follows. Here, it is assumed that a part of the distribution system used for normal clock distribution can be deleted, and the delay that can be deleted is only 3 ns.

【0054】この場合、フリップフロップのクロックか
らこの遅延を削除した場合、当該フリップフロップに対
しては、出力の遅延時間が3ns減少し、入力の要求時
間が3ns減少する。このため余裕度としては、出力の
最小余裕度が3ns減少し、入力の最大余裕度が3ns
減少することを考慮する必要がある。その他の余裕に関
しては、クロックの遅延を削除する前は全ての制約が満
たされているとすれば、余裕が増大する方向であるた
め、考慮する必要はない。つまり、クロックの遅延を削
除することが可能なフリップフロップは、少なくとも、
出力に対し最小余裕度が3ns以上あり、入力に対し最
大余裕度が3ns以上なければならない。この場合、3
10と317は出力の最小余裕度が不足している。
In this case, if this delay is removed from the clock of the flip-flop, the output delay time of the flip-flop is reduced by 3 ns and the required time of the input is reduced by 3 ns. For this reason, as the margin, the minimum margin of the output is reduced by 3 ns, and the maximum margin of the input is 3 ns.
It is necessary to consider the decrease. As for the other margins, if all the constraints are satisfied before the clock delay is removed, the margins tend to increase, and thus need not be considered. In other words, flip-flops that can eliminate clock delays are at least
The minimum margin for the output must be 3 ns or more, and the maximum margin for the input must be 3 ns or more. In this case, 3
10 and 317 have insufficient output margins.

【0055】よって、全フリップフロップのうち、この
条件を満たすフリップフロップは、311、312、3
18、319、324、325、326の7つである。
よって、最大をこの7つとして、この7つの中から、ク
ロックから遅延を削除するフリップフロップを選択する
ことになる。
Therefore, out of all the flip-flops, the flip-flops satisfying this condition are 311, 312, 3
18, 319, 324, 325, and 326.
Therefore, the maximum is set to seven, and a flip-flop that removes the delay from the clock is selected from the seven.

【0056】選択法の例としては、可能なすべてのクロ
ックに遅延を挿入する方法、ランダムにいくつかを選ぶ
方法等がある。最終的に、決定されたフリップフロップ
のクロックから遅延を削除して終了する。
Examples of the selection method include a method of inserting a delay into all possible clocks and a method of selecting some at random. Finally, the delay is removed from the determined flip-flop clock, and the process ends.

【0057】図4は、図3に示した回路に対し、表2に
示すような遅延解析を行った結果から、5nsのクロッ
ク遅延が挿入可能なすべてのフリップフロップに遅延を
挿入した一実施例を説明するための図である。
FIG. 4 shows an embodiment in which delays are inserted into all flip-flops into which a clock delay of 5 ns can be inserted, based on the results of delay analysis shown in Table 2 for the circuit shown in FIG. FIG.

【0058】図4において、401から432までは、
それぞれ順に301から332に対応している。遅延が
挿入可能なフリップフロップは、310、311、31
8、319であるため、図4では、それぞれ、410、
411、418、419に対応している。ここで、43
3、434、435、436は、遅延を増加させるため
に挿入されたバッファであり、ここではフリップフロッ
プ毎にバッファを挿入した例である。
In FIG. 4, reference numerals 401 to 432 denote
They respectively correspond to 301 to 332 in order. Flip-flops into which delays can be inserted are 310, 311, 31
8 and 319, respectively, and in FIG.
411, 418, and 419. Here, 43
Reference numerals 3, 434, 435, and 436 denote buffers inserted to increase the delay. In this example, buffers are inserted for each flip-flop.

【0059】図5も、図3に示した回路に対し、表2に
示すような遅延解析を行った結果から、5nsのクロッ
ク遅延が挿入可能なすべてのフリップフロップに遅延を
挿入した一実施例を説明するための図である。ここで、
501から532までは、それぞれ順に501から53
2に対応している。遅延が挿入可能なブロックは、31
0、311、318、319であるため、図5では、そ
れぞれ510、511、518、519に対応してい
る。ここで、533は遅延を増加させるために挿入され
たバッファであり、534と535はクロックツリーを
構成するバッファであり、508と509と同じ遅延と
ばらつきをもっとクロックツリーを形成するものであ
る。
FIG. 5 also shows an embodiment in which delays are inserted into all flip-flops into which a clock delay of 5 ns can be inserted, based on the results of delay analysis as shown in Table 2 for the circuit shown in FIG. FIG. here,
501 to 532 are 501 to 53, respectively.
2 is supported. Blocks into which delays can be inserted are 31
0, 311, 318, and 319, respectively, correspond to 510, 511, 518, and 519 in FIG. Here, 533 is a buffer inserted to increase the delay, 534 and 535 are buffers forming a clock tree, and form a clock tree with the same delay and variation as 508 and 509.

【0060】図6は、図3に示した回路に対し、表2に
示すような遅延解析を行った結果から、3nsのクロッ
ク遅延が削除可能なフリップフロップを求め、このクロ
ック遅延削除可能なフリップフロップの中から、ブロッ
クに振られた番号に対して、1つおきに適正フリップフ
ロップを選択した一実施例を説明するための図である。
FIG. 6 shows a flip-flop capable of eliminating a clock delay of 3 ns from the result of delay analysis as shown in Table 2 for the circuit shown in FIG. FIG. 10 is a diagram for explaining an embodiment in which an appropriate flip-flop is selected every other one of the numbers assigned to the blocks from among the flip-flops.

【0061】3nsの遅延が削除可能なフリップフロッ
プは、311、312、318、319、324、32
5、326の7つであるが、この中から、312、31
9、325を選択して適用した例である。
The flip-flops from which the delay of 3 ns can be eliminated are 311, 312, 318, 319, 324, and 32.
5 and 326, of which 312 and 31
9 and 325 are selected and applied.

【0062】図6ではこの適用フリップフロップは、6
12、619、625に対応する。この例では、633
は、608と609から形成されるクロックツリーと同
様にクロックツリー形成が可能であるが、そのベース遅
延が最大で3ns削除小さくなる場合を想定している。
In FIG. 6, the applied flip-flop is 6
12, 619, and 625. In this example, 633
Can form a clock tree in the same manner as the clock tree formed from 608 and 609, but it is assumed that the base delay is reduced by 3 ns at the maximum.

【0063】図7も、図3に示した回路に対し、表2に
示すような遅延解析を行った結果から、3nsのクロッ
ク遅延が削除可能なフリップフロップを求め、このクロ
ック遅延削除可能なフリップフロップの中から、ブロッ
クにふられた番号に対し、1つおきに適用フリップフロ
ップを選択した例である。ここで、312、319、3
25を選択して適用した例であるが、図7では、この適
用フリップフロップは、712、719、725に対応
する。
FIG. 7 also shows a flip-flop capable of eliminating the clock delay of 3 ns from the result of the delay analysis shown in Table 2 for the circuit shown in FIG. In this example, every other flip-flop is selected from the flip-flops corresponding to the numbers assigned to the blocks. Here, 312, 319, 3
In this example, 25 is selected and applied. In FIG. 7, the applied flip-flops correspond to 712, 719, and 725.

【0064】この例では、708と709から形成され
るクロックツリーは、708の直後からクロックツリー
を形成することにより、708と709で構成されたク
ロックツリーに対し、そのベース遅延が最大で3ns削
除小さくなる場合を想定している。
In this example, the clock tree formed from 708 and 709 is formed immediately after 708, so that the base delay of the clock tree formed from 708 and 709 is reduced by 3 ns at the maximum. It is assumed that it becomes smaller.

【0065】[0065]

【表1】 [Table 1]

【0066】[0066]

【表2】 [Table 2]

【0067】[0067]

【発明の効果】以上説明したように、本発明によれば、
回路内の、多数のフリップフロップのクロックが小さな
スキュー時間の間に集中して変化することに起因する、
電源ノイズ及びエレクトロマイグレーションを低減す
ことができる。
As described above, according to the present invention,
Due to the fact that the clocks of many flip-flops in the circuit change intensively during a small skew time,
Reducing power supply noise and electromigration, can.

【0068】その理由は、本発明においては、通常のク
ロック配線を行った場合のクロックスキューに基づいて
遅延解析を行い、フリップフロップにおいて、当該フリ
ップフロップに課せられた、入力側及び出力側の最大制
約と最小制約を同時に満たす範囲を求め、その制限を満
たす範囲で、余裕のあるフリップフロップのクロック
に、遅延を付加、あるいはクロックの遅延を削除するこ
とにより、他のクロックと変化時間をずらすようにし
た、ことによる。
The reason is that, in the present invention, delay analysis is performed based on the clock skew in the case where normal clock wiring is performed, and the maximum input and output side imposed on the flip-flop is imposed on the flip-flop. Find the range that satisfies the constraint and minimum constraint at the same time, and add a delay to the clock of the flip-flop that has a margin or remove the clock delay within the range that satisfies the limit so that the change time is shifted from other clocks. It depends.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施例の処理フローを示す図であ
る。
FIG. 1 is a diagram showing a processing flow of an embodiment of the present invention.

【図2】本発明の別の実施例の処理フローを示す図であ
る。
FIG. 2 is a diagram showing a processing flow of another embodiment of the present invention.

【図3】本発明の実施例が適用説される回路構成の一例
(その1)を示す図である。
FIG. 3 is a diagram illustrating an example (part 1) of a circuit configuration to which an embodiment of the present invention is applied;

【図4】本発明の実施例が適用される回路構成の一例
(その2)を示す図である。
FIG. 4 is a diagram illustrating an example (part 2) of a circuit configuration to which an embodiment of the present invention is applied;

【図5】本発明の実施例が適用される回路構成の一例
(その3)を示す図である。
FIG. 5 is a diagram illustrating an example (part 3) of a circuit configuration to which an embodiment of the present invention is applied;

【図6】本発明の実施例が適用される回路構成の一例
(その4)を示す図である。
FIG. 6 is a diagram illustrating an example (part 4) of a circuit configuration to which an embodiment of the present invention is applied;

【図7】本発明の実施例が適用説明される回路構成の一
例を示す図である。 101 処理の開始 102 遅延解析処理 103 遅延制約解析処理 104 余裕度解析処理 105 クロック遅延挿入フリップフロップ決定処理 106 クロック遅延挿入処理 107 処理の終了 201 処理の開始 202 遅延解析処理 203 遅延制約解析処理 204 余裕度解析処理 205 クロック遅延削除フリップフロップ決定処理 206 クロック遅延削除処理 207 処理の終了 301−304 入力端子 305−307、327−329 バッファ 308、309 クロックツリー形成バッファ 310−312、317−319、324−326 フ
リップフロップ 313、314、320、321 インバーター 315、316、322 ANDゲート 323 NANDゲート 330−332 出力端子 401−404 入力端子 405−407、427−429 バッファ 408、409 クロックツリー形成バッファ 410−412、417−419、424−426 フ
リップフロップ 413、414、420、424 インバーター 415、416、422 ANDゲート 423 NANDゲート 430−432 出力端子 433−436 遅延調整用バッファ 501−504 入力端子 505−507、527−529 バッファ 508、509 クロックツリー形成バッファ 510−512、517−519、524−526 フ
リップフロップ 513、514、520、521 インバーター 515、516、522 ANDゲート 523 NANDゲート 530−532 出力端子 533 遅延調整用バッファ 534、535 クロックツリー形成バッファ 601−604 入力端子 605−607、627−629 バッファ 608、609 クロックツリー形成バッファ 610−612、617−619、624−626 フ
リップフロップ 613、614、620、621 インバーター 615、616、622 ANDゲート 623 NANDゲート 630−632 出力端子 633 クロックツリー形成バッファ 701−704 入力端子 705−707、727−729 バッファ 708、709 クロックツリー形成バッファ 710−712、717−719、724−727 フ
リップフロップ 713、714、720、721 インバーター 715、716、722 ANDゲート 723 NANDゲート 730−732 出力端子
FIG. 7 is a diagram showing an example of a circuit configuration to which an embodiment of the present invention is applied and explained. 101 start of processing 102 delay analysis processing 103 delay constraint analysis processing 104 margin analysis processing 105 clock delay insertion flip-flop determination processing 106 clock delay insertion processing 107 end of processing 201 start of processing 202 delay analysis processing 203 delay restriction analysis processing 204 margin Degree analysis processing 205 clock delay deletion flip-flop determination processing 206 clock delay deletion processing 207 end of processing 301-304 input terminal 305-307, 327-329 buffer 308, 309 clock tree formation buffer 310-312, 317-319, 324- 326 Flip-flop 313, 314, 320, 321 Inverter 315, 316, 322 AND gate 323 NAND gate 330-332 Output terminal 401-404 Input terminal 405 −407, 427-429 Buffer 408, 409 Clock tree formation buffer 410-412, 417-419, 424-426 Flip-flop 413, 414, 420, 424 Inverter 415, 416, 422 AND gate 423 NAND gate 430-432 Output terminal 433-436 Delay adjustment buffer 501-504 Input terminal 505-507, 527-529 Buffer 508, 509 Clock tree formation buffer 510-512, 517-519, 524-526 Flip-flop 513, 514, 520, 521 Inverter 515, 516, 522 AND gate 523 NAND gate 530-532 Output terminal 533 Delay adjustment buffer 534, 535 Clock tree formation buffer 601-604 Input terminals 605-607, 627-629 Buffers 608, 609 Clock tree formation buffers 610-612, 617-619, 624-626 Flip-flops 613, 614, 620, 621 Inverters 615, 616, 622 AND gate 623 NAND gate 630- 632 Output terminal 633 Clock tree formation buffer 701-704 Input terminal 705-707, 727-729 Buffer 708, 709 Clock tree formation buffer 710-712, 717-719, 724-727 Flip-flop 713, 714, 720, 721 Inverter 715 , 716, 722 AND gate 723 NAND gate 730-732 Output terminal

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/82 W (58)調査した分野(Int.Cl.6,DB名) G06F 17/50 G06F 1/10 H01L 21/82 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 6 identification code FI H01L 21/82 W (58) Investigated field (Int.Cl. 6 , DB name) G06F 17/50 G06F 1/10 H01L 21 / 82

Claims (6)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】(a)回路を構成する各フリップフロップ
の最大到達時間及び最小到達時間を求めるステップと(b)前記フリップフロップの最大要求時間及び最小要
求時間を求めるステップと(c)前記最大要求時間から前記最大到達時間を差し引
いた最大余裕度と、前記最小到達時間から前記最小要求
時間を差し引いた最小余裕度とを求めるステップと(d)前記フリップフロップのクロック入力端子に遅延
素子を挿入しても前記最大余裕度と前記最小余裕度とが
確保されるフリップフロップを決定するステップと(e)前記決定されたフリップフロップのクロック入力
端子に前記遅延素子を挿入し、前記各フリップフロップ
の動作タイミングを互いに分散させるステップと 、 を含むことを特徴とするクロック分配方
(A) Each flip-flop constituting a circuit
Determining the maximum and minimum arrival times of the flip-flop ; and (b) the maximum and minimum required times of the flip-flop.
Determining a request time; and (c) subtracting the maximum arrival time from the maximum request time.
From the maximum margin and the minimum arrival time
And determining the minimum margin minus the time delay to the clock input terminal of; (d) flip-flop
Even if an element is inserted, the maximum margin and the minimum margin are
Determining the flip-flop to be secured ; and (e) clock input of the determined flip-flop.
Insert the delay element into the terminal, and
Clock distribution how which comprises the steps of: dispersing one another the operation timing of the.
【請求項2】(a)回路を構成する各フリップフロップ
の最大到達時間及び最小到達時間を求めるステップと(b)前記フリップフロップの最大要求時間及び最小要
求時間を求めるステップと(c)前記最大要求時間から前記最大到達時間を差し引
いた最大余裕度と、前記最小到達時間から前記最小要求
時間を差し引いた最小余裕度とを求めるステップと(d)前記フリップフロップのクロック入力端子に接続
された遅延素子を削除しても前記最大余裕度と前記最小
余裕度とが確保されるフリップフロップを決定するステ
ップと(e)前記決定されたフリップフロップのクロック入力
端子に接続された前記遅延素子を削除し、前記各フリッ
プフロップの動作タイミングを互いに分散させるステッ
プと 、 を含むことを特徴とするクロック分配方
2. (a) Each flip-flop constituting a circuit
Determining the maximum and minimum arrival times of the flip-flop ; and (b) the maximum and minimum required times of the flip-flop.
Determining a request time; and (c) subtracting the maximum arrival time from the maximum request time.
From the maximum margin and the minimum arrival time
And determining the minimum margin by subtracting the time, (d) connected to the clock input terminal of the flip-flop
The maximum margin and the minimum
Steps to determine flip-flops with sufficient margin
And (e) the clock input of the determined flip-flop.
Delete the delay element connected to the terminal, and
Steps to disperse the flop operation timings
Clock distribution how which comprises a flop, a.
【請求項3】LSIのクロック分配方式において、 フリップフロップの入力経路と出力経路の遅延解析を行
い前記フリップフロップの経路への最小到達時間と最大
到達時間を求める遅延解析手段と、 フリップフロップへの入力経路に対し、クロック分配に
おけるクロックスキューを加えた最小遅延制約を求め
フリップフロップからの出力経路に関し、直接到達可能
な全てのフリップフロップ及び外部出力端子に到る経路
に対する最大遅延制約を求める遅延制約解析手段と、前記求められた制約時間と到達時間とから、前記 制約時
間に対する前記到達時間の差である余裕度を求める余裕
度解析手段と、 前記余裕度の絶対値の最小値よりも小さい遅延をもつ素
子もしくは配線が、クロック経路へ挿入されるフリップ
フロップを決定する手段と、 前記決定されたフリップフロップのクロック経路に前記
余裕度の絶対値の最小値よりも小さい遅延をもつ素子も
しくは配線を挿入する手段と、 を備えたことを特徴とするクロック分配方式。
3. In an LSI clock distribution system, delay analysis of an input path and an output path of a flip-flop is performed.
Minimum time to reach the path of the flip-flop and maximum
A delay analysis means for determining the arrival time, the input path to the flip-flop obtains the minimum delay constraints plus clock skew in clock distribution,
Regarding the output path from the flip-flop, all the directly reachable flip-flops and the path to the external output terminal
Maximum delay constraint analysis means for determining the delay constraint, from the determined constraint time and arrival time, and the margin analyzing means for determining the margin is a difference between the arrival time for the constraint time, the absolute of the margin for Means for determining a flip-flop to be inserted into the clock path by means of an element or wiring having a delay smaller than the minimum value of the value; Means for inserting an element or a wiring having a small delay; and a clock distribution method.
【請求項4】LSIのクロック分配方式において、 フリップフロップの入力経路と出力経路の遅延解析を行
い前記フリップフロップへの経路の最小到達時間と最大
到達時間を求める遅延解析手段と、 フリップフロップへの入力経路に対し、クロック分配に
おけるクロックスキューを減じた最大遅延制約を求め
フリップフロップからの出力経路に関し、直接到達可能
な全てのフリップフロップ及び外部出力端子に到る経路
に対する最小遅延制約を求める遅延制約解析手段と、前記求められた制約時間と到達時間とから、前記 制約時
間に対する前記到達時間の差である余裕度を求める余裕
度解析手段と、 前記余裕度の絶対値の最小値よりも小さい遅延をもつ素
子あるいは配線を削除するフリップフロップを決定する
手段と、前記決定されたフリップフロップのクロック経路から
記余裕度の絶対値の最小値よりも小さい遅延をもつ素子
あるいは配線を削除する手段と、 を備えたことを特徴とするクロック分配方式。
4. In an LSI clock distribution method, delay analysis of an input path and an output path of a flip-flop is performed.
The minimum arrival time and the maximum of the path to the flip-flop
A delay analysis means for determining the arrival time, the input path to the flip-flop obtains the maximum delay constraint minus the clock skew in the clock distribution,
Regarding the output path from the flip-flop, all the directly reachable flip-flops and the path to the external output terminal
Delay constraint analysis means for finding a minimum delay constraint on , a margin analysis means for obtaining a margin, which is a difference between the arrival time with respect to the constraint time , from the determined constraint time and arrival time, and an absolute value of the margin. Means for determining an element having a delay smaller than the minimum value or a flip-flop for eliminating a wiring; and an element having a delay smaller than the minimum value of the absolute value of the margin from the clock path of the determined flip-flop. Alternatively, there is provided a clock distribution system, comprising: means for removing wiring.
【請求項5】LSIのクロック分配方式において、 (a)フリップフロップの入力経路と出力経路の遅延解
析を行い前記フリップフロップへの経路の最小到達時間
と最大到達時間を求める遅延解析処理と、 (b)フリップフロップへの入力経路に対し、クロック
分配におけるクロックスキューを加えた最小遅延制約
求め、フリップフロップからの出力経路に関し、直接到
達可能な全てのフリップフロップ及び外部出力端子に到
る経路に対する最大遅延制約を求める遅延制約解析処理
と、 (c)前記求められた制約時間と到達時間とから、前記
制約時間に対する前記到達時間の差である余裕度を求め
る処理と、 (d)前記余裕度の絶対値の最小値よりも小さい遅延を
もつ素子もしくは配線が、クロック経路へ挿入されるフ
リップフロップを決定する処理と、 (e)前記決定されたフリップフロップのクロック経路
に前記余裕度の絶対値の最小値よりも小さい遅延をもつ
素子もしくは配線を挿入する処理と、 の上記(a)〜(e)の各処理をコンピュータ等情報処
理装置で実行させるプログラムを記録した記録媒体。
5. An LSI clock distribution system comprising: (a) a delay solution of an input path and an output path of a flip-flop;
And the minimum arrival time of the path to the flip-flop
And the delay analysis processing for determining the maximum arrival time, the input path to the (b) flip-flop, the minimum delay constraints plus clock skew in clock distribution
Determined relates to an output path from the flip-flop, arrives directly all the flip-flop reachable and an external output terminal
A delay constraint analysis processing for determining the maximum delay constraints for path that, the process of obtaining the margin is a difference between the arrival time for the (c) from the determined constraint time and arrival time, the <br/> constraint time (D) a process in which an element or a wiring having a delay smaller than the minimum value of the absolute value of the margin determines a flip-flop to be inserted into a clock path; and (e) a clock path of the determined flip-flop. A process for inserting an element or a wiring having a delay smaller than the minimum value of the absolute value of the margin and a program for executing each of the processes (a) to (e) in an information processing device such as a computer are recorded. recoding media.
【請求項6】LSIのクロック分配方式において、 (a)フリップフロップの入力経路と出力経路の遅延解
析を行い前記フリップフロップへの経路の最小到達時間
と最大到達時間を求める遅延解析処理と、 (b)フリップフロップへの入力経路に関し、クロック
分配におけるクロックスキューを減じた最大遅延制約
求め、フリップフロップからの出力経路に関し、直接到
達可能な全てのフリップフロップ及び外部出力端子に到
る経路に対する最小遅延制約を求める遅延制約解析処理
と、 (c)前記求められた制約時間と到達時間とから、前記
制約時間に対する前記到達時間の差である余裕度を求め
る処理と、 (d)前記余裕度の絶対値の最小値よりも小さい遅延を
もつ素子あるいは配線を削除する該フリップフロップを
決定する処理と、 (e)前記決定されたフリップフロップのクロック経路
から前記余裕度の絶対値の最小値よりも小さい遅延をも
つ素子あるいは配線を削除する処理と、 の上記(a)〜(e)の各処理をコンピュータ等情報処
理装置で実行させるプログラムを記録した記録媒体。
6. A clock distribution system for an LSI, comprising: (a) a delay solution of an input path and an output path of a flip-flop;
And the minimum arrival time of the path to the flip-flop
And (b) a maximum delay constraint that reduces clock skew in clock distribution with respect to an input path to a flip-flop.
Determined relates to an output path from the flip-flop, arrives directly all the flip-flop reachable and an external output terminal
A delay constraint analysis processing for obtaining the minimum delay constraints for path that, the process of obtaining the margin is a difference between the arrival time for the (c) from the determined constraint time and arrival time, the <br/> constraint time (D) a process of determining the flip-flop for removing an element or a wiring having a delay smaller than the minimum value of the absolute value of the margin; and (e) a clock path of the determined flip-flop.
Recording a program to be executed by the minimum absolute value each processing computer such as an information processing apparatus of the process of deleting the element or wire, of the (a) ~ (e) with a smaller delay than in the margin from recoding media.
JP9150302A 1997-05-23 1997-05-23 Clock distribution system and method Expired - Lifetime JP2985833B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9150302A JP2985833B2 (en) 1997-05-23 1997-05-23 Clock distribution system and method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9150302A JP2985833B2 (en) 1997-05-23 1997-05-23 Clock distribution system and method

Publications (2)

Publication Number Publication Date
JPH10326303A JPH10326303A (en) 1998-12-08
JP2985833B2 true JP2985833B2 (en) 1999-12-06

Family

ID=15494043

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9150302A Expired - Lifetime JP2985833B2 (en) 1997-05-23 1997-05-23 Clock distribution system and method

Country Status (1)

Country Link
JP (1) JP2985833B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4118536B2 (en) 2001-07-03 2008-07-16 株式会社東芝 Clock delay setting method
WO2003071681A1 (en) * 2002-02-21 2003-08-28 Koninklijke Philips Electronics N.V. Integrated circuit having reduced substrate bounce
JP5003211B2 (en) * 2007-03-01 2012-08-15 日本電気株式会社 Clock control circuit and clock control method
JP5883676B2 (en) * 2012-02-22 2016-03-15 ルネサスエレクトロニクス株式会社 LSI design method
JP6322888B2 (en) * 2013-01-15 2018-05-16 株式会社ソシオネクスト Semiconductor test program, semiconductor test apparatus, and semiconductor test method

Also Published As

Publication number Publication date
JPH10326303A (en) 1998-12-08

Similar Documents

Publication Publication Date Title
US6543040B1 (en) Macro design techniques to accommodate chip level wiring and circuit placement across the macro
US6145116A (en) Layout design apparatus
US7526743B2 (en) Method for routing data paths in a semiconductor chip with a plurality of layers
US7148135B2 (en) Method of designing low-power semiconductor integrated circuit
JP2985833B2 (en) Clock distribution system and method
Sze et al. Path based buffer insertion
Hu et al. Interconnect optimization considering multiple critical paths
JP3092664B2 (en) Design support method
JPH09181187A (en) Designing method for clock interconnection of integrated circuit
US6757877B2 (en) System and method for identifying and eliminating bottlenecks in integrated circuit designs
JP2003256488A (en) Method for layout of lsi, program, and recording medium
JP3230233B2 (en) Semiconductor integrated circuit design apparatus, wiring control method thereof, and storage medium storing wiring control program
JP3230234B2 (en) A delay adjustment method for a semiconductor integrated circuit, a delay adjustment circuit block, and a recording medium.
JP2000231583A (en) Method and device for logical synthesis
JP2953384B2 (en) Clock tree forming method for semiconductor integrated circuit
JP3017038B2 (en) Design method of semiconductor integrated circuit
JP2002313916A (en) Device and method for designing layout of semiconductor integrated circuit
JP2850945B2 (en) Semiconductor integrated circuit and layout method thereof
JP3209179B2 (en) Semiconductor integrated circuit layout and wiring method
JP3033763B1 (en) Semiconductor integrated circuit delay reduction arrangement processing apparatus and delay reduction arrangement processing method
JP3169874B2 (en) Semiconductor integrated circuit timing adjustment method
JP2692608B2 (en) Integrated circuit placement apparatus and method
JP2001160078A (en) Method for arranging electronic component and computer-readable recording medium with arrangement program of electronic component recorded thereon
JP2003044535A (en) Design method for semiconductor integrated circuit
JP3185892B2 (en) Timing simulation method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990831