JP2936623B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device

Info

Publication number
JP2936623B2
JP2936623B2 JP4611190A JP4611190A JP2936623B2 JP 2936623 B2 JP2936623 B2 JP 2936623B2 JP 4611190 A JP4611190 A JP 4611190A JP 4611190 A JP4611190 A JP 4611190A JP 2936623 B2 JP2936623 B2 JP 2936623B2
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride film
sih
growth
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP4611190A
Other languages
Japanese (ja)
Other versions
JPH03248427A (en
Inventor
真 井内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP4611190A priority Critical patent/JP2936623B2/en
Publication of JPH03248427A publication Critical patent/JPH03248427A/en
Application granted granted Critical
Publication of JP2936623B2 publication Critical patent/JP2936623B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明は、モノシランあるいはジクロルシランおよび
アンモニアを原料ガスとして減圧化学気相成長法により
シリコン窒化膜を成長させる工程を有する半導体装置の
製造方法に関する。
Description: BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device having a step of growing a silicon nitride film by low pressure chemical vapor deposition using monosilane or dichlorosilane and ammonia as source gases.

[従来の技術] CVD法によるシリコン窒化膜は、ダイナミック・ラン
ダム・アクセス・メモリー(DRAM)の容量絶縁膜の構成
要素の一つとして用いられてきた。
[Related Art] A silicon nitride film formed by a CVD method has been used as one of components of a capacitive insulating film of a dynamic random access memory (DRAM).

従来のシリコン窒化膜の成長方法としては、モノシラ
ン(SiH4)あるいはジクロルシラン(SiH2Cl2)および
アンモニア(NH3)を原料ガスとして、減圧CVD法により
成長させる方法がある。この方法において、成長の過程
において、原料ガスであるSiH4あるいはSiH2Cl2およびN
H3は常に連続して一定流量で流される。
As a conventional method for growing a silicon nitride film, there is a method in which monosilane (SiH 4 ) or dichlorosilane (SiH 2 Cl 2 ) and ammonia (NH 3 ) are used as source gases for growth by a low pressure CVD method. In this method, in the course of growth, the source gases SiH 4 or SiH 2 Cl 2 and N
H 3 is always flowed at a constant flow rate.

[発明が解決しようとする課題] 上述した従来の方法により得られたシリコン窒化膜は
DRAMの容量膜として通常シリコン酸化膜/シリコン窒化
膜/シリコン酸化膜の3層構造、あるいは、シリコン酸
化膜/シシリコン窒化膜の2層構造で用いられる。
[Problem to be Solved by the Invention] The silicon nitride film obtained by the conventional method described above is
A DRAM capacitor film is usually used in a three-layer structure of a silicon oxide film / silicon nitride film / silicon oxide film or a two-layer structure of a silicon oxide film / silicon nitride film.

近年、半導体装置の大きさが縮小するに伴い、DRAMの
膜厚も必然的に薄くしなけねればならなくなった。しか
し、シリコン窒化膜は、その膜厚を薄くしていくと、リ
ーク電流の増加、耐酸化性の低下などの問題が生じてく
る。これらの問題を回避するためには、シリコン窒化膜
の膜質を向上させる必要がある。
In recent years, as the size of the semiconductor device has been reduced, the thickness of the DRAM must necessarily be reduced. However, as the thickness of the silicon nitride film is reduced, problems such as an increase in leak current and a decrease in oxidation resistance occur. In order to avoid these problems, it is necessary to improve the quality of the silicon nitride film.

一般にシリコン窒化膜においては、化学量論比(Si:N
=3:4)よりもシリコンが過多になると、膜質が劣化す
る性質がある。従来のシリコン窒化膜の成長方法におい
て膜質を向上させる方法としてNH3/SiH4あるいはNH3/Si
H2Cl2の流量比を大きくすることにより、成長されるシ
リコン窒化膜中のSiとNの化学量論比からのずれを少な
くする方法がある。しかしこの方法では成長圧力を一定
に保つためSiH4+NH3あるいはSiH2Cl2+NH3の総流量を
一定に保つ必要があり、シリコン窒化膜の膜質を向上さ
せるためには必然的にSiH4あるいはSiH2Cl2の流量を減
少させなければならない。SiH4あるいはSiH2Cl2の流量
を減少させることにより、シリコン窒化膜の成長速度は
低下し、スループットが低下するという欠点がある。
Generally, in a silicon nitride film, the stoichiometric ratio (Si: N
= 3: 4), the film quality is degraded when the amount of silicon is excessive. NH 3 / SiH 4 or NH 3 / Si as a method of improving the film quality in the conventional silicon nitride film growth method
There is a method of reducing the deviation from the stoichiometric ratio of Si and N in the silicon nitride film to be grown by increasing the flow rate ratio of H 2 Cl 2 . However, in this method, the total flow rate of SiH 4 + NH 3 or SiH 2 Cl 2 + NH 3 needs to be kept constant in order to keep the growth pressure constant. In order to improve the film quality of the silicon nitride film, SiH 4 or NH 3 The flow rate of SiH 2 Cl 2 must be reduced. By decreasing the flow rate of SiH 4 or SiH 2 Cl 2 , there is a disadvantage that the growth rate of the silicon nitride film is reduced and the throughput is reduced.

本発明は上記欠点のない半導体装置の製造方法を提供
するものである。
The present invention provides a method for manufacturing a semiconductor device without the above-mentioned disadvantages.

[課題を解決するための手段] 本発明の半導体装置の製造方法は、モノシランあるい
はジクロルシラン及びアンモニアを原料ガスとして減圧
化学気相成長法によりシリコン窒化膜を成長させる工程
を有する半導体装置の製造方法において、前記シリコン
窒化膜の成長の途中でモノシランあるいはジクロルシラ
ンの供給を停止し、アンモニアのみを供給する工程を含
み、前記シリコン窒化膜を成長させる工程とアンモニア
のみを供給する工程を繰り返して所定の膜厚のシリコン
窒化膜を形成することを特徴とする。
[Means for Solving the Problems] A method for manufacturing a semiconductor device according to the present invention is directed to a method for manufacturing a semiconductor device having a step of growing a silicon nitride film by a reduced pressure chemical vapor deposition method using monosilane or dichlorosilane and ammonia as source gases. A step of stopping the supply of monosilane or dichlorosilane during the growth of the silicon nitride film and supplying only ammonia, and repeating the step of growing the silicon nitride film and the step of supplying only ammonia to a predetermined thickness. Forming a silicon nitride film.

[実施例] 次に、本発明の実施例について図面を参照して説明す
る。
Example Next, an example of the present invention will be described with reference to the drawings.

第1図は本発明のシリコン窒化膜の成長方法の第1の
実施例におけるSiH2Cl2およびNH3を用いた場合のガスフ
ローを示すタイムチャートである。
FIG. 1 is a time chart showing a gas flow when SiH 2 Cl 2 and NH 3 are used in the first embodiment of the method for growing a silicon nitride film of the present invention.

成長温度750℃、SiH2Cl2流量25sccm、NH3流量1.3sl
m、成長圧力0.2Torrにおいて、シリコン窒化膜の成長速
度はおよそ5Å/minである。本発明におけるシリコン窒
化膜の成長方法でシリコン窒化膜の膜質を改善しようと
する場合、この成長条件でNH3のみを2分間供給するこ
とにより、約40Å程度のシリコン窒化膜の膜質を改善す
ることができる。よって、100Åのシリコン窒化膜を成
長させる場合には、成長途中で2回、NH3のみを供給す
る工程を行なうことが必要である。
Growth temperature 750 ° C, SiH 2 Cl 2 flow rate 25sccm, NH 3 flow rate 1.3sl
At m and a growth pressure of 0.2 Torr, the growth rate of the silicon nitride film is about 5 ° / min. When the quality of the silicon nitride film is to be improved by the method of growing a silicon nitride film according to the present invention, by supplying only NH 3 for 2 minutes under these growth conditions, the quality of the silicon nitride film can be improved by about 40 °. Can be. Therefore, when growing a 100 ° silicon nitride film, it is necessary to perform a step of supplying only NH 3 twice during the growth.

次に、第1図を参照して詳細に説明する。この場合、
横軸は時間、縦軸はガスの流量を示す。
Next, a detailed description will be given with reference to FIG. in this case,
The horizontal axis indicates time, and the vertical axis indicates gas flow rate.

本実施例においてSiH2Cl2の最大流量R1は25sccm、NH3
の最大流量R2は1.3slmである。時間T1において、SiH2Cl
2およびNH3のバルブを開け、成長を開始する。シリコン
窒化膜が35Å成長したT2の時点すなわちT1から7分経過
した時点でSiH2Cl2のバルブを閉じる。ここでNH3のみが
供給されることにより成長したシリコン窒化膜の膜質が
改善される。T2から2分経過したT3で再びSiH2Cl2のバ
ルブを開け、シリコン窒化膜の成長を開始する。T3から
7分後のT4に再びSiH2Cl2の供給を止め、2度めの膜質
改善を行ない、T4から2分経過したT5でSiH2Cl2の供給
を再開する。T5から6分経過したT6の時点で既にシリコ
ン窒化膜は100Å成長しているが、最上層のシリコン窒
化膜の膜質の改善を行なうためにT6の時点ではNH3の供
給は止めずにSiH2Cl2の供給のみを停止する。T6から2
分経過したT7でNH3の供給を停止し、シリコン窒化膜の
成長工程を終了する。
Maximum flow rate R 1 of the SiH 2 Cl 2 in this embodiment 25 sccm, NH 3
Maximum flow rate R 2 of is 1.3Slm. At time T 1, SiH 2 Cl
Open valves for 2 and NH 3 and start growing. Once the silicon nitride film that has elapsed from the time ie T 1 of the T 2 grown 35 Å 7 minutes closing the valve of the SiH 2 Cl 2. Here, by supplying only NH 3, the film quality of the grown silicon nitride film is improved. Again opening the valve of the SiH 2 Cl 2 at T 3 from T 2 has elapsed 2 minutes to start the growth of a silicon nitride film. T 3 from stopping again the supply of SiH 2 Cl 2 to T 4 after 7 minutes, subjected to quality improvements for the second time, resumes the supply of the SiH 2 Cl 2 at T 5 has elapsed from T 4 2 minutes. Although already a silicon nitride film at the time of T 6 that has elapsed since T 5 6 minutes are 100Å growth, the supply of NH 3 is stopped not at the time of T 6 in order to perform the improvement of the quality of the top layer of the silicon nitride film Only the supply of SiH 2 Cl 2 is stopped. T 6 to 2
In T 7 which is divided passed to stop the supply of NH 3, and ends the process of growing the silicon nitride film.

第2図は本発明の第2の実施例のSiH2Cl2,NH3のガス
・フローを示すタイムチャートである。
FIG. 2 is a time chart showing a gas flow of SiH 2 Cl 2 and NH 3 according to a second embodiment of the present invention.

本実施例においては、SiH2Cl2を短かい周期で供給・
停止をくり返すことを特徴としている。第1の実施例と
同一条件、即ち、成長温度750℃、SiH2Cl2流量25sccm、
NH3流量1.3slm、成長圧力0.2Torrにおいて、例えば一周
期中でのSiH2Cl2供給期間t1を100秒、SiH2Cl2停止期間t
2を20秒とすると、実効的な成長速度は となる。
In this embodiment, SiH 2 Cl 2 is supplied in a short cycle.
It is characterized by stopping repeatedly. The same conditions as in the first embodiment, namely, a growth temperature of 750 ° C., a SiH 2 Cl 2 flow rate of 25 sccm,
At an NH 3 flow rate of 1.3 slm and a growth pressure of 0.2 Torr, for example, the SiH 2 Cl 2 supply period t 1 in one cycle is 100 seconds, and the SiH 2 Cl 2 stop period t
If 2 is 20 seconds, the effective growth rate is Becomes

T8においてSiH2Cl2およびNH3の供給を開始する。T8
らt1=100秒経過したT9においてSiH2Cl2の供給を停止す
る。t2=20秒後即ちT10の時点で再びSiH2Cl2の供給を開
始する。以後t1秒のSiH2Cl2の供給、t2秒のSiH2Cl2の停
止を繰り返す。T8から24分経過した時点T11で100Åのシ
リコン窒化膜の成長が完了するので、SiH2Cl2の供給を
停止し、そのt2秒後のT12において、NH3の供給を停止
し、シリコン窒化膜の成長工程を完了する。
Starts supplying the SiH 2 Cl 2 and NH 3 in the T 8. To stop the supply of the SiH 2 Cl 2 at T 9 has elapsed t 1 = 100 seconds T 8. t 2 = restarts the supply of the SiH 2 Cl 2 at a later point in time i.e. T 10 20 seconds. Thereafter, supply of SiH 2 Cl 2 for t 1 second and stop of SiH 2 Cl 2 for t 2 seconds are repeated. Since 100Å growth of silicon nitride film at the time T 11 from T 8 has passed 24 minutes is complete, SiH 2 the supply of Cl 2 is stopped, the T 12 after the t 2 seconds to stop the supply of NH 3 Then, the step of growing the silicon nitride film is completed.

本実施例においては、SiH2Cl2の供給・停止を短かい
周期で行なうために、より均一なシリコン窒化膜を得る
ことができる。
In the present embodiment, a more uniform silicon nitride film can be obtained because supply and stop of SiH 2 Cl 2 are performed in a short cycle.

[発明の効果] 以上説明したように本発明は、SiH4あるいはSiH2Cl2
およびNH3を原料として減圧CVD法によりシリコン窒化膜
を成長させる方法において、成長途中にSiH4あるいはSi
H2Cl2の供給を停止しNH3のみを供給する工程を有するこ
とにより、シリコン窒化膜の膜質の向上が計られ、耐酸
化性に優れ、リーク電流の小さなシリコン窒化膜を得る
ことができ、半導体装置の歩留りの向上およびチップサ
イズの縮小が可能となる効果がある。
[Effects of the Invention] As described above, the present invention relates to SiH 4 or SiH 2 Cl 2
In the method of growing a silicon nitride film by low pressure CVD using NH 3 and NH 3 as raw materials, SiH 4 or Si
With the step of stopping the supply of H 2 Cl 2 and supplying only NH 3 , the quality of the silicon nitride film can be improved, and a silicon nitride film with excellent oxidation resistance and small leak current can be obtained. This has the effect of improving the yield of semiconductor devices and reducing the chip size.

【図面の簡単な説明】[Brief description of the drawings]

第1図は本発明の半導体装置の製造方法の第1の実施例
のガスフローを示すタイムチャート、第2図は本発明の
第2の実施例のガスフローを示すタイムチャートであ
る。 R1……SiH2Cl2の最大流量、 R2……NH3の最大流量、 T1……第1の成長開始時間、 T2……第1の成長終了時間、 T3……第2の成長開始時間、 T4……第2の成長終了時間、 T5……第3の成長開始時間、 T6……第3の成長終了時間、 T7……成長工程完了時間、 T8……成長開始時間、 T9……成長終了時間、 T10……窒化処理終了時間、 t1……SiH2Cl2供給期間、 t2……SiH2Cl2停止期間。
FIG. 1 is a time chart showing a gas flow of a first embodiment of the method of manufacturing a semiconductor device of the present invention, and FIG. 2 is a time chart showing a gas flow of a second embodiment of the present invention. R 1 ... maximum flow rate of SiH 2 Cl 2 , R 2 ... maximum flow rate of NH 3 , T 1 ... first growth start time, T 2 ... first growth end time, T 3 ... second The growth start time of T 4, the second growth end time, T 5, the third growth start time, T 6, the third growth end time, T 7, the growth step completion time, T 8, ... growth start time, T 9 ...... completion of the growth period, T 10 ...... nitriding end time, t 1 ...... SiH 2 Cl 2 supply period, t 2 ...... SiH 2 Cl 2 suspension period.

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】モノシランあるいはジクロルシラン及びア
ンモニアを原料ガスとして減圧化学気相成長法によりシ
リコン窒化膜を成長させる工程を有する半導体装置の製
造方法において、前記シリコン窒化膜の成長の途中でモ
ノシランあるいはジクロルシランの供給を停止し、アン
モニアのみを供給する工程を含み、前記シリコン窒化膜
を成長させる工程とアンモニアのみを供給する工程を繰
り返して所定の膜厚のシリコン窒化膜を形成することを
特徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device, a method of growing a silicon nitride film by a low pressure chemical vapor deposition method using monosilane or dichlorosilane and ammonia as source gases, a method for producing a monosilane or dichlorosilane during the growth of the silicon nitride film. A semiconductor device including a step of stopping supply and supplying only ammonia, wherein the step of growing the silicon nitride film and the step of supplying only ammonia are repeated to form a silicon nitride film having a predetermined thickness. Manufacturing method.
JP4611190A 1990-02-26 1990-02-26 Method for manufacturing semiconductor device Expired - Fee Related JP2936623B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP4611190A JP2936623B2 (en) 1990-02-26 1990-02-26 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4611190A JP2936623B2 (en) 1990-02-26 1990-02-26 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH03248427A JPH03248427A (en) 1991-11-06
JP2936623B2 true JP2936623B2 (en) 1999-08-23

Family

ID=12737886

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4611190A Expired - Fee Related JP2936623B2 (en) 1990-02-26 1990-02-26 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2936623B2 (en)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (en) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6017396B2 (en) 2012-12-18 2016-11-02 東京エレクトロン株式会社 Thin film forming method and thin film forming apparatus
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (en) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. Storage device for storing wafer cassettes for use with batch furnaces
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
JP2020133004A (en) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Base material processing apparatus and method for processing base material
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (en) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー Gas supply assembly, components thereof, and reactor system including the same
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (en) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 System dedicated for parts cleaning
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
CN113555279A (en) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 Method of forming vanadium nitride-containing layers and structures including the same
KR20210134226A (en) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
KR20210145080A (en) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Apparatus for depositing thin films using hydrogen peroxide
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Also Published As

Publication number Publication date
JPH03248427A (en) 1991-11-06

Similar Documents

Publication Publication Date Title
JP2936623B2 (en) Method for manufacturing semiconductor device
JP2776726B2 (en) Method for manufacturing semiconductor device
US6391803B1 (en) Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6391749B1 (en) Selective epitaxial growth method in semiconductor device
US6528430B2 (en) Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
JP5741382B2 (en) Thin film forming method and film forming apparatus
JP4595702B2 (en) Film forming method, film forming apparatus, and storage medium
JP5813281B2 (en) Processing process for batch ALD reactor
US7087535B2 (en) Deposition methods
US5512519A (en) Method of forming a silicon insulating layer in a semiconductor device
JP2021027067A (en) Semiconductor device manufacturing method, substrate processing device, and program
JP2687758B2 (en) Method for manufacturing semiconductor device
JP5854112B2 (en) Thin film forming method and film forming apparatus
US9388495B2 (en) Method of forming mask structure
US6211077B1 (en) Method for forming polycrystal silicon film for semiconductor elements
JP4490760B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2001068468A (en) Film formation
KR100333376B1 (en) Method for manufacturing gate in semiconductor device
US20110111582A1 (en) Method for depositing ultra fine grain polysilicon thin film
JPH09260373A (en) Manufacture of semiconductor device
JPH1079386A (en) Method of forming silicon dioxide film
JPH0653209A (en) Manufacture of semiconductor device
JPH1197439A (en) Semiconductor device and manufacture thereof
JPH06181205A (en) Method of manufacturing semiconductor device
CN116536645A (en) Semiconductor substrate and method for manufacturing the same

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080611

Year of fee payment: 9

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090611

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees