JP2537280B2 - Device for designing circuit block layout in integrated circuit - Google Patents

Device for designing circuit block layout in integrated circuit

Info

Publication number
JP2537280B2
JP2537280B2 JP1261731A JP26173189A JP2537280B2 JP 2537280 B2 JP2537280 B2 JP 2537280B2 JP 1261731 A JP1261731 A JP 1261731A JP 26173189 A JP26173189 A JP 26173189A JP 2537280 B2 JP2537280 B2 JP 2537280B2
Authority
JP
Japan
Prior art keywords
circuit
circuit blocks
circuit block
blocks
arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP1261731A
Other languages
Japanese (ja)
Other versions
JPH03124046A (en
Inventor
博実 四方
直健 関
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JFE Steel Corp
Original Assignee
Kawasaki Steel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kawasaki Steel Corp filed Critical Kawasaki Steel Corp
Priority to JP1261731A priority Critical patent/JP2537280B2/en
Priority to US07/543,549 priority patent/US5309371A/en
Priority to CA002019903A priority patent/CA2019903A1/en
Priority to EP19900307084 priority patent/EP0405975A3/en
Priority to KR1019900009625A priority patent/KR960006106B1/en
Publication of JPH03124046A publication Critical patent/JPH03124046A/en
Application granted granted Critical
Publication of JP2537280B2 publication Critical patent/JP2537280B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 この発明は、集積回路における回路ブロック配置の設
計装置に係り、特に、PLDにおける回路ブロックの配置
設計をCAD装置で行うのに好適であり、そして、短時間
で総配線長が最小の回路ブロック配置の設計が可能な装
置に関する。
The present invention relates to a circuit block layout design device in an integrated circuit, and is particularly suitable for performing a circuit block layout design in a PLD with a CAD device, Further, the present invention relates to a device capable of designing a circuit block arrangement having a minimum total wiring length in a short time.

〔従来の技術〕 ユーザが内部の配線を自由にプログラムして所望の論
理動作を実現可能なICとして、例えば、プログラマブル
ロジックデバイス(PLD)が知られている。
[Prior Art] A programmable logic device (PLD) is known as an IC in which a user can freely program internal wiring to realize a desired logical operation.

このPLDは、第2図に示すように、種々の論理素子の
複数個が備えられ、入出力ピン1を有する回路ブロック
であるプログラマブルロジックエレメント(PLE)2と
スイッチング素子(例えばMOSFET)を備えるスイッチン
グステーション(SS)とが規則的な格子状に予め配置さ
れた構造と、なっており、回路の書き込み,書き換えが
可能な高集積回路である。
As shown in FIG. 2, this PLD is provided with a plurality of various logic elements, and includes a programmable logic element (PLE) 2 which is a circuit block having an input / output pin 1 and a switching element (eg MOSFET). The station (SS) is a highly-integrated circuit that has a structure in which regular stations are arranged in advance in a regular grid pattern, and the circuit can be written and rewritten.

ユーザがこのようなPLDを用いて、所望の論理を実現
するためには、所定のSS内の所定のスイッチング素子を
オンすることによりSS内の配線方向を適宜決定し所望の
論理を実現する上で必要なPLE間の入出力ピン間に配線
パスを形成することが行われる。
In order to realize a desired logic by using such a PLD, the user turns on a predetermined switching element in a predetermined SS to appropriately determine the wiring direction in the SS and realize the desired logic. A wiring path is formed between the I / O pins required between PLE.

そこで、このPLEをPLD上でどのように配置して、この
PLE間にSSを介する配線パスを形成するか、という回路
ブロックの配置(レイアウト)設計が必要となる。
So how to place this PLE on the PLD
It is necessary to design the layout (layout) of the circuit blocks by forming a wiring path via SS between PLEs.

ところで、信号伝達の遅延時間を小さくするために
は、PLD内部の総配線長を最小にする必要がある。この
ためには、PLEの配置を総配線長が最小となるように予
め設計する必要がある。
By the way, in order to reduce the delay time of signal transmission, it is necessary to minimize the total wiring length inside the PLD. For this purpose, it is necessary to design the layout of PLE in advance so that the total wiring length is minimized.

そこで、PLEの配置を自動的に設計可能な従来例が存
在する。
Therefore, there is a conventional example in which the layout of PLE can be automatically designed.

このような従来例として、例えば、日経エレクトロニ
クス1986、7,28(NO 400)の第289頁から記載のシュミ
レーテド・アニーリング法が存在する。
As such a conventional example, there is, for example, the simulated annealing method described on page 289 of Nikkei Electronics 1986, 7, 28 (NO 400).

このシュミレーテド・アニーリング法は、回路ブロッ
クのペア交換をするか否かを次の判定式により判定しな
がら、回路ブロックのペア交換を継続して総配線長がよ
り短くなるように回路ブロックのレイアウト設計を行う
ものである。
In this simulated annealing method, the circuit block layout is designed so that the circuit block pair exchange is continued and the total wiring length is shortened while determining whether the circuit block pair exchange is performed by the following judgment formula. It is designed.

exp〔−(E2−E1)/T〕≧R E1は交換前、E2は交換したときの評価関数である。T
はパラメータで、0〜∞の値をとる。Rは一様乱数で0
〜1の値をとる。式(1)が成立する場合は回路ブロッ
クのペア交換をする。成立しない場合はそのような交換
をしない。
exp [− (E 2 −E 1 ) / T] ≧ R E 1 is the evaluation function before the exchange and E 2 is the evaluation function when the exchange is performed. T
Is a parameter and takes a value of 0 to ∞. R is a uniform random number and is 0
Takes a value of ~ 1. When Expression (1) is established, the circuit blocks are exchanged for a pair. If it does not hold, no such exchange is made.

〔発明が解決しようとする課題〕[Problems to be Solved by the Invention]

しかしながら、前記シュミレーテド・アニーリング法
では、回路ブロックのペア交換をするか否かを前記式に
基づいて個々の回路ブロックについて判定していたた
め、最終的な回路ブロックのレイアウトが決定される迄
の設計時間が長時間となる、という課題が生じていた。
例えば、回路ブロックが36個のPLDの場合のレイアウト
決定に際しては、4.6時間もの時間を費やしていた。
However, in the simulated annealing method, it is determined for each circuit block based on the above formula whether or not the pair of circuit blocks should be exchanged. Therefore, the design until the final layout of the circuit block is determined. There was a problem that the time was long.
For example, it took 4.6 hours to determine the layout when the PLD has 36 circuit blocks.

また、PLE間で結合度の強いものは、PLE間をSSを介さ
ない専用線で直結して、大きさの異なる各種サイズのマ
クロ回路ブロックを構成するのが配線長の縮小,遅延時
間防止の上からいって好ましい。しかしながら、前記シ
ュミレーテド・アニーリング法では、同一或いはほぼ同
じサイズ、例えば縦横どちらか一方だけ長さが異なる短
形の回路ブロックのペア交換でブロック配置の最適化を
行っているので、異なるサイズの、例えば縦横の長さが
異なる短形のブロック配置の最適化を自動的に行うの
は、困難である。又、コンピュータ支援設計(CAD)装
置の対話グラフィクス画面で配線長縮小となるように、
種々のサイズのマクロ回路ブロックの配置を手作業で試
行錯誤的に行うと設計に要する時間が長くなり、しかも
この設計を試行錯誤的手作業で行うと、配線長最小の要
件が崩れるおそれもある。
In addition, if the coupling degree between PLEs is strong, it is possible to directly connect the PLEs with a dedicated line that does not pass through SS, and to configure macro circuit blocks of various sizes that reduce the wiring length and prevent delay time. It is preferable from the top. However, in the simulated annealing method, since the block arrangement is optimized by exchanging pairs of short circuit blocks having the same or almost the same size, for example, the length or width is different from each other, the size of the different sizes is different. For example, it is difficult to automatically perform the optimization of a short block layout having different vertical and horizontal lengths. Also, to reduce the wiring length on the interactive graphics screen of computer-aided design (CAD) equipment,
Manually arranging macro circuit blocks of various sizes by trial and error increases the time required for design, and if this design is performed by trial and error by manual operation, the minimum wiring length requirement may be broken. .

そこで、この出願に係る発明は、このような未解決の
課題を解決するために、集積回路の最終的な総配線長を
より短くする回路ブロックのレイアウトを、短時間で設
計することのできる,集積回路における回路ブロック配
置の設計装置を提供することを第1の目的とし、また回
路ブロックの複数からなる大きさの異なる各種サイズの
マイクロ回路ブロックを形成する場合でも総配線長をよ
り短く、且つレイアウト設計を短時間で行える設計装置
を提供すること第2の目的とする。
Therefore, in order to solve such an unsolved problem, the invention according to this application can design the layout of a circuit block for further shortening the final total wiring length of an integrated circuit in a short time. A first object of the present invention is to provide a device for designing a circuit block arrangement in an integrated circuit. Further, even when forming a plurality of circuit blocks of various sizes having different sizes, the total wiring length is shortened, and A second object is to provide a designing device that can perform layout design in a short time.

〔課題を解決するための手段〕[Means for solving the problem]

このような目的を達成するために、この出願に係る発
明は、第1図(1)の基本構成図に示すように、集積回
路における回路ブロックの配置を設計できる装置におい
て、回路ブロック間の配線数に応じた引力を有する質点
系のばねモデルを用いて前記回路ブロックを初期配置す
る初期配置手段と、回路ブロックの重なり量に応じた反
力を有するばねモデルを用いて、前記初期配置された回
路ブロックの重なりが無くなるように、該回路ブロック
を再配置する再配置手段と、再配置後の回路ブロック
を、規則的に配置された基準回路ブロックのうち最寄り
の基準回路ブロックに一対一で当てはめることにより、
前記再配置後の回路ブロックの配置が規則的になるよう
に調整配置する調整配置手段と、を有してなることを特
徴とする集積回路における回路ブロック配置の設計装置
である。
In order to achieve such an object, the invention according to the present application, as shown in the basic configuration diagram of FIG. 1 (1), is an apparatus capable of designing the arrangement of circuit blocks in an integrated circuit, and wiring between the circuit blocks. The initial placement means for initially placing the circuit block by using a mass-type spring model having an attractive force according to the number, and the spring model having a reaction force according to the overlapping amount of the circuit blocks are initially placed. The rearrangement means for rearranging the circuit blocks and the rearranged circuit blocks are fitted one-to-one to the nearest reference circuit block among the regularly arranged reference circuit blocks so that the circuit blocks do not overlap. By
And an adjusting and arranging unit for adjusting and arranging the rearranged circuit blocks so that the circuit blocks are arranged regularly.

また、前記第2の目的を達成するために、請求項
(2)記載の発明は第1図(2)の基本構成図に示すよ
うに、集積回路に存在する回路ブロックのうち全部又は
一部を、複数の回路ブロックが集合化したマクロ回路ブ
ロックとするマクロ回路ブロック形成手段と、該マクロ
回路ブロック内の回路ブロックを一体化して前記初期配
置手段による処理,再配置手段による処理及び調整配置
手段による処理を実行する一体化配置処理実行手段と、
を有してなることを特徴とする請求項(1)記載の集積
回路における回路ブロック配置の設計装置である。
In order to achieve the second object, the invention according to claim (2) is, as shown in the basic configuration diagram of FIG. 1 (2), all or part of the circuit blocks existing in the integrated circuit. , Which is a macro circuit block in which a plurality of circuit blocks are aggregated, and circuit blocks in the macro circuit block are integrated to perform processing by the initial placement means, processing by the rearrangement means, and adjustment placement means. Integrated placement processing executing means for executing processing by
The design apparatus for circuit block arrangement in an integrated circuit according to claim 1, further comprising:

〔作用〕[Action]

本発明は、例えば第3図に示すような質点系のばねモ
デルを使用して、回路ブロックのレイアウトを力学的に
設計しようとするものである。
The present invention intends to dynamically design the layout of a circuit block by using, for example, a spring model of a mass system as shown in FIG.

先ず、回路ブロック間の配線数に応じた引力を発生さ
せるとした,前記質点系のばねモデルの引力バランスを
利用して回路ブロックの初期配置を決定する。この初期
配置により回路ブロックの相対的位置が定まる。
First, the initial arrangement of the circuit blocks is determined by utilizing the attractive force balance of the spring model of the mass system in which the attractive force is generated according to the number of wirings between the circuit blocks. This initial arrangement determines the relative position of the circuit block.

しかし、この初期配置の状態では、回路ブロック間に
引力による重なり(オーバーラップ)が生ずるため、こ
の重なり面積に応じた反力を発生させるとした,前記質
点系のばねモデルの反力バランスを利用して回路ブロッ
クの重なりを除去する。
However, in this initial arrangement state, since an overlap (overlap) occurs between the circuit blocks due to attractive force, the reaction force balance of the spring model of the mass point system is used, in which the reaction force corresponding to the overlapping area is generated. Then, the overlap of the circuit blocks is removed.

次いで、再配置後の回路ブロックの一つ一つと、規則
的に配置された基準回路ブロックの一つ一つとを比較
し、再配置後の回路ブロックを、規則的に配置された基
準回路ブロックのうち最寄りのものに一対一で当てはめ
ることにより、再配置後の回路ブロックの配置を調整す
る。
Then, each of the rearranged circuit blocks is compared with each of the regularly arranged reference circuit blocks, and the rearranged circuit block is compared with the regularly arranged reference circuit blocks. The placement of the circuit blocks after the rearrangement is adjusted by fitting one-to-one to the nearest one.

このようなばねモデルを用いると多数の配線が形成さ
れている回路ブロック同士ほどより近接して配置される
ため全体的な配線長を最小にすることが可能となる。
When such a spring model is used, the circuit blocks in which a large number of wirings are formed are arranged closer to each other, so that the overall wiring length can be minimized.

そして、前記シュミレーテド・アニーリング法のよう
に回路ブロック間のペア交換を個々に評価して実行する
のではなく、全回路ブロックの配置を力学的に決定する
ため、回路ブロックのレイアウト設計に要する時間を大
幅に短縮することができる。しかも、再配置後に行われ
る調整配置では幾何学的な調整が行われるから、最終的
に得られる回路ブロックの配置を、所望の規則的な配置
にすることができる。
Then, instead of individually evaluating and executing pair exchange between circuit blocks as in the simulated annealing method, the time required for layout design of circuit blocks is determined because the arrangement of all circuit blocks is dynamically determined. Can be significantly shortened. Moreover, since the geometrical adjustment is performed in the adjustment arrangement performed after the rearrangement, the arrangement of the finally obtained circuit blocks can be a desired regular arrangement.

請求項(2)記載の発明はこれに加えて、回路ブロッ
クの間の結合度が高い、即ち、例えば、最終的には回路
ブロック間に多くの配線が形成される故近接して配置さ
れるべきことが配置設計前から分かっている複数の回路
ブロックを配置設計前に集合化してマクロ回路ブロック
を形成し、このマクロ回路ブロックを一体化して請求項
(1)記載の初期配置手段による処理,再配置手段によ
る処理及び調整配置手段による処理を実行している。そ
の結果、配置処理の過程において、マクロ回路ブロック
を構成する複数の回路ブロックが互いに離間することが
ないため、マクロ回路ブロックの離散を防止しつつ、総
配線長の短縮の効果をより高めることが可能となる。
In addition to this, the invention according to claim (2) has a high degree of coupling between the circuit blocks, that is, because many wirings are finally formed between the circuit blocks, they are arranged close to each other. A process by the initial placement means according to claim (1), wherein a plurality of circuit blocks whose powers are known before the layout design are collected before the layout design to form a macro circuit block, and the macro circuit blocks are integrated. The processing by the rearrangement means and the processing by the adjustment arrangement means are executed. As a result, the plurality of circuit blocks that form the macro circuit block are not separated from each other in the process of the placement process, so that the macro circuit blocks can be prevented from being separated from each other and the effect of reducing the total wiring length can be further enhanced. It will be possible.

〔実施例〕〔Example〕

次に本発明をPLDに適用した実施例を添付図面に従っ
て詳説する。
Next, an embodiment in which the present invention is applied to a PLD will be described in detail with reference to the accompanying drawings.

第4図は、PLDにおける回路ブロック配置の設計装置
を実現するための概略システム構成図を示す。
FIG. 4 shows a schematic system configuration diagram for realizing a circuit block layout design device in a PLD.

第4図において、20はデータバス,制御バスからなる
システムバスであり、このシステムバスには、PLD上の
全ての回路ブロックの位置データを保持するデータベー
ス21と、CPUの動作を制御するプログラムが書き込まれ
たROM22と、ネットリストデータ(回路ブロック間の配
線データ)から最短の配線を形成する上で回路ブロック
の最適配置を決定するための演算を行う中央処理装置
(CPU)23と、キーボード,マウス等からなる操作装置2
4と、CPUの演算結果を記憶可能なRAM25と、配置設計さ
れた回路ブロックを配置処理の途中においても画面表示
可能なCRT等の表示装置26と、が接続されている。
In FIG. 4, reference numeral 20 denotes a system bus composed of a data bus and a control bus. On this system bus, a database 21 holding position data of all circuit blocks on the PLD and a program for controlling the operation of the CPU are provided. A central processing unit (CPU) 23 that performs an operation for determining the optimum layout of the circuit blocks in forming the shortest wiring from the written ROM 22 and netlist data (wiring data between circuit blocks), a keyboard, Operating device 2 consisting of a mouse, etc.
4, a RAM 25 capable of storing the calculation result of the CPU, and a display device 26 such as a CRT capable of displaying a screen of a layout-designed circuit block on the screen even during the layout processing are connected.

本実施例では、回路ブロックの配置を設計するため
に、回路ブロック間をばねで連結し引力、反力が働くと
仮定したばねモデルを用いているが、このばねモデルと
しては、例えば、第3図に記載のものを用いることがで
きる。
In the present embodiment, in order to design the layout of the circuit blocks, a spring model is used in which the circuit blocks are connected by springs and an attractive force and a reaction force are assumed to work. As the spring model, for example, the third model is used. What is shown in the figure can be used.

第3図中の実線Aは、回路ブロックa1とa2の中心間の
距離に応じて引力が働く引力バランスであり、ブロック
a1とa2の中心間距離がaijの時に、引力及び反力共に0
となる。この引力バランスモデルは、次の釣り合い方程
式で表現される。
A solid line A in FIG. 3 is an attractive force balance in which attractive force works according to the distance between the centers of the circuit blocks a1 and a2.
When the center distance between a1 and a2 is a ij , both attractive force and reaction force are 0
Becomes This attractive force balance model is expressed by the following balance equation.

f=−cij(L−aij) ……(1) (1)式及び後述の(2)以降の式において、fはブ
ロック間に働く引力または反力、cijは両ブロック間の
配線本数、aijは回路ブロックa1,a2が接した時の中心間
距離、即ち、回路ブロックの直径に相当し(後述のよう
に回路ブロックは円で表現される)、Lは両ブロックの
中心間距離を示す。尚、前記aijの値はRAMに設定され
る。
f = −c ij (L−a ij ) ... (1) In the formula (1) and the formulas (2) and later described below, f is an attractive force or reaction force acting between blocks, and c ij is wiring between both blocks. The number a ij corresponds to the center-to-center distance when the circuit blocks a 1 and a 2 contact each other, that is, the diameter of the circuit block (the circuit block is represented by a circle as described later), and L is the distance between both blocks. Indicates the center-to-center distance. The value of a ij is set in the RAM.

この実線Aで示される引力ばねモデルによれば、両ブ
ロック間の配線本数が多い程大きな引力が形成されるこ
とになる。尚、配線本数は、ネットリストのデータから
得られる。
According to the attractive spring model shown by the solid line A, the larger the number of wirings between the two blocks is, the larger the attractive force is formed. The number of wires is obtained from the netlist data.

次に第3図中の実線Bは、ブロックa1とa2の中心間距
離に応じて両ブロック間に反力のみが働く反力バランス
モデルである。
Next, the solid line B in FIG. 3 is a reaction force balance model in which only the reaction force acts between the blocks a1 and a2 according to the distance between the centers of the blocks.

この反力バランスモデルは、次の釣り合い方程式で表
現される。
This reaction force balance model is expressed by the following balance equation.

f=Kij・aij 2/L2 ……(2) (2)式において、Kijは経験上得られた定数である
ことを示す。このKijもRAMに予め設定される。
f = K ij · a ij 2 / L 2 (2) In the equation (2), K ij is a constant obtained empirically. This K ij is also preset in the RAM.

この反力バランスモデルによれば、両ブロック間には
反力が傾いており、特に、中心間距離が前記aijより小
さくなって両ブロック間にオーバーラップが生ずると、
そのオーバーラップ量に応じて大きな反力が形成される
ことを示している。
According to this reaction force balance model, the reaction force is inclined between both blocks, and in particular, when the center-to-center distance is smaller than the a ij and an overlap occurs between both blocks,
It shows that a large reaction force is formed according to the overlap amount.

さらに、図中実線Cは、次の(3)式で示される前記
実線AとBとをプラスした引力/反力バランスモデルで
ある。
Further, a solid line C in the figure is an attractive force / reaction force balance model in which the solid lines A and B shown by the following equation (3) are added.

f=−cij(L−aij)+Kij・aij 2/L2 ……(3) 次に請求項(1)記載の発明の実施例の動作を第5図
に示すフローチャートに従って説明する。
f = −c ij (L−a ij ) + K ij · a ij 2 / L 2 (3) Next, the operation of the embodiment of the invention described in claim (1) will be described with reference to the flowchart shown in FIG. .

ステップにおいて、前記CPUはRAMに一時記憶されて
いるネットリストデータを読み込む。次いで、回路ブロ
ックの大きさを無視し、大きさの無い回路ブロック間を
前記第3図の実線Aで表された引力バランスモデルを使
用して、例えば第6図のような回路ブロックの初期配置
を次のように行う。
In step, the CPU reads the netlist data temporarily stored in RAM. Next, ignoring the size of the circuit blocks and using the attractive force balance model represented by the solid line A in FIG. 3 between the circuit blocks having no size, for example, the initial arrangement of the circuit blocks as shown in FIG. Is performed as follows.

先ず、ネットリストデータから各回路ブロックの配線
数を読み込む(ステップ)。ステップでは、この読
み込まれた配線数(=前記式において、cijに相当す
る)を(1)式に代入し、この(1)式から引力(f)
を演算する。
First, the number of wires in each circuit block is read from the netlist data (step). In step, the read number of wires (= corresponding to c ij in the above formula) is substituted into the formula (1), and the attractive force (f) is calculated from the formula (1).
Is calculated.

そして、ステップではステップで得られた引力を
用いてバランスさせ回路ブロックの初期配置を完了す
る。
Then, in step, the initial arrangement of the circuit blocks is completed by using the attractive force obtained in step to balance.

第6図の初期配置は表示装置に画面表示される。そし
て、この初期配置の境界条件は自由境界とする。尚、図
中の数字は、回路ブロックの番号を示す。
The initial arrangement shown in FIG. 6 is displayed on the screen of the display device. Then, the boundary condition of this initial arrangement is a free boundary. The numbers in the figure indicate the numbers of the circuit blocks.

この初期配置によると、回路ブロック間の配線本数に
応じた引力が回路ブロック間に働くため、配線本数が多
い回路ブロック程近接配置される。この結果、最終の配
線距離がより短くなるように各回路ブロックを相対的に
配置することができる。
According to this initial arrangement, an attractive force according to the number of wirings between the circuit blocks acts between the circuit blocks, so that the circuit blocks having a larger number of wirings are arranged closer to each other. As a result, the circuit blocks can be relatively arranged so that the final wiring distance becomes shorter.

尚、この初期配置を回路ブロックの大きさ無視するこ
となく、回路ブロックに大きさを与えて初期配置を行う
ことも可能である。しかし、引力バランスを用いて回路
ブロックの初期配置を行っているため、回路ブロック間
に多くのオーバーラップが発生する結果、回路ブロック
の大きさが無いものとして初期配置を実行することが表
示画面を見易くする上で好適である。
It is also possible to give the circuit block a size and perform the initial layout without ignoring the size of the circuit block. However, since the initial placement of the circuit blocks is performed by using the attractive force balance, a large amount of overlap occurs between the circuit blocks, and as a result, the initial placement can be performed assuming that the circuit blocks are not large. It is suitable for making it easy to see.

次は、初期配置後回路ブロックの大きさを考慮した層
に生ずる回路ブロック間のオーバーラップを除去するた
めに、初期配置された回路ブロックの再配置処理である
自由境界によるバランスをとる。この自由境界バランス
は、オーバーラップ量(重なり量)に応じて反力が働く
前記第3図の実線Cで示された引力(反力を共に与えた
釣り合い方程式を用いる。この結果、第7図に示すよう
な回路ブロックの再配置が得られこの配置は画面表示さ
れる。尚、第7図において、回路ブロックの中心間の実
線は、回路ブロック間に形成された配線を示すものであ
る。
Next, in order to remove the overlap between the circuit blocks that occurs in the layer in consideration of the size of the circuit blocks after the initial placement, balance is performed by the free boundary which is the rearrangement process of the initially placed circuit blocks. For this free boundary balance, the attractive force (balance equation given together with the reaction force) shown by the solid line C in FIG. 3 is used in which the reaction force acts according to the overlap amount (overlap amount). The rearrangement of the circuit blocks is obtained as shown in Fig. 7. This arrangement is displayed on the screen.In Fig. 7, the solid line between the centers of the circuit blocks indicates the wiring formed between the circuit blocks.

この自由境界バランスに際して、回路ブロックの大き
さを円で与えている(実際の回路ブロックの形状は第2
図に示すように短形である)。このように回路ブロック
の大きさを円で与える理由は、短形のままよりも表示画
面上の回路ブロックの移動が容易であると共に、短形だ
とその角部において回路ブロック同士のオーバーラップ
が発生し易いのに対して、円だと角部がなくこのような
オーバーラップの発生を防ぐことができるためである。
もっとも、回路ブロックの実際の形状に合わせて短形の
まま自由境界バランスの処理及びこれ以降の処理を行う
ことを妨げるものではない。
In this free boundary balance, the size of the circuit block is given by a circle (the actual shape of the circuit block is the second
As shown in the figure is short). The reason why the size of the circuit block is given by a circle in this way is that the circuit block on the display screen is easier to move than if it is in the short form, and if it is in the short form, the overlap between the circuit blocks will occur at the corners. This is because, while a circle is likely to occur, a circle does not have a corner portion and thus such an overlap can be prevented from occurring.
However, it does not prevent the free boundary balance processing and the subsequent processing from being performed in the short shape according to the actual shape of the circuit block.

この自由境界バランスの手順は次のように実行され
る。
This free boundary balancing procedure is performed as follows.

ステップにおいて、初期配置後の各回路ブロック同
士の中心間距離を次の(4)式により演算する。
In the step, the center-to-center distance between the circuit blocks after the initial placement is calculated by the following equation (4).

(|X1−X2|2+|Y1−Y2|21/2 ……(4) (4)式において、(X1,Y1),(X2,Y2)は各回路ブ
ロックの中心座標である。
(| X 1 −X 2 | 2 + | Y 1 −Y 2 | 2 ) 1/2 (4) In equation (4), (X 1 , Y 1 ) and (X 2 , Y 2 ) are It is the center coordinates of the circuit block.

そしてこの中心間距離と、前記aijで示される回路ブ
ロックの直径と、前記cijで示される回路ブロック間の
配線本数と、前記kijで示される経験上得られる特性値
と、を読み出し(ステップ)、これらの値を前記
(3)式に代入して引力又は反力fを演算し、これを用
いて自由境界バランスを取る(ステップ)。
Then, the center-to-center distance, the diameter of the circuit block indicated by the a ij , the number of wires between the circuit blocks indicated by the c ij , and the empirically obtained characteristic value indicated by the k ij are read ( (Step), these values are substituted into the formula (3) to calculate the attractive force or reaction force f, and the free boundary is balanced using this (step).

このような自由境界バランスによれば、配線数が多い
回路ブロック程近接して配置されるため総配線長短縮の
要件を崩すことがなく、かつ回路ブロックのオーバーラ
ップ量に応じた反力を与えるため、回路ブロック間のオ
ーバーラップを少なくすることができる。
According to such a free boundary balance, the circuit blocks having a larger number of wirings are arranged closer to each other, so that the requirement for shortening the total wiring length is not broken and a reaction force corresponding to the overlap amount of the circuit blocks is given. Therefore, the overlap between the circuit blocks can be reduced.

ところで、ステップの自由境界バランスによる回路
ブロックの再配置形状(第7図)では、100で示される
ように回路ブロックの存在しないデッドスペースが大き
く存在し、そして、同時に引力ばねにより回路ブロック
間にオーバーラップが残存する。そこで、このデッドス
ペース及び残存したオーバラップを解消するために、回
路ブロック配置のコンパクト化(コンパクション)を実
行する。
By the way, in the rearrangement shape of the circuit blocks by the free boundary balance of the steps (Fig. 7), there is a large dead space where the circuit blocks do not exist, as shown by 100, and at the same time, the attraction springs cause an excess between the circuit blocks. The wrap remains. Therefore, in order to eliminate this dead space and the remaining overlap, circuit block layout is made compact (compaction).

先ず、ステップでは、CPUは、画面に、第8図の80
で示される変形率αを与えることにより圧縮又は拡大可
能な短形の外接枠を自由境界バランス後の再配置回路ブ
ロック周囲に画面表示する。この外接枠の圧縮又は拡大
の移動に応じて回路ブロックも画面上を移動する。
First, in the step, the CPU displays 80 in FIG. 8 on the screen.
A short circumscribing frame that can be compressed or expanded by giving the deformation rate α shown in is displayed around the rearrangement circuit block after the free boundary balance. The circuit block also moves on the screen according to the movement of compression or expansion of the circumscribing frame.

そして、ステップではこの外接枠の変形率αを圧縮
側向に変更する。尚、この変形率αはX方向,Y方向で異
なる値をも選択できるものとする。
Then, in step, the deformation rate α of the circumscribing frame is changed to the compression side. The deformation rate α can be set to different values in the X and Y directions.

ステップでは、デッドスペースの面積を演算し、ス
テップにおいて、デットスペース面積Mが所定値
(M1)と比較される。尚、このデッドスペース面積は、
画面上における回路ブロックを現す円外の領域面積をCP
Uが演算することにより算出可能である。
In the step, the area of the dead space is calculated, and in the step, the dead space area M is compared with a predetermined value (M 1 ). The dead space area is
CP is the area outside the circle that represents the circuit block on the screen.
It can be calculated by calculating U.

ステップでデッドスペース面積がM1以下であると判
定された場合は、デッドスペースはコンパクション処理
により解消されたものとして次の手順へ移行する。この
コンパクト化の際、回路ブロック間は前記第3図に示さ
れた実線Cの引力/反力バランスの釣り合い方程式を用
いてバランスさせる。この際、総配線長最小の要件は維
持される。この結果、例えば、第8図に示されるような
回路ブロックの配置が得られ、これが画面表示される。
If it is determined in step that the dead space area is less than or equal to M 1 , the dead space is considered to have been eliminated by the compaction process, and the process proceeds to the next step. In this compactification, the circuit blocks are balanced by using the balance equation of the attractive force / reaction force balance of the solid line C shown in FIG. At this time, the minimum total wiring length requirement is maintained. As a result, for example, an arrangement of circuit blocks as shown in FIG. 8 is obtained, and this is displayed on the screen.

一方、ステップにおいて、デッドスペース面積がM1
を越える場合は、前記αをさらに圧縮側に変更してデッ
ドスペース面積がM1以下になるまでコンパクト化を実行
する。
On the other hand, in the step, the dead space area is M 1
If it exceeds, the α is further changed to the compression side and the compacting is performed until the dead space area becomes M 1 or less.

ところで、このコンパクト後の配置ではデッドスペー
スの解消が可能になる反面、引力ばねのためのオーバー
ラップが残るため、引力ばねのため発生したオーバーラ
ップを、直後に引力ばねをカットして前記第3図の実線
Bで示される反力バランスにより解消する。そこで、ス
テップにおいて、前記外接枠80のαを拡大側に変更
し、ステップにおいて全ての回路ブロック間のオーバ
ーラップ量を演算する。このオーバーラップ量ΔHは、
回路ブロックを現す円の半径をlとした際、ΔH=2l−
bij(bijは回路ブロックの中心間距離)で求めることが
できる。次いで、ステップでは、このオーバーラップ
量ΔHが所定値H1(例えば、零)以下であるか否かが判
定され、ΔHが所定値H1以下になるまで外接枠を拡大し
つつ反力ばねを用いたバランスを継続する。この所定値
H1は、必要に応じて適宜な値が選択され、例えば、零が
通常選ばれる。
By the way, in this arrangement after compacting, the dead space can be eliminated, but the overlap due to the attraction spring remains, so that the overlap generated due to the attraction spring is cut immediately after the attraction spring to cut the third space. It is eliminated by the reaction force balance shown by the solid line B in the figure. Therefore, in the step, α of the circumscribing frame 80 is changed to the enlargement side, and in the step, the overlap amount between all the circuit blocks is calculated. This overlap amount ΔH is
When the radius of the circle that represents the circuit block is 1, ΔH = 2l-
It can be calculated by b ij (b ij is the distance between the centers of the circuit blocks). Next, at step, it is judged whether or not this overlap amount ΔH is less than or equal to a predetermined value H 1 (for example, zero), and the reaction force spring is expanded while expanding the circumscribed frame until ΔH becomes less than or equal to the predetermined value H 1. Continue the balance used. This predetermined value
An appropriate value is selected for H 1 as necessary, and for example, zero is usually selected.

そして、ステップでは、オーバーラップが無くなっ
た時点(ΔH=0)で回路ブロックの配置を固定し、外
接枠の取外しを実行すると、例えば、第9図に示すよう
な回路ブロックの配置が得られ、これを表示画面に現す
ことができる。コンパクション終了後の回路ブロックの
位置データはRAMに記憶される。
Then, in step, when the arrangement of the circuit blocks is fixed at the time when the overlap is eliminated (ΔH = 0) and the circumscribing frame is removed, for example, the arrangement of the circuit blocks as shown in FIG. 9 is obtained, This can be shown on the display screen. The position data of the circuit block after completion of compaction is stored in the RAM.

以上のようなコンパクト処理によって、第9図に示す
ように、回路ブロックの総配線長の最小の要件を維持し
つつ、デッドスペース及びオーバーラップの解消を達成
することが可能となる。
With the compact processing described above, as shown in FIG. 9, it is possible to eliminate the dead space and overlap while maintaining the minimum requirement for the total wiring length of the circuit block.

尚、この自由境界バランスとコンパクト化に際して、
第3図の実線Cで示された引力/反力を共に与えた釣り
合い方程式を用いる代わりに、回路ブロックa1とa2の距
離Lがそれらの接触位置aij以上である場合には、実線
Aによる引力ばねモデルを用い、距離L=aijであると
きには、破線H上であり、また、距離Lがaij未満であ
る場合は、実線Cで示すような二次式に従う反力ばねモ
デルを用いることができる。
In addition, in this free boundary balance and compactification,
Instead of using the equilibrium equation given with the attractive force / reaction force shown by the solid line C in FIG. 3, when the distance L between the circuit blocks a1 and a2 is equal to or greater than their contact position a ij , the solid line A is used. An attractive spring model is used, and when the distance L = a ij , it is on the broken line H, and when the distance L is less than a ij , a reaction spring model according to a quadratic equation as shown by the solid line C is used. be able to.

また、コンパクション処理の他の態様として、自由境
界バランス後の回路ブロックの配置に前記外接枠を形成
した後、変形率αを外接枠を圧縮する方向に設定し、か
つこの時の回路ブロックの配置を前記第3図の実線Bの
釣り合い方程式で示される反力バランスのみで実行し
て、オーバーラップ及びデッドスペースの解消を図るこ
ともできる。この場合、前記実施例に示すコンパクト化
と比較して引力の計算がないので計算時間を短縮するこ
とができる反面、総配線長最小の要件が崩れることがあ
る。
Further, as another aspect of the compaction processing, after forming the circumscribing frame in the arrangement of the circuit blocks after the free boundary balance, the deformation rate α is set in the direction of compressing the circumscribing frame, and the arrangement of the circuit blocks at this time is set. It is also possible to eliminate the overlap and the dead space by executing the above with only the reaction force balance shown by the balance equation of the solid line B in FIG. In this case, since the calculation of the attractive force is not performed as compared with the compactification shown in the above-mentioned embodiment, the calculation time can be shortened, but the minimum total wiring length requirement may be broken.

さらに、前記実施例では、外接枠を圧縮しながら、引
力/反力バランスをとっていたが、これに代えて、外接
枠の圧縮時に、引力/反力バランスと反力バランスを交
互に用いて、引力ばねのために発生した重なりを、その
直後に引力ばねをカットして反力バランスのみにより解
消させることもできる。この場合、直後に引力ばねをカ
ットしているため、回路ブロックが移動し易くなりしか
も、引力/反力バランスを用いているので、総配線長最
小の要件を崩すことなく、デットスペース及びオーバー
ラップを解消することが可能となる。但し、引力ばねの
カットを頻繁に実行し、反力によるバランスをその都度
とっているため、計算時間がその分増える。
Further, in the above-described embodiment, the gravitational force / reaction force balance is taken while compressing the circumscribing frame, but instead of this, the gravitational force / reaction force balance and the reaction force balance are alternately used when the circumscribing frame is compressed. It is also possible to eliminate the overlap caused by the attraction spring only by the reaction force balance by cutting the attraction spring immediately after that. In this case, since the attractive spring is cut immediately after that, the circuit block can be moved easily, and since the attractive force / reaction force balance is used, the dead space and overlap can be maintained without breaking the minimum total wiring length requirement. Can be eliminated. However, since the attraction spring is frequently cut and the reaction force balances each time, the calculation time increases accordingly.

コンパクション後は、回路ブロックを規則的な格子状
になるように回路ブロック配置を調整する。
After compaction, the circuit block arrangement is adjusted so that the circuit blocks have a regular grid shape.

前記データスペース21は、第10図に示されるような規
則的な格子状に配置された基準回路ブロック110の画面
上の絶対位置データを保存している。この格子状の配置
は、PLD上のPLEの設定位置と対応している。
The data space 21 stores absolute position data on the screen of the reference circuit blocks 110 arranged in a regular grid as shown in FIG. This grid-like arrangement corresponds to the setting position of PLE on PLD.

そこで、ステップでは、CPUは前記データベースか
ら、第10図の基準回路ブロックの位置データを読み出
す。位置データとしては、例えば、基準回路ブロックを
現す円の中心座標を挙げることができる。
Therefore, in step, the CPU reads the position data of the reference circuit block of FIG. 10 from the database. The position data may include, for example, the center coordinates of a circle representing the reference circuit block.

次いで、ステップにおいて、CPUは、第9図に示す
コンパクション後の回路ブロック(円で表示)の画面上
における中心座標を、全ての回路ブロックについて読み
出す。
Next, in step, the CPU reads the center coordinates on the screen of the circuit block (shown by a circle) after compaction shown in FIG. 9 for all the circuit blocks.

ステップでは、第9図の配置の回路ブロックの一つ
一つについて、第10図の規則的な格子状に配置された基
準回路ブロックの全てとの中心座標間距離の演算を前記
(4)式に基づいて行う。そして、この演算はコンパク
ション後の回路ブロックの全てについて実行する。そし
て、ステップにおいて、第9図に示すコンパクション
後の回路ブロックの一つ一つについて、中心間距離が最
小の基準回路ブロックを第10図に示す基準回路ブロック
から選択する。つまり、コンパクション後の回路ブロッ
クの一つ一つと第10図に示す規則的に配置された基準回
路ブロックの一つ一つとの間の中心間距離を演算すれ
ば、コンパクション後の回路ブロックを、第10図に示す
基準回路ブロックのうち最寄りの基準回路ブロックに一
対一で当てはめることができるのである。例えば、第9
図のコンパクション後の回路ブロック9,1に着目する
と、9についての中心間距離が最小な基準回路ブロック
は第10図のB1に相当し、1についての同様なブロックは
B2に相当する。
In the step, for each of the circuit blocks arranged in FIG. 9, calculation of the distance between the center coordinates with all of the reference circuit blocks arranged in a regular lattice shown in FIG. 10 is performed by the equation (4). Based on. Then, this calculation is executed for all the circuit blocks after compaction. Then, in step, for each of the circuit blocks after compaction shown in FIG. 9, the reference circuit block having the smallest center-to-center distance is selected from the reference circuit blocks shown in FIG. In other words, if the center-to-center distance between each circuit block after compaction and each of the regularly arranged reference circuit blocks shown in FIG. 10 is calculated, the circuit block after compaction is calculated as It can be applied to the nearest reference circuit block among the reference circuit blocks shown in FIG. 10 on a one-to-one basis. For example, 9th
Focusing on the circuit blocks 9 and 1 after compaction in the figure, the reference circuit block with the minimum center-to-center distance for 9 corresponds to B1 in FIG. 10, and the similar block for 1 is
Equivalent to B2.

次いで、ステップでは、第9図の回路ブロック1を
第10図の基準回路ブロックB1の表示位置まで移動し、同
様に回路ブロック9をB2の表示位置まで画面上移動させ
る。この処理をコンパクション後の全ての回路ブロック
について実行すれば、コンパクション後の回路ブロック
を格子状の配置になるように調整することができる。そ
して、本実施例のように、各回路ブロックの最終的な配
置を画面上での幾何学的な平行移動によって行えば、回
路ブロックの配置を所望の規則的な配置とすることがで
きる。仮に、最終的な配置まで力学的なモデルを用いて
行うこととすれば、本実施例のような幾何学的な平行移
動を行う場合よりも演算に長時間を要してしまうし、し
かも所望の規則的な配置とするためには多くの場合手作
業による最終調整を行わなければならなくなる。
Next, in step, the circuit block 1 of FIG. 9 is moved to the display position of the reference circuit block B1 of FIG. 10, and similarly the circuit block 9 is moved to the display position of B2 on the screen. If this process is executed for all the circuit blocks after compaction, the circuit blocks after compaction can be adjusted to have a grid-like arrangement. Then, as in the present embodiment, if the final arrangement of the circuit blocks is performed by the geometrical parallel movement on the screen, the circuit blocks can be arranged in a desired regular arrangement. If it is assumed that the final placement is performed using a dynamic model, the calculation will take a longer time than in the case of performing the geometrical parallel movement as in the present embodiment, and moreover, it is desired. In most cases, manual final adjustments will have to be made to ensure a regular arrangement of.

ステップでは、ステップの回路ブロックの移動に
際し、回路ブロック形状を円から短形に変更する。この
結果、第11図に示すように短形の回路ブロックの格子状
配置が得られ、この配置が画面表示される。尚、第11図
の回路ブロックの配置は、スイッチングステーション
(SS)の表示を省略し、回路ブロックのみを強調した画
面となっている。
In the step, when moving the circuit block in the step, the circuit block shape is changed from a circle to a rectangle. As a result, a lattice-like arrangement of short circuit blocks is obtained as shown in FIG. 11, and this arrangement is displayed on the screen. The layout of the circuit blocks in FIG. 11 is a screen in which the display of the switching station (SS) is omitted and only the circuit blocks are emphasized.

尚、回路ブロックを円のまま調整配置し、最後に短形
に直しても良いことは勿論である。
Of course, the circuit blocks may be adjusted and arranged as they are in the form of circles, and finally the circuit blocks may be modified into a short shape.

以上により回路ブロックの配置設計のための処理を全
て終了する。
By the above, all the processes for the layout design of the circuit block are completed.

この後の工程では、最終的に得られた第11図に示され
るように回路ブロックを配置し、次いでこの配置の後、
回路ブロックと共にPLD上に存在するSS内の配線方向を
決定しつつ配置された回路ブロック間に配線が形成され
る処理が実行されるものである。
In the subsequent steps, the circuit blocks are arranged as shown in FIG. 11 finally obtained, and after this arrangement,
The process of forming wiring between the circuit blocks arranged while determining the wiring direction in the SS existing on the PLD together with the circuit block is executed.

次に、請求項(2)記載の発明の実施例について説明
する。
Next, an embodiment of the invention described in claim (2) will be described.

この実施例では、PLDに存在する回路ブロックの複数
が集合化したマクロ回路ブロックを形成し、このマクロ
回路ブロックを一体化して、即ちマクロ回路ブロックを
構成する回路ブロックが互いに離間することなく初期配
置等を行う。
In this embodiment, a macro circuit block in which a plurality of circuit blocks existing in the PLD are aggregated is formed, and the macro circuit blocks are integrated, that is, the circuit blocks constituting the macro circuit block are initially arranged without being separated from each other. And so on.

このようなマクロ回路ブロックの形成は、回路ブロッ
クを多点拘束条件でX軸及び/又はY軸方向に結合する
ことにより行われる。
Formation of such a macro circuit block is performed by connecting the circuit blocks in the X-axis and / or Y-axis directions under a multipoint constraint condition.

本実施例では、回路ブロックを3行×1列で拘束して
いる。
In this embodiment, the circuit block is constrained by 3 rows × 1 column.

操作装置を介してCPUに拘束される回路ブロックの指
定及び拘束方向の指定を行うことができる。マクロ回路
ブロックは、このマクロ回路ブロックを構成する各回路
ブロックを離間しないように拘束した状態で、他のマク
ロ回路ブロック及び/又は拘束されない回路ブロックと
の間でレイアウト設計が実行される。
It is possible to specify the circuit block to be restricted by the CPU and the direction of restriction via the operation device. In the macro circuit block, layout design is executed with other macro circuit blocks and / or unconstrained circuit blocks in a state in which the circuit blocks forming the macro circuit block are constrained so as not to be separated from each other.

第12図は、回路ブロック5,6,8の三つの回路ブロック
が3行×1列に拘束されてマクロ回路ブロックが形成さ
れた場合における自由環境バランス後の配置画面であ
り、第13,14図はコンパクション処理後の配置画面であ
る。この初期配置,自由環境バランス,コンパクション
の処理は前記実施例と同様である。
FIG. 12 is a layout screen after free environment balance when three circuit blocks of the circuit blocks 5, 6, and 8 are constrained in 3 rows × 1 column to form a macro circuit block. The figure shows the layout screen after compaction processing. The initial placement, free environment balance, and compaction processing are the same as in the above embodiment.

この自由境界バランス,コンパクションにおいては、
引力/反力によるバランスをとっているものであるが、
拘束条件下ではマクロ回路ブロックは前記第3図に示し
た引力ばね,反力ばねによる引力,反力が作用しても拘
束された方向から互いに離間することなく、マクロ回路
ブロックと他の回路ブロックとの間でバランスをとって
いる。
In this free boundary balance and compaction,
Although it is balanced by attractive force / reaction force,
Under the constraint condition, the macro circuit block does not separate from the constrained direction even if the attraction force and the reaction force by the attraction spring and the reaction spring shown in FIG. Is balanced between.

このような回路ブロックの拘束は、第15図に示すよう
に所定時間度に繰り返される割込み処理によって実行さ
れる。
Such restraint of the circuit block is executed by interrupt processing repeated every predetermined time as shown in FIG.

先ず、ステップaにおいて拘束の要求があるか否か
が判定される。ステップbでは、CPUは回路ブロック
5,6,8の中心のX座標を順次読み込み、ステップcに
おいて、回路ブロック6の中心のX座標と回路ブロック
5,8の中心のX座標との偏差を演算し、この偏差が零で
あるか否かが判定される(ステップd)。この偏差が
零になる場合は処理を終了して次のコンパクションの処
理を続行する。一方、この偏差が零でない場合は、ステ
ップeに移行し、回路ブロック5,8のX座標が回路ブ
ロック6のX座標に合致するように回路ブロック5,8を
移動する。尚、特に図示はしないが、Y座標についても
X座標の場合と同様に割り込み処理によって同等の拘束
処理が行われる。但し、本実施例では、第14図からも明
らかなように、拘束要求のある回路ブロック5,6,8の三
つの回路ブロックは縦方向(Y軸に沿った方向)に並ん
でいるから、X座標に関してはそれら三つのブロックは
一致するので第15図のステップdで偏差=0か否かが
判定されるのであるが、Y座標に関しては、隣接した回
路ブロック5,6の中心のY座標の偏差が2×l(lは円
の半径)となり、同じく隣接した回路ブロック6,8の中
心のY座標の偏差が2×lとなればよいことになるか
ら、Yに関する拘束処理では、回路ブロック6の中心の
Y座標と回路ブロック5,8の中心のY座標との偏差が演
算され、それら偏差が2×lに一致するように回路ブロ
ック5,8を移動する処理が実行される。
First, in step a, it is determined whether or not there is a binding request. In step b, the CPU is a circuit block
The X coordinates of the centers of 5, 6 and 8 are sequentially read, and in step c, the X coordinates of the center of the circuit block 6 and the circuit block are read.
A deviation from the X coordinate of the center of 5, 8 is calculated, and it is determined whether or not this deviation is zero (step d). When this deviation becomes zero, the processing is terminated and the processing of the next compaction is continued. On the other hand, if this deviation is not zero, the process moves to step e, and the circuit blocks 5 and 8 are moved so that the X coordinates of the circuit blocks 5 and 8 match the X coordinates of the circuit block 6. Although not shown in the drawing, the same restraint process is performed for the Y coordinate by the interrupt process as in the case of the X coordinate. However, in this embodiment, as is clear from FIG. 14, since the three circuit blocks 5, 6 and 8 with the constraint request are arranged in the vertical direction (the direction along the Y axis), Regarding the X coordinate, these three blocks match, so it is judged at step d in FIG. 15 whether or not the deviation is 0. Regarding the Y coordinate, the Y coordinate of the center of the adjacent circuit blocks 5 and 6 is determined. Is 2 × l (l is the radius of the circle), and the deviation of the Y coordinate of the center of the adjacent circuit blocks 6 and 8 is 2 × l. The deviation between the Y coordinate of the center of the block 6 and the Y coordinate of the center of the circuit blocks 5 and 8 is calculated, and a process of moving the circuit blocks 5 and 8 is executed so that the deviations match 2 × l.

この結果、第12〜14図に示されるように回路ブロック
5,6,8が拘束された一体として移動した配置が得られ
る。
This results in the circuit block as shown in Figures 12-14.
An arrangement in which 5,6,8 are constrained and moved as a unit is obtained.

次に、本実施例においてもコンパクション終了後の調
整配置を行う。この処理においても、前記ステップ〜
の処理が実行されて、コンパクション終了後の回路ブ
ロック配置の調整を実行する。
Next, also in this embodiment, the adjustment arrangement after the completion of compaction is performed. Even in this process, the steps from
Is executed to adjust the circuit block layout after completion of compaction.

この調整配置後の配置は、第16図に示すようになる。
ところで、この配置においては、回路ブロックが配置さ
れない空白部170,171が存在する。この空白部は存在し
ない方が総配線長を短くする上で好ましい。この空白部
は回路ブロック5,6,8を拘束してレイアウト設計をした
ことにより発生するものである。そこで、この実施例で
は、係る空白部を無くすために、調整配置後の回路ブロ
ックを再調整する。このための手順を第17図に示す。
The arrangement after this adjustment arrangement is as shown in FIG.
By the way, in this arrangement, there are blank portions 170 and 171 in which circuit blocks are not arranged. It is preferable that this blank portion does not exist in order to shorten the total wiring length. This blank portion is generated by designing the layout by constraining the circuit blocks 5, 6, and 8. Therefore, in this embodiment, the circuit block after the adjustment and arrangement is readjusted in order to eliminate the blank portion. The procedure for this is shown in FIG.

前記ステップ処理終了後、CPUは、第16図に示され
る調整配置後の回路ブロックの位置データを読み込む
(ステップ)。次いで、ステップにおいて、空白部
が存在するか否かの判定が実行される。この判定は、例
えば次のようにすることができる。
After the step processing is completed, the CPU reads the position data of the circuit block after the adjustment arrangement shown in FIG. 16 (step). Then, in step, it is determined whether or not there is a blank portion. This determination can be performed as follows, for example.

調整配置後の回路ブロックの位置データは、各単位格
子の中心座標と回路ブロックのナンバーを1対1に対応
させたデータとし、この内容をRAMに記憶する。そし
て、後述の外接枠173空白部の内側に存在し、且つ回路
ブロックの番号の対応がない単位格子を空白部とする。
The position data of the circuit block after the adjustment and arrangement is data in which the center coordinates of each unit lattice and the number of the circuit block have a one-to-one correspondence, and this content is stored in the RAM. Then, a unit lattice existing inside a blank portion of a circumscribing frame 173, which will be described later, and having no corresponding circuit block number is set as a blank portion.

ステップでは前記第16図に示すように、調整配置後
の画面に外接枠を表示する。この外接枠はX軸方向及び
/又はY軸方向に圧縮可能になっている。
In step, as shown in FIG. 16, a circumscribing frame is displayed on the screen after adjustment and arrangement. This circumscribed frame is compressible in the X-axis direction and / or the Y-axis direction.

ステップでは、拘束要求のあった回路ブロック5,6,
7の位置を固定して、ステップ外接枠の圧縮を行う。
この時、外接枠のY軸方向への圧縮によっては、いずれ
の回路ブロックとも移動しない。一方、外接枠のX軸方
向への圧縮により、回路ブロック4,7が移動して空白部1
70を埋め、回路ブロック12が移動して空白部171を埋め
る。
In the step, the circuit blocks 5, 6,
Fix the position of 7 and compress the step circumscribed frame.
At this time, none of the circuit blocks move due to the compression of the circumscribing frame in the Y-axis direction. On the other hand, due to the compression of the circumscribing frame in the X-axis direction, the circuit blocks 4 and 7 move and the blank portion 1
70 is filled and the circuit block 12 moves to fill the blank portion 171.

そして、ステップで空白部が存在するかしないかが
判定され、空白部が存在しなくなるまで再調整の処理が
続行される 空白部が存在しないと判定されたら、ステップにお
いて、この時の回路ブロックの配置を固定し、回路ブロ
ックの位置データをRAMに更新記憶する。この結果、第1
8図に示されるように、空白部が存在しない再調整後の
回路ブロック配置が得られる。この配置によれば、回路
ブロックの複数を拘束してマクロ回路ブロックを形成し
た場合でも、総配線長最小のレイアウト設計が可能とな
る。
Then, in step, it is determined whether or not there is a blank portion, and the readjustment process is continued until there is no blank portion. If it is determined that there is no blank portion, in step, the circuit block The layout is fixed and the position data of the circuit block is updated and stored in the RAM. As a result, the first
As shown in FIG. 8, a circuit block layout after readjustment is obtained in which no blank portion exists. With this arrangement, even when a macro circuit block is formed by constraining a plurality of circuit blocks, a layout design with a minimum total wiring length is possible.

次に請求項(2)記載の発明の第2の実施例について
説明する。
Next, a second embodiment of the invention described in claim (2) will be described.

この実施例では、回路ブロック6,7,8,10を2行×2列
で拘束する。但し、前の実施例と異なり、回路ブロック
6,7,8,10を大円で表示する。このようにしたのは、回路
ブロック同士の接点近傍は、前記第3図で示される反力
が弱く、自由境界バランス,コンパクションの際この部
分に他の回路ブロックが侵入して回路ブロックの拘束が
破壊されることもある。よって、第19図(1)で示され
る回路ブロック6,7,8,10の夫々に(2)のように大円の
形状を与える。この結果、回路ブロック間にはオーバー
ラップが生じて、他の回路ブロックが侵入する隙間を無
くすため、回路ブロックの拘束が破壊されることなく、
レイアウト設計を実行することができる。
In this embodiment, the circuit blocks 6, 7, 8 and 10 are constrained by 2 rows × 2 columns. However, unlike the previous embodiment, the circuit block
Display 6,7,8,10 as a big circle. This is because the reaction force shown in FIG. 3 is weak in the vicinity of the contact points between the circuit blocks, and during free boundary balance and compaction, other circuit blocks enter this part and restrain the circuit blocks. It can be destroyed. Therefore, each of the circuit blocks 6, 7, 8, 10 shown in FIG. 19 (1) is given a great circle shape as shown in (2). As a result, an overlap occurs between the circuit blocks, and a gap into which another circuit block enters is eliminated, so that the constraint of the circuit block is not destroyed,
Layout design can be performed.

そして、これらの回路ブロックについて前記各実施例
と同様に初期配置、自由境界バランス,コンパクショ
ン,調整配置を実行する。第20図は自由境界バランス後
の配置図であり、第21図及び第22図はコンパクション終
了後の配置図である。本実施例における初期配置,自由
境界バランス,コンパクション処理は前記実施例と同様
に実行される。そして、調整配置は前記第15図で示した
処理と同様の方法により行われる。調整配置後の回路ブ
ロックを第23図に示す。
Then, initial placement, free boundary balance, compaction, and adjustment placement are executed for these circuit blocks in the same manner as in each of the above-described embodiments. FIG. 20 is a layout drawing after free boundary balancing, and FIGS. 21 and 22 are layout drawings after completion of compaction. The initial placement, free boundary balance, and compaction processing in this embodiment are executed in the same manner as in the previous embodiment. Then, the adjustment arrangement is performed by the same method as the processing shown in FIG. The circuit block after adjustment and arrangement is shown in FIG.

ところで、回路ブロック6,7,8,10が拘束された状態で
レイアウト設計がなされることから、調整配置後では、
回路ブロック4,12が一つの単位格子に対応し、回路ブロ
ック10,2も同様となる。即ち、回路ブロックの重複が生
ずる。
By the way, since the layout design is done with the circuit blocks 6, 7, 8 and 10 being constrained, after adjustment placement,
The circuit blocks 4 and 12 correspond to one unit cell, and the circuit blocks 10 and 2 are also the same. That is, the circuit blocks overlap.

そこで、前記ステップの処理が終了後、回路ブロッ
クの重複が存在するか否かが判定される。この判定は、
第10図に示す一つの回路ブロックに第22図における回路
ブロックが対応するか否かで実行される。
Therefore, after the processing of the above steps is completed, it is determined whether or not the circuit block overlaps. This judgment is
This is executed depending on whether the circuit block shown in FIG. 22 corresponds to one circuit block shown in FIG.

回路ブロックの重複が存在すると判定される場合は、
回路ブロックの重複を除去するため、重複する回路ブロ
ック位置に隣接する空白部に回路ブロックを移動する。
本実施例では、回路ブロック12を回路ブロック4から分
離して移動させ、回路ブロック2を回路ブロック10から
分離して移動させる。この時、移動される回路ブロック
と移動されない回路ブロックとを区別することが必要で
あるが、これはコンパクション終了の際回路ブロック4
は回路ブロック11に隣接していることから、回路ブロッ
ク4に優先度を与えることにより区別が可能である。ま
た、回路ブロック10は回路ブロック6,7,8と拘束されて
いたことから、回路ブロック10に優先度を与え回路ブロ
ック2を回路ブロック10と区別して移動させることがで
きる。
If it is determined that there is circuit block overlap,
In order to remove the duplication of the circuit blocks, the circuit blocks are moved to the blank portion adjacent to the overlapping circuit block position.
In this embodiment, the circuit block 12 is moved separately from the circuit block 4, and the circuit block 2 is moved separately from the circuit block 10. At this time, it is necessary to distinguish between the circuit block that is moved and the circuit block that is not moved.
Are adjacent to the circuit block 11, they can be distinguished by giving priority to the circuit block 4. Further, since the circuit block 10 is constrained to the circuit blocks 6, 7, and 8, it is possible to give priority to the circuit block 10 and move the circuit block 2 separately from the circuit block 10.

この回路ブロックの移動は、配線長の最小化のため調
整配置後の回路ブロックの重心位置方向の空白単位格子
に移動させる。この結果、第24図に示すように、重複し
た回路ブロックが移動した配置を得ることができる。
This circuit block is moved to a blank unit cell in the direction of the center of gravity of the circuit block after the adjustment and arrangement in order to minimize the wiring length. As a result, as shown in FIG. 24, it is possible to obtain an arrangement in which overlapping circuit blocks are moved.

この後、空白部を無くすため、前記第17図の処理と同
様に空白単位格子を埋めるための処理を行う。この結
果、最終的に重複回路ブロック及び空白単位格子が存在
しないため、配線長が最小となる際調整配置のレイアウ
トを第25図のように得ることができる。
After that, in order to eliminate the blank portion, the processing for filling the blank unit cell is performed similarly to the processing in FIG. As a result, finally, since the overlapping circuit block and the blank unit cell do not exist, the layout of the adjustment arrangement can be obtained as shown in FIG. 25 when the wiring length is minimized.

本実施例では、ばねモデルとして第3図に記載のもの
を用いたがこれに限定されることなく、他の釣り合い方
程式による力のバランスをとることもできる。たとえ
ば、反力バランスとして第3図ではLの二次式の逆数の
関係(f=Kij・aij 2/L2)を用いたが、三次,四次式の
逆数の関係を用いることもでき、且つ、定数Kijを適宜
変更することができる。
In the present embodiment, the spring model shown in FIG. 3 is used, but the present invention is not limited to this, and it is also possible to balance forces by other balance equations. For example, as the reaction force balance, the reciprocal relation of the quadratic equation of L (f = K ij · a ij 2 / L 2 ) is used in FIG. 3, but the reciprocal relation of the cubic and quartic equations may be used. In addition, the constant K ij can be changed appropriately.

また、引力のバランスとしては、線形の式〔f=−c
ij(L−aij)〕を用いたが、非線形の式を用いること
もでき、且つ、cijを回路ブロック間の配線本数の代わ
りに配線本数に応じて増加する変数と定義することもで
きる。
In addition, as a balance of attractive force, a linear expression [f = -c
ij (L−a ij )] is used, but a non-linear expression can also be used, and c ij can be defined as a variable that increases according to the number of wirings instead of the number of wirings between circuit blocks. .

また、本実施例は回路ブロックの初期配置以降の処理
において、回路ブロックの大きさを円で表現したが、こ
れに限定されることなく回路ブロックの実際の形状であ
る短形にして配置設計を初期配置から実行することも可
能である。
Further, in the present embodiment, the size of the circuit block is represented by a circle in the processing after the initial placement of the circuit block, but the present invention is not limited to this, and the layout design can be performed by making the circuit block into a short shape which is the actual shape. It is also possible to execute from the initial placement.

また、コンパクション終了後の調整配置後に円形で現
された回路ブロックを短形に直しているが、コンパクシ
ョン終了後調整配置後に短形に直してこの後調整配置を
実行することも可能である。
Further, although the circuit block represented by the circle after the adjustment arrangement after the completion of the compaction is corrected to the short shape, it is also possible to perform the adjustment arrangement after the completion of the adjustment arrangement after the completion of the compaction and to execute the adjustment arrangement thereafter.

さらに、各回路ブロックとIOパッドとの間に配線数を
設定して前記初期配置等の配置処理を実行することも可
能である。
Further, it is also possible to set the number of wirings between each circuit block and the IO pad to execute the placement process such as the initial placement.

尚、前記請求項(2)の実施例では初期配置、自由境
界バランス,コンパクション,調整配置における回路ブ
ロックを拘束したが、少なくとも自由境界バランスの以
降にマクロ回路ブロック内の回路ブロックを拘束すれば
良い。
Although the circuit blocks in the initial arrangement, free boundary balance, compaction and adjustment arrangement are constrained in the embodiment of claim (2), the circuit blocks in the macro circuit block may be constrained at least after the free boundary balance. .

また、本実施例では、PLDに存在する一部の複数の回
路ブロックをマクロ回路ブロックとしたが、全部の回路
ブロックを何組かのマクロ回路ブロックとし、このマク
ロ回路ブロックを拘束した状態で他のマクロ回路ブロッ
クとの間でレイアウト設計を実行することも可能であ
る。
Further, in the present embodiment, some of the plurality of circuit blocks existing in the PLD are macro circuit blocks. However, all the circuit blocks are set to some sets of macro circuit blocks, and the macro circuit blocks are locked with other macro circuit blocks. It is also possible to perform a layout design with the macro circuit block.

前記多点拘束の実施例では回路ブロックを円で表示し
て説明したが、回路ブロックを短形で表現し、この短形
の状態の回路ブロックを拘束する場合でも本発明を適用
できることは勿論である。この場合、拘束された短形の
マクロ回路ブロックの大きさを拘束されない回路ブロッ
クの整数倍に必ずしもする必要がなく、拘束された短形
のマクロ回路ブロックの大きさを適宜選択することも可
能である。
In the embodiment of the multipoint constraint described above, the circuit blocks are indicated by circles, but it is needless to say that the present invention can be applied to the case where the circuit blocks are expressed in a short form and the circuit blocks in the short form are restricted. is there. In this case, the size of the constrained short macro circuit block does not necessarily have to be an integral multiple of the unconstrained circuit block, and the size of the constrained short macro circuit block can be appropriately selected. is there.

また、以上の実施例では本発明がPLDに適用できる場
合について説明したが、これに限定されず、ゲートアレ
イ,SOG,スタンダードセル等の基本セル(単位セルの複
数からなる)の配置設計の問題にも本発明を適用できる
のは勿論である。
Further, in the above embodiments, the case where the present invention can be applied to the PLD has been described, but the present invention is not limited to this, and there is a problem of layout design of basic cells (comprising a plurality of unit cells) such as a gate array, SOG, and standard cells. Of course, the present invention can also be applied to this.

〔発明の効果〕〔The invention's effect〕

以上説明したように、請求項(1)記載の発明によれ
ば、総配線長をより短くし且つ所望の規則的な回路ブロ
ックのレイアウトを、短時間で設計することのできる回
路ブロック配置の設計装置を提供することができる。
As described above, according to the invention described in claim (1), a circuit block layout design can be designed in which the total wiring length can be made shorter and a desired regular circuit block layout can be designed in a short time. A device can be provided.

そして請求項(2)記載の発明によれば、回路ブロッ
クの複数からなる大きさの異なる各種サイズのマクロ回
路ブロックを形成する場合でも総配線長をより短く、且
つレイアウト設計を短時間で行える回路ブロック配置の
設計装置を提供することができる。
According to the invention as set forth in claim (2), even when forming macro circuit blocks of a plurality of circuit blocks of different sizes, the total wiring length can be made shorter and the layout design can be performed in a short time. A block layout design device can be provided.

【図面の簡単な説明】[Brief description of drawings]

第1図は本発明の基本構成図、第2図はPLDの概略構成
図、第3図は質点径のばねモデル図、第4図は本発明を
実現する概略システム構成図、第5図は請求項(1)記
載の発明の動作を説明するフローチャート、第6図ない
し第9図,第11図は請求項(1)記載の発明により配置
処理された回路ブロックの配置図、第10図は規則的な格
子状に配置された回路ブロックの配置図、第12図ないし
第14図,第16図は請求項(2)記載の発明の第2の実施
例により配置処理された回路ブロックの配置図、第15図
は回路ブロックの拘束処理を実施可能な動作を示すフロ
ーチャート、第17図は、回路ブロックの調整配置後の空
白部を埋める手順を示すフローチャート、第18図は第17
図の処理により得られた再調整配置後の回路ブロックの
配置図、第19図は2行×2列の拘束の概念を示す説明
図、第20図ないし第25図は請求項(2)記載の発明の第
2の実施例により配置処理された回路ブロックの配置図
である。 図中、1は回路ブロックの入出力ピン、2は回路ブロッ
ク、20はシステムバス、21はデータベース、22はROM、2
3はCPU、24は操作装置、25はRAM、26は表示装置であ
る。
FIG. 1 is a basic configuration diagram of the present invention, FIG. 2 is a schematic configuration diagram of a PLD, FIG. 3 is a spring model diagram of a mass diameter, FIG. 4 is a schematic system configuration diagram for realizing the present invention, and FIG. A flowchart for explaining the operation of the invention described in claim (1), FIGS. 6 to 9 and 11 are layout diagrams of circuit blocks subjected to layout processing according to the invention described in claim (1), and FIG. The layout of the circuit blocks arranged in a regular grid, and FIGS. 12 to 14 and 16 are layouts of the circuit blocks arranged according to the second embodiment of the invention described in claim (2). FIG. 15 is a flow chart showing an operation capable of executing the constraint processing of the circuit block, FIG. 17 is a flow chart showing a procedure for filling a blank portion after the adjustment arrangement of the circuit block, and FIG.
Arrangement drawing of the circuit block after readjustment arrangement obtained by the process of FIG. 19, FIG. 19 is an explanatory view showing the concept of constraint of 2 rows × 2 columns, and FIGS. 20 to 25 are claims (2) FIG. 8 is a layout diagram of circuit blocks subjected to layout processing according to the second embodiment of the invention. In the figure, 1 is an input / output pin of a circuit block, 2 is a circuit block, 20 is a system bus, 21 is a database, 22 is a ROM, 2
3 is a CPU, 24 is an operating device, 25 is a RAM, and 26 is a display device.

Claims (2)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】集積回路における回路ブロックの配置を設
計できる装置において、回路ブロック間の配線数に応じ
た引力を有する質点系のばねモデルを用いて前記回路ブ
ロックを初期配置する初期配置手段と、回路ブロックの
重なり量に応じた反力を有するばねモデルを用いて、前
記初期配置された回路ブロックの重なりが無くなるよう
に、該回路ブロックを再配置する再配置手段と、再配置
後の回路ブロックを、規則的に配置された基準回路ブロ
ックのうち最寄りの基準回路ブロックに一対一で当ては
めることにより、前記再配置後の回路ブロックの配置が
規則的になるように調整配置する調整配置手段と、を有
してなることを特徴とする集積回路における回路ブロッ
ク配置の設計装置。
1. An apparatus for designing the arrangement of circuit blocks in an integrated circuit, comprising: initial placement means for initially placing the circuit blocks using a mass-type spring model having an attractive force according to the number of wirings between the circuit blocks. Using a spring model having a reaction force corresponding to the overlapping amount of the circuit blocks, the rearranging means for rearranging the initially arranged circuit blocks so as to eliminate the overlapping of the initially arranged circuit blocks, and the circuit block after the rearrangement. , By applying one-to-one to the nearest reference circuit block among the regularly arranged reference circuit blocks, adjusting and arranging means for adjusting and arranging so that the arrangement of the circuit blocks after the rearrangement becomes regular, An apparatus for designing a circuit block arrangement in an integrated circuit, comprising:
【請求項2】集積回路に存在する回路ブロックのうち全
部又は一部を、複数の回路ブロックが集合化したマクロ
回路ブロックとするマクロ回路ブロック形成手段と、該
マクロ回路ブロック内の回路ブロックを一体化して前記
初期配置手段による処理,再配置手段による処理及び調
整配置手段による処理を実行する一体化配置処理実行手
段と、を有してなることを特徴とする請求項(1)記載
の集積回路における回路ブロック配置の設計装置。
2. A macro circuit block forming means for integrating all or some of the circuit blocks existing in an integrated circuit into a macro circuit block, and a circuit block in the macro circuit block. An integrated circuit processing execution means for implementing the processing by the initial arrangement means, the processing by the rearrangement means, and the processing by the adjustment arrangement means by implementing the integrated arrangement processing execution means. Circuit block layout design device.
JP1261731A 1989-06-28 1989-10-06 Device for designing circuit block layout in integrated circuit Expired - Fee Related JP2537280B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP1261731A JP2537280B2 (en) 1989-10-06 1989-10-06 Device for designing circuit block layout in integrated circuit
US07/543,549 US5309371A (en) 1989-06-28 1990-06-26 Method of and apparatus for designing circuit block layout in integrated circuit
CA002019903A CA2019903A1 (en) 1989-06-28 1990-06-27 Method of and apparatus for designing circuit block layout in integrated circuit
EP19900307084 EP0405975A3 (en) 1989-06-28 1990-06-28 Method of and apparatus for designing circuit block layout in integrated circuit
KR1019900009625A KR960006106B1 (en) 1989-06-28 1990-06-28 Method and apparatus for designing circuit block layout in integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1261731A JP2537280B2 (en) 1989-10-06 1989-10-06 Device for designing circuit block layout in integrated circuit

Publications (2)

Publication Number Publication Date
JPH03124046A JPH03124046A (en) 1991-05-27
JP2537280B2 true JP2537280B2 (en) 1996-09-25

Family

ID=17365929

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1261731A Expired - Fee Related JP2537280B2 (en) 1989-06-28 1989-10-06 Device for designing circuit block layout in integrated circuit

Country Status (1)

Country Link
JP (1) JP2537280B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3389875B2 (en) 1999-03-12 2003-03-24 株式会社トッパンエヌイーシー・サーキットソリューションズ Automatic component placement system and recording medium recording automatic component placement program
US8595674B2 (en) * 2007-07-23 2013-11-26 Synopsys, Inc. Architectural physical synthesis

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0341759A (en) * 1989-07-10 1991-02-22 Nippon Telegr & Teleph Corp <Ntt> Element arrangement

Also Published As

Publication number Publication date
JPH03124046A (en) 1991-05-27

Similar Documents

Publication Publication Date Title
KR960006106B1 (en) Method and apparatus for designing circuit block layout in integrated circuit
US5493510A (en) Method of and apparatus for placing blocks in semiconductor integrated circuit
US20100205572A1 (en) Adaptive mesh resolution in electric circuit simulation and analysis
Gu et al. Collapse simulation of reinforced concrete moment frames considering impact actions among blocks
JP2537280B2 (en) Device for designing circuit block layout in integrated circuit
WO2010023988A1 (en) Cross-section layout calculation device, cross-section layout calculation method, and cross-section layout calculation program
US6317704B1 (en) Method for generating a mesh and a computer therefor
US5737250A (en) Method and system for simulating ion implantation for performing simulation with avoiding overflow by adjusting memory consuming amount
US7962884B2 (en) Floorplanning apparatus and computer readable recording medium storing floorplanning program
JPH10255079A (en) Method for creating mesh data on curved surface
JP3770991B2 (en) Method and apparatus for generating analysis model and method for analyzing injection molding process
CN113139362A (en) Integrated circuit layout
JP3319505B2 (en) Process simulation method and recording medium thereof
JPS63122568A (en) Character processor
KR101741933B1 (en) Ladder program display device and ladder program display method
JP3023944B2 (en) Method and apparatus for arranging blocks of semiconductor integrated circuit
JP2002007497A (en) Designing supporting device of semiconductor device
CN115618702B (en) Method for generating two-dimensional ultrahigh volume fraction mixed rock numerical simulation model by adopting overlapping contact cutting algorithm
US20170061063A1 (en) Integrated circuit with reduced routing congestion
JP2002304424A (en) Method for forming fillet surface shape, three- dimensional cad device and storage medium
JPH09232436A (en) Method and apparatus for logic synthesis, and method for designing semiconductor integrated circuit
JPS63155260A (en) Editing method for table by computer
JP2024048673A (en) Method and program for automatically placing parts
JPS63181349A (en) Layout design equipment for lsi
JPH0756982A (en) Layout method and layoutability evaluation device

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees