JP2021524150A - 導電面上での選択的なポリマ形成のための多機能分子および導電面上での選択的なポリマ形成から得られる構造 - Google Patents

導電面上での選択的なポリマ形成のための多機能分子および導電面上での選択的なポリマ形成から得られる構造 Download PDF

Info

Publication number
JP2021524150A
JP2021524150A JP2020533642A JP2020533642A JP2021524150A JP 2021524150 A JP2021524150 A JP 2021524150A JP 2020533642 A JP2020533642 A JP 2020533642A JP 2020533642 A JP2020533642 A JP 2020533642A JP 2021524150 A JP2021524150 A JP 2021524150A
Authority
JP
Japan
Prior art keywords
layer
block component
separation block
dielectric
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020533642A
Other languages
English (en)
Inventor
ハン、ウンナク
マーディ、テイシアー
ホウラニ、ラミ
シン、ガープリート
グストレイン、フロリアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JP2021524150A publication Critical patent/JP2021524150A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

導電面上での選択的なポリマ形成のための多機能分子、および得られる構造が説明される。例において、集積回路構造は、基板よりも上の交互に設けられた金属線および誘電体線を有する下側金属被覆層を備える。分子ブラシ層は、下側金属被覆層の金属線上にあり、多機能分子を有する。トリブロック共重合体層は、下側金属被覆層よりも上にある。トリブロック共重合体層は、下側金属被覆層の誘電体線よりも上の第1の分離ブロックコンポーネントと、下側金属被覆層の金属線上の分子ブラシ層上の交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントとを有する。第3の分離ブロックコンポーネントは、感光性である。

Description

本開示の実施形態は、半導体の構造および処理の分野、特に、導電面上での選択的なポリマ形成のための多機能分子、および得られる構造におけるものである。
過去数十年にわたって、集積回路内のフィーチャのスケーリングは、成長し続ける半導体産業の原動力となってきた。より一層小さなフィーチャへとスケーリングすることにより、半導体チップの限られた面積における機能ユニットの密度を上げることが可能になる。例えば、トランジスタのサイズを縮小することで、より多くのメモリまたはロジックデバイスをチップ上に組み込むことが可能になり、製造される製品の容量が上がる。しかしながら、これまで以上の容量を目指すのに、問題がないわけではない。各デバイスの性能を最適化する必要性がますます重要になっている。
集積回路は一般的に、当分野においてビアとして知られる導電性マイクロエレクトロニクス構造を含むことで、ビアよりも上の金属線または他のインターコネクトを、ビアよりも下の金属線または他のインターコネクトへ電気的に接続している。ビアは一般的に、リソグラフィ処理により形成される。典型的には、フォトレジスト層が誘電体層よりも上にスピンコーティングされ得て、フォトレジスト層は、パターニングされたマスクを通じて、パターニングされた化学線放射に露光され得て、次に、フォトレジスト層に開口を形成すべく、露光された層が現像され得る。次に、フォトレジスト層の開口をエッチングマスクとして用いることにより、ビア用の開口が誘電体層にエッチングされ得る。この開口は、ビア開口と称される。最終的に、ビア開口は、1または複数の金属または他の導電性材料で充填されて、ビアを形成し得る。
過去にはビアのサイズおよびスペーシングが徐々に減少しており、将来は、少なくともいくつかの種類の集積回路(例えば、次世代型のマイクロプロセッサ、チップセットコンポーネント、グラフィックチップ等)について、ビアのサイズおよびスペーシングが徐々に減少し続けるであろうと期待されている。ビアのサイズの1つの測定値は、ビア開口の限界寸法である。ビアのスペーシングの1つの測定値は、ビアピッチである。ビアピッチは、最も近くで隣接するビア間の中心から中心までの距離を表す。
極めて小さいピッチを有する極めて小さいビアをそのようなリソグラフィ処理によりパターニングする場合、特に、ピッチが約70から90ナノメートル(nm)以下である場合、および/または、ビア開口の限界寸法が約35nm以下である場合、いくつかの課題が生じる。そのような課題の1つが、ビアと上層インターコネクトとの間のオーバーレイおよびビアと下層ランディングインターコネクトとの間のオーバーレイは一般的に、ビアピッチの4分の1という高い許容誤差で制御される必要がある、というものである。ビアピッチのスケーリングが経時的に小さくなるにつれて、オーバーレイの許容誤差は、リソグラフィ機器が追い付くことができるよりもさらに速い速度でスケーリングされる傾向がある。
そのような別の課題が、ビア開口の限界寸法は一般的に、リソグラフィスキャナの分解能よりも速くスケーリングされる傾向がある、というものである。ビア開口の限界寸法を縮小する縮小技術が存在する。しかしながら、縮小量は、最小ビアピッチ、および、光近接効果補正(OPC)が十分にニュートラルになる縮小処理能力により制限される傾向があり、線幅粗さ(LWR)および/または限界寸法均一性(CDU)を著しく損ないはしない傾向がある。
さらに別のそのような課題は、限界寸法バジェットの全体的な割合を同じに維持するためにはビア開口の限界寸法が減少するので、フォトレジストのLWR特性および/またはCDU特性は、概して改善する必要がある、ということである。しかしながら、現在、ほとんどのフォトレジストのLWR特性および/またはCDU特性は、ビア開口の限界寸法の減少と比べると、急速に改善してはいない。
さらなるそのような課題は、極めて小さいビアピッチが、概して、極端紫外線(EUV)リソグラフィスキャナの分解能さえ下回る傾向がある、ということである。結果として、一般的ないくつかの異なるリソグラフィマスクが用いられ得るので、コストが増える傾向がある。いくつかの地点で、ピッチが減少し続ける場合、複数のマスクでさえ、EUVスキャナを用いてこれらの極めて小さいピッチのビア開口を印刷することが可能ではないことがある。
故に、金属ビアを製造するためのバックエンド金属被覆製造技術の領域において、改善が必要とされている。
ポリマ材料またはポリマ層を金属面上に形成する従来のアプローチの代表的な概略図である。 (a)2つの機能部位(X)を有する多機能分子、(b)3つの機能部位(X)を有する多機能分子、(c)4つの機能部位(X)を有する多機能分子、および、(d)5またはそれより多くの機能部位(X)を有する多機能分子が示された、本開示の実施形態による多機能分子の概略図を含む。 (a)1つの機能部位(X)および1つの機能部位(Y)を有する多機能分子、(b)1つの機能部位(X)および2つの機能部位(Y)を有する多機能分子、(c)2つの機能部位(X)および2つの機能部位(Y)を有する多機能分子、ならびに、(d)1つの機能部位(X)および4またはそれより多くの機能部位(Y)を有する多機能分子が示された、本開示の実施形態によるヘテロ多機能分子の概略図を含む。 本開示の実施形態による、図3の多機能分子との結合反応による鎖伸長/高密度化を示す概略図である。 本開示の実施形態による、多機能分子との複数回の結合反応による鎖伸長/高密度化を示す概略図である。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す傾斜した断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す傾斜した断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す傾斜した断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。 本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。 本開示の実施形態による、金属線、ビアおよびプラグの形成の後のセルフアライメントビア構造の平面図および対応する断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す導電性フィーチャの部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す導電性フィーチャの部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す導電性フィーチャの部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。 本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法におけるオペレーションを表す集積回路層の部分の平面図を示す。 本開示の実施形態の一実装によるコンピューティングデバイスを示す。 本開示の1または複数の実施形態を実装したインターポーザである。
導電面上での選択的なポリマ形成のための多機能分子、および得られる構造が説明される。以下の説明において、本開示の実施形態についての十分な理解を提供すべく、具体的な統合および材料の形態など、多数の具体的な詳細が記載される。本開示の実施形態がこれらの具体的な詳細なしに実施され得ることが当業者には明らかになるであろう。他の事例において、本開示の実施形態を不必要に不明瞭にしないようにすべく、集積回路の設計レイアウトなどのよく知られている特徴は、詳細には説明されない。さらに、図に示される様々な実施形態は、例示な表現であって、必ずしも原寸で描かれていないことが理解されるべきである。
特定の用語も、参照のみを目的として以下の説明において用いられることがあり、故に、限定するものとしては意図されていない。例えば、「上」、「下」、「よりも上」および「よりも下」などの用語は、参照される図面における方向を指す。「前」、「後」、「裏」および「側」などの用語は、議論の下で構成要素について記載する本文および関連図面を参照することにより明らかになる、一貫しているが任意の基準フレーム内で、構成要素の部分の向きおよび/または位置を説明する。そのような用語は、具体的に上述された語、それらの派生語および類似の意味の語を含み得る。
本明細書において説明される1または複数の実施形態は、選択的なポリマグラフトおよび/または堆積ならびに誘導自己組織化(DSA)処理および/または選択的成長処理用の高密度化のための多機能分子に関する。実施形態は、誘導自己組織化、選択的成長または選択的な表面改質のうちの1または複数を含み得るか、または当該1または複数に関連し得る。1または複数の実施形態は、金属もしくは金属面(または代替的に誘電体面)上に選択的に堆積され、(1)ブロック共重合体(BCP)の誘導自己組織化のための誘導パターン、および/または、(2)誘電体(または金属/金属面)の選択的成長/堆積/浸潤のための遮断(またはシードもしくは鋳型)層として機能するポリマ材料に関する。
説明すると、金属面(もしくは、より一般的には、金属を含み、導電性である金属面)または代替的に誘電体面上のポリマ層の均一かつ密な被覆が、DSA処理および選択的成長処理/堆積処理/浸潤処理の両方について重要である。本明細書において説明される実施形態は、多機能分子を利用することによりポリマ密度を改善するための方法および材料設計を提供する。実施形態において、多機能分子が、金属面を覆うために用いられる。第1の官能基(官能基♯1)が、部位固有の堆積/グラフトを実現するために用いられる。第2の官能基(官能基♯2)が、結合(または架橋)反応を実現して、最初の選択的な堆積/グラフトの後にポリマ層を高密度化するために用いられる。実施形態において、高いポリマ密度(または表面被覆率)での選択的な表面改質により、DSA処理および選択的な堆積処理における欠陥の低減が可能になる。
例示的な比較例を提供するために、図1は、金属面上にポリマ材料またはポリマ層を形成する従来のアプローチの代表的な概略図である。図1を参照すると、基板100が、交互に設けられた層間誘電体(ILD)領域102および導電性領域104を含む。オペレーション(a)において、基板100は、ポリスチレン(PS)などのポリマ材料106で処理される。ポリマ材料106は、ILD領域102上への結合がほとんどない程度から全くない程度で、導電性領域104の表面上へ選択的に堆積/ドラフトされる。しかしながら、ポリマ材料106は、選択的な堆積/グラフト用に単一の官能基(X)のみを有するので、特定の用途では、導電性領域104の表面上でのポリマ材料106の十分な表面被覆率が実現され得ない。
図1に関連して説明された処理とは対照的に、本開示の実施形態によれば、多機能分子を用いることにより、端部が官能化された(例えば、図1に示されるように、ポリマ鎖の端部のうちの一方に単一の官能基を有する)ポリマに基づく部位固有のポリマ堆積(またはグラフト)では所望の用途で十分な表面被覆率を提供し得ない状況と比べて、表面被覆率および密度を改善できる。故に、多機能分子に基づくアプローチにより、効果的なDSA処理および選択的な堆積処理のために、表面被覆率および密度が改善され得る。
第1の態様において、図2は、(a)2つの機能部位(X)を有する多機能分子202、(b)3つの機能部位(X)を有する多機能分子204、(c)4つの機能部位(X)を有する多機能分子206、および、(d)5またはそれより多くの機能部位(X)を有する多機能分子208が示された、本開示の実施形態による多機能分子の概略図を含む。
図2の多機能分子により、分子当たりの金属面の選択的な官能基Xの数が増えることで、グラフト/堆積の効率が上がる。実施形態において、Xの例は、チオール基、ジスルフィド基、ホスホン酸基、ホスホネート基、ヒドロキシル基、ニトリル基、カルボン酸基、2−ビニルピリジン基または4ビニルピリジン基を含む。
別の態様において、図3は、(a)1つの機能部位(X)および1つの機能部位(Y)を有する多機能分子302、(b)1つの機能部位(X)および2つの機能部位(Y)を有する多機能分子304、(c)2つの機能部位(X)および2つの機能部位(Y)を有する多機能分子306、ならびに、(d)1つの機能部位(X)および4またはそれより多くの機能部位(Y)を有する多機能分子308が示された、本開示の実施形態によるヘテロ多機能分子の概略図を含む。図3の多機能分子は、第2の異なる官能基Yを含む。第2の異なる官能基Yは、最初の選択的なグラフト/堆積の後に、官能基Zとの結合反応を可能にするために導入され得る。
図4Aは、本開示の実施形態による、図3の多機能分子306との結合反応による鎖伸長/高密度化を示す概略図である。
図4Aを参照すると、オペレーション(a)において、多機能分子306が金属面402上へ選択的にグラフトまたは堆積されて、被覆面404が形成される。被覆面404は、比較的低い表面被覆率を有すると称され得る。オペレーション(b)において、被覆面404が、示されている単一の機能ポリマ材料などの第2のポリマ材料406で処理され、官能基Yと官能基Zとの間に結合反応が生じることで、第2の被覆面408が形成される。第2の被覆面408は、比較的高い表面被覆率を有すると称され得る。
実施形態において、結合(または架橋)反応を経ることができるYとZとの組み合わせの例は、チオール基およびアルキン基、チオール基およびアルケン基、アルキン基およびアジド基、チオール基および異なるチオール基、チオール基およびジスルフィド基、カルボキシル基およびアミン基(例えば、結合がEDC/NHS活性化によるものである場合)またはアントラセン基およびマレイミド基を含む。
YとZとの間の結合反応を複数回反復して、層毎の堆積によりポリマ密度をさらに上げ得ることが理解されるべきである。例として、図4Bは、本開示の実施形態による、多機能分子との複数回の結合反応による鎖伸長/高密度化を示す概略図である。
図4Bを参照すると、オペレーション(a)において、第1の多機能分子450が金属面452上へ選択的にグラフトまたは堆積されて、被覆面454が形成される。被覆面454は、比較的低い表面被覆率を有すると称され得る。オペレーション(b)において、被覆面454が、第2の材料456で処理され、官能基Yと官能基Zとの間に結合反応が生じることで、第2の被覆面458が形成される。第2の被覆面408は、比較的より高い表面被覆率を有すると称され得る。オペレーション(c)において、第2の被覆面458がやはり第1のポリマ材料450(または類似の材料)で処理され、官能基Zと官能基Yとの間に結合反応が生じることで、第3の被覆面460が形成される。第3の被覆面460は、比較的高い表面被覆率を有すると称され得る。
別の態様では、本明細書において説明される1または複数の実施形態は、セルフアライメントビアまたはコンタクトのために複数のトリブロック共重合体と共に多機能分子ブラシ層を用いることに関する。より先進的なブロック共重合体および誘導自己組織化戦略の使用を通じて、下層密な金属層に対するアライメントを実現できる。トリブロック共重合体材料は3つの相を有するので、「セルフアライメント」フォトバケットへの相分離を実現できる。本明細書において説明される実施形態は、コスト、スケーラビリティ、パターン配置、誤差および変動性を改善するために実装され得る。実施形態において、セルフアライメントトリブロック共重合体を用いてアライメント済みのフォトバケットを生成することが説明される。本明細書において説明される1または複数の実施形態は、オーバーレイ処理ウィンドウを最大化し、必要なパターンのサイズおよび形状を最小化し、かつ、穴(例えば、ビアもしくはコンタクト)またはプラグをパターニングするリソグラフィ処理の効率を上げることによって、より効率的なパターニングアプローチを提供する。
図5A、図5Bおよび図5Cは、本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す傾斜した断面図を示す。
図5Aを参照すると、半導体構造層500が、交互に設けられた金属線502および層間誘電体(ILD)線504の格子パターンを有する。構造500は、(i)第1の分子種506を用いた第1の分子ブラシオペレーションで処理され得る。実施形態において、第1の分子種506は、多機能分子であり、その例が、図2、図3、図4Aおよび図4Bに関連して説明される。この例では、示されるように、第1の分子種506は、2つの(X)機能または1つの(X)および1つの(Y)機能を含む。実施形態において、第1の分子種506は、ブラシ層510を金属線502上に形成するために用いられる。
構造500も(ii)第2の分子種508を用いた第2の分子ブラシオペレーションで処理され得て、ILD線504上にブラシ層512が形成される。実施形態において、第2の分子種508は、単一の(W)機能を有する単一の機能ポリマ基である。オペレーション(i)および(ii)の順序は、入れ替えられ得るか、または実質的に同時でさえも実行され得ることが理解されるべきである。
図5Bを参照すると、分子ブラシオペレーションは、交互に設けられた金属線502およびILD線504用に誘導体表面を変化させるかまたは提供するために実行され得る。例えば、金属線502の表面は、A/B表面を有するブラシ層510を金属線502上に有するように処理され得る。ILD線504の表面は、C表面を有するブラシ層512をILD線504上に有するように処理され得る。
図5Cを参照すると、図5Bの構造は、処理オペレーション(iii)にさらされる。処理オペレーション(iii)は、トリブロックブロック共重合体(トリブロックBCP)514の付着と可能な後続の分離処理とを伴い、分離構造520を形成する。分離構造520は、ILD線504よりも上の分離トリブロッククBCPの第1の領域522を含む。分離トリブロックBCPの交互に設けられた第2の領域524および第3の領域526は、金属線502よりも上にある。トリブロック共重合体514の3つのブロックの極限配置は、化学エピタキシに基づく。なぜなら、トリブロック共重合体514の集合体を方向付けて分離構造520を形成するのに、グラフォエピタキシにおいて用いられる下層パターン(同一平面パターンではない)のみが用いられるからである。
図5Cを再度参照すると、実施形態において、ブラシ層510および512は、図5Cに示されるように、トリブロックブロック共重合体(トリブロックBCP)514の付着中または後処理中に消費されるかまたは劣化し、そのような付着または後処理の後は、本質的にはもはや残らない。別の実施形態において、ブラシ層510のみが、トリブロックブロック共重合体(トリブロックBCP)514の付着中または後処理中に消費されるかまたは劣化し、そのような付着または後処理の後は、本質的にはもはや残らず、一方で、ブラシ層512は、本質的にその最初の形態で保持される。別の実施形態において、ブラシ層512のみが、トリブロックブロック共重合体(トリブロックBCP)514の付着中または後処理中に消費されるかまたは劣化し、そのような付着または後処理の後は、本質的にはもはや残らず、一方で、ブラシ層510は、本質的にその最初の形態で保持される。別の実施形態において、ブラシ層510およびブラシ層512の両方が、(トリブロックBCP)514の形成および分離の後も、本質的にその最初の形態で保持される。
実施形態において、トリブロック共重合体層514の第3の分離ブロックコンポーネント526は感光性である。実施形態において、トリブロック共重合体層514は、約5〜100ナノメートルの範囲の厚さに形成される。実施形態において、トリブロック共重合体層514は、ポリスチレンおよび他のポリビニルアレーン、ポリイソプレンおよび他のポリオレフィン、ポリメタクリル酸および他のポリエステル、ポリジメチルシロキサン(PDM)および関連するSiベースポリマ、ポリフェルセニルシラン、酸化ポリエチレン(PEO)ならびに関連するポリエーテルおよびポリビニルピリジンのうちの任意の3つから成る群から選択されるトリブロック共重合体種を含む。一実施形態において、図5Cに示されるように(かつ、図6Bに関連して以下で説明されるように)、交互に設けられた第2の分離ブロックコンポーネント524および第3の分離ブロックコンポーネント526は、約1:1という比を有する。別の実施形態において、図7Aに関連して以下で説明されるように、交互に設けられた第2の分離ブロックコンポーネント624および第3の分離ブロックコンポーネント626は、第2の分離ブロックコンポーネント624対第3の分離ブロックコンポーネント626がX:1という比を有し、Xは1よりも大きく、第3の分離ブロックコンポーネント626は、第2の分離ブロックコンポーネントに囲まれた柱状構造を有する。別の実施形態において、所望のモホロジを実現すべく、トリブロック共重合体層514は、A−B、B−CまたはA−CコンポーネントのA、Bおよび/またはCまたはジブロックBCPのホモポリマの混合物である。
実施形態において、次に、図5Cの構造520の第3の分離ブロックコンポーネント526の全ての部分が除去される。そのような一実施形態において、第3の分離ブロックコンポーネント526の全ての部分が除去されることにより、下層金属被覆よりも上に後で形成され得る全ての可能なビア位置が開く。当該開口はフォトレジスト層で充填され得て、最終的には、特定の設計のために必要とされるそれらのビア位置のみが選択されることが可能になる。別の実施形態において、図5Cの構造520の第3の分離ブロックコンポーネント526の選択部分のみが除去される。そのような一実施形態において、第3の分離ブロックコンポーネント526の選択部分のみが除去されることにより、特定の設計のために必要とされる下層金属被覆層よりも上のそれらのビア位置のみが露出される。
一般的に、トリブロック共重合体の各ブロックは異なる化学的性質を有し得ることが理解されるべきである。一例として、これらのブロックのうちの1つには、比較的より多くの疎水性(例えば、撥水性)があり得て、一方で、2つのブロックには、比較的より多くの親水性(吸水性)があり得る、またはその逆も同様である。少なくとも概念的に、これらのブロックのうちの1つは、比較的石油により類似し得て、他の2つのブロックは、比較的水により類似し得る、またはその逆も同様である。親水性と疎水性との差異またはその他を問わず、ポリマの異なるブロック間で化学的性質にそのような差異があることにより、ブロック共重合体分子は、自己組織化し得る。例えば、自己組織化は、ポリマブロックのミクロ相分離に基づき得る。概念的に、これは、一般的に非混和性である石油と水の相分離に類似し得る。
同様に、ポリマブロック間の親水性の差異によりほぼ類似のミクロ相分離が生じ、異なるポリマブロックが、互いに化学的に忌避することに起因して、互いに「分離」しようとする。しかしながら、実施形態において、ポリマブロックは、互いに共有結合しているので、巨視的なスケーリングでは完全に分離できない。むしろ、所与の種類のポリマブロックが、極めて小さい(例えば、ナノサイズの)領域または相において同じ種類の他の分子でできたポリマブロックと分離または集塊する傾向があり得る。一般的に、これらの領域またはミクロ相の特定のサイズおよび形状は、少なくとも部分的に、ポリマブロックの相対的な長さによって決まる。実施形態において、例として、図5C、図6Bおよび図7Aは、トリブロック共重合体の可能な組織化スキームを示す。
本明細書において説明される1または複数の実施形態は、下層金属を鋳型として使用することで導電ビアを構築するアプローチに関する。金属(「プラグ」と称される)間に非導電性の空間または遮断部を製造するために類似のアプローチが実装され得ることが理解されるべきである。ビアは、定義によれば、前の層の金属パターン上にランディングするように用いられる。このベイン内で、本明細書において説明される実施形態により、より強固なインターコネクト製造スキームが可能になる。なぜなら、リソグラフィ機器によるアライメントにもはや依存しないからである。そのようなインターコネクト製造スキームは、多数のアライメント/露出を省くために用いることができ、(例えば、ビアの抵抗を低減することにより)電気的接触改善するために用いることができ、従来のアプローチを用いてそのようなフィーチャをパターニングするために本来であれば必要とされる全体的な処理工程および処理時間を低減するために用いることができる。本明細書において説明される1または複数の実施形態は、可能なビア開口位置の全てを予め形成しておくことを伴う。次に、保持すべきビアを選択するために、追加の選択オペレーションが用いられる。そのようなオペレーションは、本明細書において「フォトバケット」を用いて示されるが、この選択処理は、より従来のレジスト露光およびILDバックフィルアプローチを用いることによっても実行され得る。
予め形成されたビア位置またはプラグ位置に開口を形成するために必要なパターンを比較的小さくなるように作ることができ、リソグラフィ処理のオーバーレイマージンを増やすのが可能になることが理解されるべきである。パターンフィーチャは、均一サイズで作られ得る。これにより、直接書き込み電子ビームのスキャン時間および/または光リソグラフィでの光近接効果補正(OPC)の複雑性を低減できる。パターンフィーチャは、浅くなるように作ることもできる。これにより、パターニングの分解能を改善できる。後で実行されるエッチング処理は、等方性の化学的に選択的なエッチングであってよい。そのようなエッチング処理により、本来であれば関連するプロファイルおよび限界寸法が軽減され、一般的にはドライエッチングアプローチに関連する異方性の問題が軽減される。また、そのようなエッチング処理は、他の選択的な除去アプローチと比較すると、機器およびスループットの観点から、相対的にはるかに安価である。
例示的な実施形態において、上記で簡単に述べたように、本明細書において説明されるアプローチは、例えばビアまたはプラグといった可能なフィーチャの全てが基板に再パターニングされる、いわゆる「フォトバケット」の使用に基づく。次に、パターニングされたフィーチャにフォトレジストが充填され、リソグラフィオペレーションが、ビア開口の形成用に選択ビアを選ぶためだけに用いられる。フォトバケットアプローチにより、対象となるビアまたはプラグを選ぶ能力を保持しつつ、大きい限界寸法(CD)および/またはオーバーレイの誤差が可能になる。特定のフォトバケットを選択するリソグラフィアプローチは、限定され得るものではないが、193nm液浸リソグラフィ(i193)、極紫外線(EUV)および/または電子ビーム直接書き込み(EBDW)リソグラフィを含み得る。実施形態は、フォトバケットの概念に限定されないが、ボトムアップアプローチおよび/または誘導自己組織化(DSA)アプローチを用いて製造される予め形成されたフィーチャを有する構造への広範囲に及ぶ用途があることも理解されるべきである。
以下では、セルフアライメントビアおよび金属パターニングの方法における様々なオペレーションを表す集積回路層の部分を説明する。特に、図6Aおよび6Bは、本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。
図6Aは、本開示の実施形態による、前の層金属被覆構造のオプションのa−a'軸に沿って切った平面図および対応する断面図を示す。平面図および対応する断面図のオプション(a)を参照すると、初期構造600が、金属線602および層間誘電体(ILD)線604のパターンを含む。初期構造600は、自己組織化材料が最終的に形成される場合における図6Aに示されるように、一定のピッチで離間して一定の幅を有する金属線で格子状パターンにパターニングされ得る。断面図(a)の場合、金属線602および層間誘電体(ILD)線604のパターンは、互いに同一平面上にある。これらの線のうちのいくつかは、断面図において例として示される線602'など、下層ビアに関連付けられ得る。
図6Aを再度参照すると、代替的なオプション(b)〜(f)では、金属線602および層間誘電体線604のうちの一方または両方の表面上に追加の膜が形成される(例えば、堆積され、成長し、または前のパターニング処理から残るアーティファクトとして放置される)状況に対処する。例(b)において、追加の膜606が層間誘電体線604上にある。例(c)において、追加の膜508が金属線602上にある。例(d)において、追加の膜606が層間誘電体線604上にあり、追加の膜608が金属線602上にある。さらに、金属線602および層間誘電体線604は、(a)では同一平面上に示されているが、他の実施形態では、同一平面上ではない。例えば、(e)において、金属線602は、層間誘電体線604よりも上へ突出している。例(f)において、金属線602は、層間誘電体線604よりも下に凹んでいる。
例(b)〜(d)を再度参照すると、追加の層(例えば、層606または608)をハードマスク(HM)または保護層として用いることができるか、または、後続の処理オペレーションに関連して以下で説明される自己組織化を可能にするために用いることができる。そのような追加の層は、ILD線をさらなる処理から保護するためにも用いられ得る。加えて、別の材料を金属線よりも上に選択的に堆積させることは、類似の理由で有益となり得る。例(e)および(f)を再度参照すると、いずれかまたは両方の表面上の保護材料/HM材料の任意の組み合わせでILD線または金属線のいずれかを凹ませることも可能であり得る。全体的に、この段階には、誘導自己組織化処理用に最終的に下層表面を準備するための多数のオプションが存在する。
実施形態において、本説明全体にわたって用いられるように、層間誘電体線604の材料などの層間誘電体(ILD)材料は、誘電体材料または絶縁材料の層から構成されるか、またはこの層を含む。適切な誘電体材料の例は、限定されるものではないが、シリコン酸化物(例えば、二酸化シリコン(SiO))、シリコンドーピング酸化物、フッ化シリコン酸化物、炭素ドーピングシリコン酸化物、当分野において知られる様々な低誘電率の誘電体材料およびそれらの組み合わせを含む。層間誘電体材料は、例えば、化学蒸着(CVD)、物理蒸着(PVD)または他の堆積方法などの従来技術により形成され得る。
実施形態において、本説明全体にわたっても用いられるように、金属線602の材料などのインターコネクト材料は、1または複数の金属導電性構造または他の導電性構造から構成される。一般的な例は、銅とその囲りのILD材料との間のバリア層を含んでもよく含まなくてもよい銅の線および構造の使用である。本明細書において用いられる場合、金属という用語は、複数の金属の合金、スタックおよび他の組み合わせを含む。例えば、金属インターコネクト線は、バリア層、異なる金属のスタックまたは合金等を含んでよい。インターコネクト線は、当分野において、場合によっては、配線、ワイヤ、線、金属または単にインターコネクトとも称され得る。以下でさらに説明されるように、下側インターコネクト線の上面は、セルフアライメントビアおよびプラグの形成のために用いられ得る。
実施形態において、本説明全体にわたっても用いられるように、層606または608などのハードマスク材料は、ハードマスクとして含まれる場合、層間誘電体材料とは異なる誘電体材料から構成される。一実施形態において、異なる成長またはエッチング選択比を互いに、および、下層誘電体および金属層に提供すべく、異なるハードマスク材料が異なる領域で用いられ得る。いくつかの実施形態において、ハードマスク層は、シリコン窒化物層(例えば、窒化シリコン)もしくはシリコン酸化物層、またはその両方、またはこれらの組み合わせを含む。他の適切な材料は、炭素ベース材料を含み得る。別の実施形態において、ハードマスク材料は、金属種を含む。例えば、ハードマスクまたは他の上層材料は、チタン窒化物または別の金属(例えば、窒化チタン)の層を含み得る。潜在的に、より少ない量の酸素などの他の材料が、これらの層の1または複数に含まれ得る。あるいは、当分野において知られている他のハードマスク層が、特定の実装に応じて用いられ得る。ハードマスク層は、CVD、PVDまたは他の堆積方法により形成され得る。
図6Aに関連して説明された層および材料は一般的に、集積回路の下層デバイス層などの下層半導体基板または構造上、またはより上に形成されることが理解されるべきである。実施形態において、下層半導体基板は、集積回路を製造するために用いられる一般的な加工対象物を表す。半導体基板は、多くの場合、シリコンまたは別の半導体材料のウェハまたは他の部品を含む。適切な半導体基板は、限定されるものではないが、単結晶シリコン、多結晶シリコンおよびシリコンオンインシュレータ(SOI)ならびに他の半導体材料で形成された類似の基板を含む。半導体基板は、製造段階に応じて、多くの場合、トランジスタ、集積回路等を含む。基板は、半導体材料、金属、誘電体、ドーパントおよび半導体基板において一般的に見られる他の材料も含み得る。さらに、図5Aに示される構造は、より低いレベルの下層インターコネクト層上に製造され得る。
上述のように、パターニングされたフィーチャは、一定のピッチで離間して一定の幅を有する線、穴またはトレンチで格子状パターンにパターニングされ得る。パターンは、例えば、ピッチ2分割アプローチまたはピッチ4分割アプローチにより製造され得る。例において、ブランケット膜が、例えばスペーサベース四重パターニング(SBQP)またはピッチ4分割を伴い得るリソグラフィおよびエッチング処理を用いてパターニングされる。193nm液浸リソ(i193)、EUVおよび/またはEBDWリソグラフィ、誘導自己組織化等を含む多数の方法により線の格子パターンが製造され得ることが理解されるべきである。
図6Bを参照すると、図6Aの構造(例えば、平面図および断面図の構造(a))上に、ブラシ層が形成され、次に、トリブロック共重合体層620が形成される。トリブロック共重合体層620は、ILD線604よりも上に形成される領域622を有するように、かつ、金属線602よりも上に形成される交互に設けられた第2の領域624および第3の領域626を有するように分離されている。
図6Bのb−b'軸に沿った断面図を参照すると、第3の領域626は金属線602よりも上に示され、第1の領域622はILD線604よりも上に示される。一実施形態によれば、第1の領域622とILD線604との間に、分子ブラシ層の残部であってよい層630も示される。しかしながら、層630は、トリブロック共重合体層620の後処理の後には存在しなくてもよいことが理解されるべきである。一実施形態によれば、第3の領域626は、金属線602上に直接形成されているように示される。しかしながら、多機能分子ブラシ層の残部は、第3の領域626と金属線602との間にあってよいことが理解されるべきである。
図6Bのc−c'軸に沿った断面図を参照すると、第2の領域624は金属線602よりも上に示され、第1の領域622はILD線604よりも上に示される。一実施形態によれば、第1の領域622とILD線604との間に、分子ブラシ層の残部であってよい層630も示される。しかしながら、層630はこの段階ではもはや存在していなくてよいことが理解されるべきである。一実施形態によれば、第2の領域624と金属線602との間に、多機能分子ブラシ層の残部であってよい層632も示される。しかしながら、層632はこの段階ではもはや存在していなくてよいことが理解されるべきである。領域626は、感光性のものとして形成され得るか、または感光性材料により置き換えられ得ることも理解されるべきである。
実施形態において、図6Bを再度参照すると、トリブロック共重合体層620でコーティングされた構造の厚さは、その位置に最終的に形成されるILDの極限厚さと概ね同じであるか、またはこの極限厚さよりもわずかに厚い。実施形態において、以下でより詳細に説明されるように、ポリマグリッドは、エッチングレジストとしではなく、むしろ、その周囲の恒久的なILD層を最終的に成長させるための足場材料として形成される。そのように、トリブロック共重合体層620でコーティングされた構造の厚さは重要であり得る。なぜなら、この厚さは、後で形成される恒久的なILD層の極限厚さを画定するために用いられ得るからである。すなわち、一実施形態において、図6Bに示されるポリマ格子は、最終的に、ほぼ同じ厚さのILD/金属線格子で置き換えられる。
金属線上に形成されるトリブロック共重合体構造の2つのコンポーネントは、1:1に比(図5Cおよび図6Bに示された1:1の比)を有する必要がないことが理解されるべきである。例えば、第3の分離ブロックコンポーネントは、第2のコンポーネントよりも少ない量で存在してよく、第2の分離ブロックコンポーネントに囲まれた柱状構造を有してよい。図7Aから図7Dは、本開示の実施形態による、バックエンドオブライン(BEOL)インターコネクト用のセルフアライメントビアまたはコンタクトを形成するために多機能分子ブラシ層の後にトリブロック共重合体を用いる方法における様々なオペレーションを表す平面図および対応する断面図を示す。
図7Aを参照すると、d−d'軸に沿って切った平面図および対応する断面図が、第2のコンポーネント624よりも少ない量の第3のコンポーネント626を示す。第3の分離ブロックコンポーネント626は、第2の分離ブロックコンポーネント624に囲まれた柱状構造を有する。
図7Bを参照すると、平面図は、上側金属被覆構造のビア位置を最終的に提供するために第3の分離ブロックコンポーネント726のうちの特定のもの752のリソグラフィ750による選択が実行されていることを示す。
図7Aは事実上、露光されていない感光性誘導自己組織化(DSA)構造を示し、一方で、図7Bは、露光された感光性DSA構造を示すことが理解されるべきである。図6Bとは対照的に、図7Aおよび図7Bは、多くのブロック共重合体分子が、別のポリマの長い方のブロックを有する相に囲まれた柱状構造を形成するポリマのうちの1つの短い方のブロックとアライメントしている場合に形成され得る柱状構造の例を示す。本開示の実施形態によれば、DSA構造の感光特性により、1種類のDSAポリマ領域を例えば電子ビームまたはEUVによる露光で事実上「プラグ」または「切断」する能力が提供される。
図7Cを参照すると、平面図が、露光ゾーン内の露光された/化学的に増幅された領域754を示す。選択比により、唯一のアクティブな修正は、第3の分離ブロックコンポーネント626の露光された部分の材料についてのものである。図7Cでは既にクリアされているものとして示されているが、選択領域はまだクリアされていなくてもよいことが理解されるべきである。
図7Dを参照すると、e−e'軸に沿って切られた平面図および対応する断面図が、クリアされた領域754を提供するためのリソグラフィ後の現像を示す。クリアされた領域754は、ビアの形成のために最終的に用いられ得る。
上述の図7D(または図5Cもしくは図6B)の得られたパターニング済みのDSA構造は、恒久的な層が最終的に形成される足場材料として最終的に用いられ得る。すなわち、これは、いずれのDSA材料も最終構造内に存在しないが、むしろ、仕上げられたインターコネクト構造の製造を管理するために用いられる場合であってよい。そのような一実施形態において、恒久的なILDにより、DSA材料の1または複数の領域が置き換えられ、後処理(金属線の製造など)が完了する。すなわち、最終的なセルフアライメントビアおよびプラグの形成のために全てのDSAコンポーネントが最終的に除去されることが可能である。
図5Aから図5C、図6Aおよび図6Bならびに図7Aから図7Dを再度参照すると、実施形態において、第3の分離ブロックコンポーネントの選択位置を照射および現像してビア開口を提供した後に、得られたパターニング済みのトリブロック共重合体層は、下層レベルの交互に設けられた金属線および誘電体線よりも上に、下層レベルの交互に設けられた金属線および誘電体線と結合された、かつ、下層レベルの交互に設けられた金属線および誘電体線と直交する第2のレベルの交互に設けられた金属線および誘電体線を形成するための足場材料として用いられる。一実施形態において、トリブロック共重合体層の1または複数のコンポーネントが最終構造内に保持される。例えば、最終構造は、トリブロック共重合体の第1のセグメント、第2のセグメントおよび第3のセグメントを含み得る。一実施形態において、トリブロック共重合体の第1のセグメント、第2のセグメントおよび第3のセグメントのうちの1または複数は、多機能分子から形成されるブラシ層などのブラシ層上に残る。一実施形態において、トリブロック共重合体の第1のセグメント、第2のセグメントおよび第3のセグメントのうちの1つの領域が、導電ビア構造で置き換えられる。
しかしながら、他の実施形態において、トリブロック共重合体層の全てのコンポーネントは、いずれの材料も最終生成物内に保持されないという意味で、最終的に犠牲になる。後者の実施形態の実装の例示的な実施形態が、図8に関連して以下で説明される。図8は、最終的に得られ得る最終構造の例としてのみ提供されるものであり、本開示の実施形態による、金属線、ビアおよびプラグの形成の後のセルフアライメントビア構造の平面図および対応する断面図を示す。
図8の軸f−f'およびg−g'のそれぞれに沿って切られた平面図ならびに対応する断面図(a)および(b)を参照すると、より高いレベルの金属線802が誘電体フレームワーク内に(例えば、あ誘電体層804上に誘電体線814と隣接して)設けられる。金属線802は、予め定められたビア位置を通じて下層金属線802と結合され(806の例が断面図(a)に示される)、プラグ(その例はプラグ808および810を含む)により分離されている。下層線602および604は、図6Aに関連して上述のように、金属線802に直交する方向に形成され得る。後の製造オペレーションにおいて、誘電体線814は、得られた金属線802間に空隙を設けるために除去され得ることが理解されるべきである。
図8に関連して説明されるものなど、得られた構造が、金属線/ビアおよびILD層を後で形成するための基礎として後に用いられ得る。あるいは、図8の構造は、集積回路内の最終的な金属インターコネクト層を表し得る。上記処理工程は、代替的な順序で実施され得ること、全てのオペレーションが実行される必要があるわけではないこと、および/または追加の処理工程が実行され得ることが理解されるべきである。いずれの場合も、得られた構造により、下層金属線上で直接中央に配置されるビアの製造が可能になる。すなわち、ビアは、例えば、不完全である選択的なエッチング処理に起因して、下層金属線よりも広くてもよく、下層金属線よりも狭くてもよく、下層金属線と同じ厚さでもよい。それにもかかわらず、実施形態において、ビアの中心は、金属線の中心と直接アライメントされて(合致して)いる。そのように、実施形態において、本来であれば許容される必要がある従来のリソグラフィ/デュアルダマシンパターニングに起因するオフセットは、本明細書において説明される得られた構造の要因ではない。上記の例ではビア/コンタクトの形成に重点が置かれていることが理解されるべきである。しかしながら、他の実施形態において、金属線層内に線端終結部(プラグ)用の領域を保護または形成するために、類似のアプローチが用いられ得る。
別の態様では、本明細書において説明される1または複数の実施形態は、導電ビアの製造または他の導電性構造の製造のための選択的なハードマスクアプローチに関する。選択的なハードマスク製造は、誘導自己組織化(DSA)または選択的成長により実現され得る。そのような選択的なハードマスクが処理スキームに実装され得ることで、セルフアライメントインターコネクトの製造が可能になる。実施形態は、分化した分子領域の利用、分化または「着色」されたハードマスクの利用、誘導自己組織化の利用、選択的な堆積の利用、セルフアライメントの利用または密なピッチでのインターコネクトのパターニングの利用のうちの1または複数に対処するか、またはそのような1または複数を実装し得る。実施形態は、選択的な堆積を通じた「着色」でのセルフアライメントと、例えば10nm以下の技術ノードのための後続の誘導自己組織化とにより、改善されたビア短絡マージンを提供するために実装され得る。一実施形態において、分化された分子領域が、パターン複製ベースのビアのセルフアライメントのために実装される。
選択的な表面改質が実行されてよく、選択的なハードマスクを設けるために、ブラシ層がハードマスク層に変換されてよい。例として、図9Aから図9Dは、本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。
図9Aを参照すると、初期構造900が、交互に設けられた導電線など、基板902よりも上に形成される層間誘電体(ILD)層に形成された複数の交互に設けられた導電性フィーチャ906および908を含む。複数の交互に設けられた導電性フィーチャ906および908の導電性フィーチャ906は、導電性充填材料または導電性キャップなどの導電性材料の露出面を有する。複数の交互に設けられた導電性フィーチャ906および908の導電性フィーチャ908は、誘電体キャッピング層などのキャッピング層910を有する。一実施形態において、キャッピング層910の界面化学は、複数の交互に設けられた導電性フィーチャ906および908のうちの導電性フィーチャ906の導電性材料の露出面の界面化学と比べて、ILD層904とより類似しているか、または同じである。実施形態において、複数の交互に設けられた導電性フィーチャ906および908は、単一ピッチ格子である。別の実施形態において、複数の交互に設けられた導電性フィーチャ906および908は、多ピッチ格子である。
図9Bを参照すると、第1のブラシ層912が、図9Aの初期構造900の表面上に形成される。実施形態において、示されるように、第1のブラシ層912は、複数の交互に設けられた導電性フィーチャ906および908のうちの導電性フィーチャ906の導電性材料の露出(金属含有)面よりも上に選択的にグラフトされる。一実施形態において、第1のブラシ層912は、図2、図3、図4Aおよび図4Bに関連して上述されたものなど、多機能分子である。実施形態において、図9Bの層912は、化学ブラシ処理により形成される。別の実施形態において、図9Bの層912は、化学ブラシ処理の代わりに、または化学ブラシ処理との組み合わせで、誘導自己組織化(DSA)処理または選択的成長処理により形成される。
図9Bに示される実施形態において示されるように、ブラシ層912は、導電性フィーチャ906の導電性材料の露出面に選択的に付着させられ得るが、アライメントは完全でなくてよく、第1のブラシ層912の伸長部分914は、ILD層904の部分よりも上に形成され得ることが理解されるべきである。図9Bに示されるものの代替的な実施形態において、第1のブラシ層は、交互に設けられた複数の導電性フィーチャ906および908のうちの導電性フィーチャ908のキャッピング層910よりも上に、場合によってはILD層904の表面よりも上に、選択的にグラフトされ、導電性フィーチャ906の導電性材料の表面が、露光されたとおりに残る。実施形態において、一旦ブラシ層912が実装されると、特定の位置(例えば、金属の位置またはILD表面の位置のいずれか)よりも上で、ブラシ層912がハードマスク前駆体用の足場材料として用いられる。
図9Cを参照すると、任意に、第2のブラシ層916が図9Bの構造の表面上に形成される。実施形態において、第2のブラシ層912は、複数の交互に設けられた導電性フィーチャ906および908のうちの導電性フィーチャ908の露出されたキャッピング層910よりも上、かつ、ILD層904の表面よりも上に、選択的にグラフトされる。一実施形態において、第2のブラシ層は、単一の機能のみを有する。
図9Dを参照すると、第1のブラシ層912は、ハードマスク層918に変換される。実施形態において、ハードマスク層918は、ILD層904およびキャッピング層910のエッチング特性とは異なるエッチング特性を有する。図11Aから図11Fに関連して以下で説明されるように、そのような特異なエッチング特性は、セルフアライメント導電ビア構造を製造するために利用され得る。図9Dに示される実施形態において示されるように、ブラシ層912が完全なアライメントなしに付着させられた場合、ハードマスク層918の伸長部分920は、ILD層904の部分よりも上に形成され得ることが理解されるべきである。
図9Dを再度参照すると、図9Cに関連して説明されたように第2のブラシ層916が形成された場合、実施形態において、そのようなブラシ層916は、第1のブラシ層912をハードマスク層918に変換した後に除去される。
ブラシ層の金属の選択的な表面改質および形成、前駆体の浸潤、ブラシ層のアッシングならびにハードマスクの最終的な製造をもたらすべく、処理が実装され得る。例として、図10Aから図10Cは、本開示の実施形態による、多機能分子を用いた選択的なハードマスク形成を伴う方法における様々なオペレーションを表す導電性フィーチャの部分の断面図を示す。
図10Aを参照すると、図9Aに関連して説明されたように基板よりも上に形成され得るILD層904に導電性構造906が設けられる。図9Bに関連して上述された層912(ブラシ層であってよい)などの分子層1000が、導電性構造906の表面上に形成される。
実施形態において、分子層は、選択的なグラフト、DSA(スピンオンDSAなど)または選択的成長により、導電性構造906の表面上に形成される。一実施形態において、分子層1000は、細孔またはスペーシングが分子層1000全体に形成されているという意味で、多孔質であるか、または密度が低い。特定の実施形態において、細孔またはスペーシングは、例えば、分子層1000の体積の40〜60%を占める。別の特定の実施形態において、細孔またはスペーシングは、例えば、分子層1000の体積の50%よりも多くを占める。
図10Bを参照すると、分子層1000の細孔またはスペーシングは、ハードマスク前駆体1002で充填される。実施形態において、分子層1000の細孔またはスペーシングをハードマスク前駆体1002で充填する処理は、分子層1000の前駆体の浸潤と称される。一実施形態において、ハードマスク前駆体1002の分子が気相における分子層1000へ供給される。別の実施形態において、ハードマスク前駆体1002の分子が液相における分子層1000へ供給される。
特定の実施形態において、分子層1000の細孔またはスペーシングは、原子層堆積(ALD)処理を用いて、ハードマスク前駆体1002で充填される。そのような一実施形態において、分子層1000の細孔またはスペーシングを充填するために、低速浸透ALD処理が用いられる。他の実施形態において、分子層1000の細孔またはスペーシングは、スピンオン処理を用いて充填されたハードマスク前駆体1002で充填される。いずれの場合にも、実施形態において、分子層1000のブラシまたはDSAもしくは選択的成長という上述の2つのオペレーション処理の後に細孔またはスペーシングの充填を用いることにより、得られた充填済みの材料の化学的な安定性が実現され得る。
実施形態において、分子層1000の細孔またはスペーシングは、金属含有物質で充填される。そのような一実施形態において、金属含有物質は、限定されるものではないが、窒化チタン(TiN)、バナジウム窒化物(VN)または窒化タンタル(TaN)などの金属窒化物または金属窒化物の前駆体である。別のそのような実施形態において、金属含有物質は、限定されるものではないが、酸化スカンジウム(Sc)、酸化イットリウム(Y)、酸化タンタル(Ta)、酸化チタン(TiO)、酸化ジルコニウム(ZrO)、酸化アルミニウム(Al)または酸化ハフニウム(HfO)などの金属酸化物または金属酸化物の前駆体である。他の実施形態において、炭化ホウ素、窒化ホウ素、窒化シリコン、酸化シリコン、二酸化シリコンまたは炭化シリコンなどの非金属含有物質または非金属含有物質の前駆体が、分子層1000の細孔またはスペーシングを充填するために用いられる。
図10Cを参照すると、ハードマスク前駆体1002で充填された細孔またはスペーシングを有する分子層1000を含む図10Bの構造は、図9Dに関連して説明されたハードマスク層918などのハードマスク層918に変換される。実施形態において、ハードマスク層918は、ILD層9104のエッチング特性とは異なるエッチング特性を有する。実施形態において、ハードマスク層918は、後続のエッチング処理中に導電性フィーチャ9106を保護するのに適した安定性を有する。図11Aから図11Fに関連して以下で説明されるように、そのような特異なエッチング特性または材料安定特性は、セルフアライメント導電ビア構造を製造するために利用され得る。
実施形態において、ハードマスク前駆体1002で充填された細孔またはスペーシングを有する分子層1000は、アッシング処理を用いてハードマスク層918に変換される。一実施形態において、アッシング処理により、分子層1020のポリマベースおよび/または炭素ベースの材料の全部または実質的に全部が除去され、分子層1000の材料の全部ではない場合にはほとんどが本質的に除去される。特定の実施形態において、分子層1000のアッシング、故に除去により、ハードマスク前駆体1002をハードマスク層918の材料に変換することが可能になる。別の特定の実施形態において、分子層1000のアッシング、故に除去により、ハードマスク前駆体1002を凝固させてハードマスク層918を形成することが可能になる。
実施形態において、アッシング処理は、プラズマアッシング処理である。実施形態において、図10A、図10Bおよび図10Cのオペレーションは、順に1回実行され、ハードマスク918が形成される。別の実施形態において、図10A、図10Bおよび図10Cのオペレーションは、周期的に反復され、選択された厚さのハードマスク918が形成される。別の実施形態において、図10Bおよび図10Cのオペレーションは、周期的に反復され、選択され厚さのハードマスク918が形成される。
導電ビアを形成するために例示的なアプローチにおいて、図11Aから図11Fは、本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法における様々なオペレーションを表す集積回路層の部分の断面図を示す。
図11Aを参照すると、新しい金属被覆層を製造するための始点として、初期構造1100が設けられる。初期構造1100は、基板1102よりも上の層間誘電体(ILD)層1104を含む。以下で説明されるように、ILD層1104は、基板102よりも上に形成された下層金属被覆層よりも上にあってよい。トレンチがILD層1104に形成され、1または複数の導電層で充填されて、導電線1106(および、いくつか場合には、対応する導電ビア1108)が設けられる。実施形態において、導電線1106のトレンチは、ピッチ分割パターニング処理フローを用いて、ILD層1104に形成される。以下で説明される以下の処理工程は、最初にピッチ分割を伴ってもよく、伴わなくてもよいことが理解されるべきである。いずれの場合にもであるが、特に、ピッチ分割も用いられる場合には、実施形態により、最先端のリソグラフィ機器の分解能を超えて金属層のピッチの継続的なスケーリングが可能になり得る。実施形態において、複数の導電線1106は、単一ピッチ格子である。別の実施形態において、複数の導電線1106は、多ピッチ格子である。
実施形態において、導電線1106は、同時に全てが製造され、同じ1または複数の材料から製造される。しかしながら、別の実施形態において、初期構造1100は、ハードマスクおよびILD層をパターニングし、次に、金属トレンチ(例えば、これらのトレンチのうちの交互に設けられたもの)の半分を金属被覆し、もう半分に対して後続の金属被覆処理が実行されるまでもう半分を開いたままにすることにより製造される。そのようなアプローチにより、交互に設けられた線が異なる組成を有することが可能になる。例えば、一実施形態において、金属被覆層は、交互に設けられた異なる第1の組成および第2の組成の導電性インターコネクトを最終的に含む。
図11Bを参照すると、複数の導電線1106のうちの交互に設けられたものがILD層1104の上面よりも下に凹まされ、凹んだ導電線1110よりも上に、凹領域1112を有する凹んだ導電線1110が設けられる。次に、実施形態において、この段階では、凹んでいない導電線1106の残りは、凹んだ導電線1110と交互になっている。実施形態において、硫酸および過酸化水素に基づくウェットエッチング処理などの選択的なウェットエッチング処理を用いて凹んだ導電線1110を形成するために、導電線1106のうちの交互に設けられたものが凹まされる。別の実施形態において、選択的なドライエッチング 処理またはプラズマエッチング処理を用いて導電線1106のうちの交互に設けられたものが凹まされることで、凹んだ導電線1110が形成される。
実施形態において、凹んでいない導電線1106および凹んだ導電線1110は、同じ全組成を有し、凹んだ導電線1110は、凹んでいない導電線1106が残ることになる領域をマスキングし、かつ、非マスク領域をエッチングして凹んだ導電線1110を形成することにより、形成される。別の実施形態において、凹んでいない導電線1106および凹んだ導電線1110は、異なる全組成を有し、凹んだ導電線1110は、凹んでいない導電線1106が残ることになる領域をマスキングし、かつ、非マスク領域をエッチングして凹んだ導電線1110を形成することにより、形成される。別の実施形態において、凹んでいない導電線1106および凹んだ導電線1110は、異なる全組成を有し、凹んだ導電線1110は、凹んだ導電線1110の材料のみをエッチングするエッチングを用いることにより形成される。
図11Cを参照すると、キャッピング層1114が、凹んだ導電線1110よりも上の凹領域1112に形成される。実施形態において、キャッピング層1114は、ILD層1104の表面と比較して、導電線1106の材料が有するよりも類似した表面特性を有する材料から構成される。実施形態において、導電線1106は、窒化チタン製または窒化タンタル製のバリアライナ内に銅充填材料を含み、キャッピング層1114は、酸化シリコン材料、窒化シリコン材料、炭化シリコン材料などの誘電体材料、または高誘電率の誘電体層などの非導電性金属酸化物層から構成される。実施形態において、キャッピング層1114は、化学蒸着(CVD)処理もしくは原子層堆積(ALD)処理またはスピンオン処理を用いて形成される。いずれの場合も、実施形態において、図11Cに示されるように、堆積の後に、キャッピング層1114の材料は、例えば化学機械ポリッシング(CMP)を用いて平坦化され、ILD層1104の最上面と実質的に同一平面上のキャッピング層1114が結果的に得られる。実施形態において、キャッピング層1114は、限定されるものではないが、酸化シリコン、炭素ドープシリコン、窒化シリコンまたは酸窒化シリコンなどの材料を含む。
後続の処理段階において凹んだ導電線1110の金属を保護することの他に、キャッピング層1114の材料は、図9Aから図9Dおよび図10Aから図10Cに関連して上述された処理においてなど、ブラシ材料の選択的な堆積の補助もし得ることが理解されるべきである。実施形態において、キャッピング層1114は、パターンの複製を容易にするのに加えて、従来のエッチングストップ層の代わりに、処理中の気密性と信頼性上の利点とを提供する。
図11Dを参照すると、ハードマスク層1118が図11Cの構造よりも上に形成される。ハードマスク層1118は、実施形態において、第1の導電線1106上に、第1の導電線1106とアライメントするように形成される。しかしながら、特定の実施形態において、このアライメントは完全ではなく、伸長部分1120がハードマスク層1118に含まれる。伸長部分1118は、ILD層1104の表面の部分を覆う。実施形態において、ハードマスク層1116は、あるアプローチを用いて形成され、ハードマスク層918に関する実施形態において上述された材料から構成される。
実施形態において、ハードマスク層1118は、ILD層1104およびキャッピング層1114のエッチング特性とは異なるエッチング特性を有する。特定の実施形態において、ハードマスク層1118は、アルミナから構成され、キャッピング誘電体は、酸化シリコン、炭素ドープシリコン、窒化シリコンおよび酸窒化シリコンから成る群から選択される。
以下でより詳細に説明されるように、実施形態において、図11Dの得られた構造により、図11Dの構造上にビア層を後で製造する場合、ビア短絡マージンを改善することが可能になる。一実施形態において、構造を選択的なハードマスクで製造することにより間違った金属線のビア短絡のリスクが低減するので、改善された短絡マージンが実現される。一実施形態において、選択的なハードマスクが導電線1106とセルフアライメントしているので、セルフアライメントが実現される。
図11Eを参照すると、第2の層間誘電体(ILD)層1122が図11Dの構造よりも上に形成される。開口1124が第2のILD層1122に形成される。実施形態において、開口1124は、次のレベルの金属被覆層用の導電ビアの製造のために選択される位置に形成される。従来のビア位置の選択とは対照的に、開口1124は、一実施形態において、導電ビアが最終的に形成される対応する導電線(選択された導電線1110など)の幅と比較して、相対的に緩和された幅(W)を有し得る。相対的により広いビア開口1124のそのような収容により、開口1124を形成するために用いられるリソグラフィ処理に対する制約を緩和できる。加えて、ミスアライメントの許容誤差も上がり得る。
実施形態において、凹んだ導電線1110の導電面を露出させる開口1125を形成すべく、選択された凹んだ導電線1110上のキャッピング層1114は除去される。そのような一実施形態において、ハードマスク層1118の部分が開口1124により露出される場合でさえ、キャッピング層1114のエッチング特性は、開口1124の形成中および/または選択された凹んだ線1110からのキャッピング層1114の除去中にハードマスク1118が腐食するかまたは無視できる程度にのみ腐食して開口1125を形成することがないように、ハードマスク1118のエッチング特性とは十分異なる。特定の実施形態において、ハードマスク層1118は、開口1124の形成中および/または選択された凹んだ線1110からキャッピング層1114を除去することによる開口1125の形成中に、導電線1106を保護し、および/または導電線1106の露出を阻止する。実施形態において、選択された凹んだ線1110からキャッピング層1114を除去することによる開口1125の形成は、選択的なウェットエッチング処理を用いて実行される。別の実施形態において、選択された凹んだ線1110からキャッピング層1114を除去することによる開口1125の形成は、選択的なドライエッチング処理またはプラズマエッチング処理を用いて実行される。
図11Fは、次の層のビアが製造された後の図11Eの構造を示す。選択された凹んだ導電線1110に導電ビア1128が電気的に接続されるように、導電ビア1128が開口1124および開口1125に形成される。実施形態において、導電ビア1128は、隣接するかまたは隣り合う導電線1106のうちの1つへの短絡なく、選択された凹んだ導電線1110に電気的に接触する。特定の実施形態において、図11Fに示されるように、導電ビア1128の部分が、ハードマスク層1118の1または複数の露光された部分上にある。そのような一実施形態において、ハードマスク層1118は、隣接するかまたは隣り合う導電線1106との導電ビア1128の電気接続を阻止する。実施形態において、次に、改善された短絡マージンが実現される。
図11Fを再度参照すると、例示的な実施形態において、集積回路構造が、基板1102よりも上の層間誘電体(ILD)層1104に複数の導電線1106/1110を含む。複数の導電線1106/1110は、交互に設けられた凹んでいない導電線1106および凹んだ導電線1110を含む。凹んでいない導電線1106は、ILD層1104と実質的に同一平面にあり、凹んだ導電線1110は、ILD層1104の最上面に対して凹んでいる。誘電体キャッピング層1114は、凹んだ導電線1110よりも上の凹領域にある。ハードマスク層1118は、凹んでいない導電線1106よりも上にあるが、凹んだ導電線1110の誘電体キャッピング層1114よりも上にはない。ハードマスク層1118は、誘電体キャッピング層1114とは組成が異なる。導電ビア1128は、誘電体キャッピング層1114の開口(1125)内かつ凹んだ導電線1110のうちの1つ上にある。導電ビア1128の部分がハードマスク層1118の部分上にある。
実施形態において、導電ビア1128は、図11Fに示されるように、凹んだ導電線1110のうちの1つに隣接する凹んでいない導電線1106のうちの1つよりも上のハードマスク層1118の部分1121よりも上にある。破線は、ハードマスク層1118の部分1121よりも上への導電ビア1128の伸長を表す。実施形態において、図11Fに示されるように、ハードマスク層1118は、凹んでいない導電線1106のうちの1つと凹んだ導電線1110のうちの1つとの間にILD層304の部分よりも上の伸長部分1120を含む。そのような一実施形態において、図11Fに示されるように、導電ビア1128は、ILD層1104の当該部分よりも上のハードマスク層1118の伸長部分1120よりも上にある。特定のそのような実施形態において、図11Fに示されるように、導電ビア1128はさらに、凹んでいない導電線1106のうちの1つよりも上のハードマスク層1118の部分1121よりも上にある。破線は、ハードマスク層1118の部分1121よりも上への導電ビア1128の伸長を表す。
実施形態において、図11Fに示されるように、誘電体キャッピング1114層は、ILD層1104の最上面と実質的に同一平面上にある最上面を有する。実施形態において、図11Fに示されるように、第2のILD層1122が、ハードマスク層よりも上に含まれ、導電ビア1128はさらに、第2のILD層1122の開口内にある。そのような一実施形態において、図11Fに示されるように、第2のILD層1122の開口(1124)は、誘電体キャッピング層1114における開口(1125)の幅よりも大きい幅を有する。実施形態において、図11Fに示されるように、複数の導電線1106/1110のうちの1つは、下層導電ビア構造1108に結合されている。そのような一実施形態において、下層導電ビア1108構造は、集積回路構造の下層金属被覆層(不図示)に接続されている。
実施形態において、ハードマスク層1118は、酸化アルミニウムを含み、誘電体キャッピング層1114は、炭化ホウ素、窒化ホウ素、酸化シリコン、炭素ドープシリコン、窒化シリコンおよび酸窒化シリコンから成る群から選択される材料を含む。実施形態において、凹んでいない導電線1106の全組成は、凹んだ導電線1110の全組成とは異なる。別の実施形態において、凹んでいない導電線1106の全組成は、凹んだ導電線1110の全組成と同じである。
図11Fに関連して説明されるものなど、得られた構造が、金属線/ビアおよびILD層を後で形成するための基礎として後に用いられ得る。あるいは、図11Fの構造は、集積回路内の最終的な金属インターコネクト層を表し得る。上記処理工程は、代替的な順序で実施され得ること、全てのオペレーションが実行される必要があるわけではないこと、および/または追加の処理工程が実行され得ることが理解されるべきである。
図12は、本開示の実施形態による、多機能分子を用いた選択的なハードマスクの後にバックエンドオブライン(BEOL)インターコネクトの製造用のセルフアライメント導電ビアの形成を伴う方法におけるオペレーションを表す集積回路層の部分の平面図を示す。
図12を参照すると、第2のILD層1122よりも下の、第2のILD層1122により覆われたフィーチャが、破線が付された外周部を有するフィーチャとして示される。平面視点からの、開口1124により露出されたフィーチャが、実線が付された外周部で示される。図12において、一実施形態によれば、ハードマスク層1118、誘電体キャッピング層1114、開口1124、開口1125、およびILD層1104の表面の位置が示される。この図において見られるように、一実施形態によれば、開口1124、および故に、開口1125により、下層の凹んだ線1110の全体は露出されないが、むしろ、ビアの形成が生じることになる線1110の部分のみが露出される。図12は、(例えば、図11A〜図11Fからの)複数の導電線1106/1110がバックエンドオブライン(BEOL)金属被覆層の同じ方向に沿って形成される実施形態を表すものであることがさらに理解されるべきである。
本明細書において開示される実施形態は、多種多様な異なる種類の集積回路および/またはマイクロエレクトロニクスデバイスを製造するために用いられ得る。そのような集積回路の例は、限定されるものではないが、プロセッサ、チップセットコンポーネント、グラフィクプロセッサ、デジタル信号プロセッサ、マイクロコントローラ等を含む。他の実施形態において、半導体メモリが製造され得る。さらに、集積回路または他のマイクロエレクトロニクスデバイスは、当分野において知られている多種多様な電子デバイスにおいて用いられ得る。例えば、コンピュータシステム(例えば、デスクトップ、ラップトップ、サーバ)、携帯電話、パーソナル電子機器等においてである。集積回路は、バスおよびシステム内の他のコンポーネントと結合され得る。例えば、プロセッサは、1または複数のバスにより、メモリ、チップセット等に結合され得る。プロセッサ、メモリおよびチップセットの各々は、潜在的に、本明細書において開示されるアプローチを用いて製造され得る。
図13は、本開示の一実装によるコンピューティングデバイス1300を示す。コンピューティングデバイス1300は、ボード1302を収容する。ボード1302は、を限定されるものではないが、プロセッサ1304および少なくとも1つの通信チップ1306を含む複数のコンポーネントを含み得る。プロセッサ1304は、ボード1302に物理的かつ電気的に結合される。いくつかの実装において、少なくとも1つの通信チップ1306も、ボード1302に物理的かつ電気的に結合される。さらなる実装において、通信チップ1306は、プロセッサ1304の一部である。
コンピューティングデバイス1300は、その用途に応じて、ボード1302に物理的かつ電気的に結合されていてもいなくてもよい他のコンポーネントを含み得る。これらの他のコンポーネントは、限定されるものではないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィクプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、パワーアンプ、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、および(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)などの)大容量記憶デバイスを含む。
通信チップ1306により、コンピューティングデバイス13800への、およびコンピューティングデバイス13800からのデータの伝送のための無線通信が可能になる。「無線」という用語およびその派生語は、非固体媒体を介した変調電磁放射を用いてデータを通信し得る回路、デバイス、システム、方法、技術、通信チャネル等を説明するために用いられてよい。この用語は、関連するデバイスがいかなるワイヤも含まないことを示唆するものではないが、いくつかの実施形態においては含まないことがある。通信チップ1306は、限定されるものではないが、Wi−Fi(登録商標)(IEEE802.11ファミリ)、WiMAX(登録商標)(IEEE802.16ファミリ)、IEEE802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPR、CDMA、TDMA、DECT、Bluetooth(登録商標)、それらの派生語、ならびに3G、4G、5Gおよびそれ以降の世代として指定される任意の他の無線プロトコルを含む複数の無線規格または無線プロトコルのいずれかを実装し得る。コンピューティングデバイス1300は、複数の通信チップ1306を含み得る。例えば、第1の通信チップ1306は、Wi−Fi(登録商標)およびBluetooth(登録商標)などの近距離無線通信専用であってよく、第2の通信チップ806は、GPS、EDGE、GPR、CDMA、WiMAX(登録商標)、LTE、Ev−DOなどの長距離無線通信専用であってよい。
コンピューティングデバイス1300のプロセッサ1304は、プロセッサ1304内にパッケージングされた集積回路ダイを含む。本開示のいくつかの実装において、プロセッサの集積回路ダイは、本開示の実施形態の実装に従って構築される多機能分子を含むかまたは当該多機能分子を用いて製造される1または複数の構造を含む。「プロセッサ」という用語は、レジスタおよび/またはメモリからの電子データを処理して、当該電子データをレジスタおよび/またはメモリに格納され得る他の電子データに変換する任意のデバイスまたはデバイスの部分を指してよい。
通信チップ1306は、通信チップ1306内にパッケージングされた集積回路ダイも含む。本開示の別の実装によれば、通信チップの集積回路ダイは、本開示の実施形態の実装に従って構築される多機能分子を含むかまたは当該多機能分子を用いて製造される1または複数の構造を含む。
さらなる実装において、コンピューティングデバイス1300内に収容された別のコンポーネントが、本開示の実施形態の実装に従って構築される多機能分子を含むかまたは当該多機能分子を用いて製造される1または複数の構造を含む集積回路ダイを含み得る。
様々な実装において、コンピューティングデバイス1300は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、パーソナルデジタルアシスタント(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンタテインメントコントロールユニット、デジタルカメラ、携帯音楽プレーヤまたはデジタルビデオレコーダであってよい。さらなる実装において、コンピューティングデバイス800は、データを処理sる任意の他の電子デバイスであってよい。
図14は、本開示の1または複数の実施形態を含むインターポーザ1400を示す。インターポーザ1400は、第1の基板1402を第2の基板1404へつなぐために用いられる介在基板である。第1の基板1402は、例えば、集積回路ダイであってよい。第2の基板1404、例えば、メモリモジュール、コンピュータマザーボードまたは別の集積回路ダイであってよい。概して、インターポーザ1400の目的は、接続部をより広いピッチに広げること、または接続部を異なる接続部へリルートすることである。例えば、インターポーザ1400は、後で第2の基板1404に結合され得るボールグリッドアレイ(BGA)1406に集積回路ダイを結合し得る。いくつかの実施形態において、第1の基板1402/第2の基板1404は、インターポーザ1400の対向する側に取り付けられる。他の実施形態において、第1の基板1402/第2の基板1404は、インターポーザ1400の同じ側に取り付けられる。さらなる実施形態において、3つまたはそれより多くの基板が、インターポーザ1400により相互接続される。
インターポーザ1400は、エポキシ樹脂、グラスファイバ強化エポキシ樹脂、セラミック材料、またはポリイミドなどのポリマ材料で形成され得る。さらなる実装において、インターポーザは、シリコン、ゲルマニウムおよび他のIII−V族およびIV族の材料など、半導体基板において用いるための上述の同じ材料を含み得る交互に設けられた強固または柔軟な材料で形成され得る。
インターポーザは、金属インターコネクト1408と、スルーシリコンビア(TSV)1412を含むがこれに限定されないビア1410とを含み得る。インターポーザ1400は、パッシブデバイスおよびアクティブデバイスの両方を含む埋め込みデバイス1414をさらに含み得る。そのようなデバイスは、限定されるものではないが、コンデンサ、デカップリングコンデンサ、レジスタ、インダクタ、ヒューズ、ダイオード、変圧器、センサおよび静電放電(ESD)デバイスを含む。無線周波数(RF)デバイス、パワーアンプ、電力管理デバイス、アンテナ、アレイ、センサおよびMEMSデバイスなどのより複雑なデバイスも、インターポーザ1400上に形成され得る。本開示の実施形態によれば、本明細書において開示される装置または処理は、インターポーザ1400の製造において用いられ得る。
故に、本開示の実施形態は、導電面上での選択的なポリマ形成のための多機能分子、および得られる構造を含む。
例示的な実施形態1:集積回路構造は、基板よりも上の交互に設けられた金属線および誘電体線を有する下側金属被覆層を備える。分子ブラシ層は、下側金属被覆層の金属線上にあり、多機能分子を有する。トリブロック共重合体層は、下側金属被覆層よりも上にある。トリブロック共重合体層は、下側金属被覆層の誘電体線よりも上の第1の分離ブロックコンポーネントと、下側金属被覆層の金属線上の分子ブラシ層上の交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントとを有する。第3の分離ブロックコンポーネントは、感光性である。
例示的な実施形態2:例示的な実施形態1に記載の集積回路構造は、第3の分離ブロックコンポーネントの一箇所における導電ビアをさらに備える。導電ビアは、金属線のうちの1つ上にある。
例示的な実施形態3:例示的な実施形態1または2に記載の集積回路構造は、下側金属被覆層の誘電体線上の第2の異なる分子ブラシ層であって、第1の分離ブロックコンポーネントは、第2の分子ブラシ層上にある、第2の分子ブラシ層をさらに備える。
例示的な実施形態4:第2の分子ブラシ層は、単一の機能ポリマ材料を有する、例示的な実施形態3に記載の集積回路構造。
例示的な実施形態5:トリブロック共重合体層は、ポリスチレンおよび他のポリビニルアレーンと、ポリイソプレンおよび他のポリオレフィンと、ポリメタクリル酸および他のポリエステルと、ポリジメチルシロキサン(PDM)および関連するSiベースポリマと、ポリフェルセニルシランと、酸化ポリエチレン(PEO)ならびに関連するポリエーテルおよびポリビニルピリジンとのうちの任意の3つから成る群から選択されるトリブロック共重合体種を有する、例示的な実施形態1、2、3または4に記載の集積回路構造。
例示的な実施形態6:交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントは、約1:1という比を有する、例示的な実施形態1、2、3、4または5に記載の集積回路構造。
例示的な実施形態7:交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントは、第2の分離ブロックコンポーネント対第3の分離ブロックコンポーネントがX:1という比を有し、Xは、1よりも大きく、第3の分離ブロックコンポーネントは、第2の分離ブロックコンポーネントに囲まれた柱状構造を含む、例示的な実施形態1、2、3、4または5に記載の集積回路構造。
例示的な実施形態8:下側金属被覆層の交互に設けられた金属線および誘電体線は、一定のピッチの格子パターンを有する、例示的な実施形態1、2、3、4、5、6または7に記載の集積回路構造。
例示的な実施形態9:トリブロック共重合体層の第3の分離ブロックコンポーネントは、極紫外線(EUV)源または電子ビーム源に対して感光性である、例示的な実施形態1、2、3、4、5、6、7または8に記載の集積回路構造。
例示的な実施形態10:集積回路構造を製造する方法は、基板よりも上の交互に設けられた金属線および誘電体線を有する下側金属被覆層を形成する段階を備える。方法は、分子ブラシ層は、下側金属被覆層の金属線上に分子ブラシ層を形成する段階であって分子ブラシ層は、多機能分子を含む、段階も備える。方法は、下側金属被覆層よりも上のトリブロック共重合体層を形成する段階も備える。方法は、トリブロック共重合体層を分離して、下側金属被覆層の誘電体線よりも上の第1の分離ブロックコンポーネントを形成し、下側金属被覆層の金属線上の分子ブラシ層上の交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントを形成する段階であって、第3の分離ブロックコンポーネントは、感光性である、段階も備える。方法は、第3の分離ブロックコンポーネントの選択位置を照射および現像して、下側金属被覆層の金属線よりも上にビア開口を設ける段階も備える。
例示的な実施形態11:第3の分離ブロックコンポーネントの選択位置を照射および現像して、ビア開口を設ける段階の後に、得られたパターニング済みのトリブロック共重合体層を足場材料として用いて、第1のレベルの交互に設けられた金属線および誘電体線よりも上に、第1のレベルの交互に設けられた金属線および誘電体線に結合された、かつ、第1のレベルの交互に設けられた金属線および誘電体線と直交する第2のレベルの交互に設けられた金属線および誘電体線を形成する段階をさらに備える、例示的な実施形態10に記載の方法。
例示的な実施形態12:トリブロック共重合体層を形成する段階の前に、下側金属被覆層の誘電体線上に第2の分子ブラシ層を形成する段階をさらに備える、例示的な実施形態10または11に記載の方法。
例示的な実施形態13:第2の分子ブラシ層は、単一の機能ポリマ材料を用いて形成される、例示的な実施形態12に記載の方法。
例示的な実施形態14:トリブロック共重合体層を形成する段階は、ポリスチレンおよび他のポリビニルアレーンと、ポリイソプレンおよび他のポリオレフィンと、ポリメタクリル酸および他のポリエステルと、ポリジメチルシロキサン(PDM)および関連するSiベースポリマと、ポリフェルセニルシランと、酸化ポリエチレン(PEO)ならびに関連するポリエーテルおよびポリビニルピリジンとのうちの任意の3つから成る群から選択されるトリブロック共重合体種を提供する段階を有する、例示的な実施形態10、11、12または13に記載の方法。
例示的な実施形態15:下側金属被覆層の交互に設けられた金属線および誘電体線を形成する段階は、一定のピッチを有する格子パターンを形成する段階を有する、例示的な実施形態10、11、12、13または14に記載の方法。
例示的な実施形態16:第3の分離ブロックコンポーネントの選択位置を照射および現像する段階は、第3の分離ブロックコンポーネントの選択位置を極紫外線(EUV)源または電子ビーム源へ露出させる段階を有する、例示的な実施形態10、11、12、13、14または15に記載の方法。
例示的な実施形態17:交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントは、約1:1という比を有する、例示的な実施形態10、11、12、13、14、15または16に記載の方法。
例示的な実施形態18:交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントは、第2の分離ブロックコンポーネント対第3の分離ブロックコンポーネントがX:1という比を有し、Xは、1よりも大きく、第3の分離ブロックコンポーネントは、第2の分離ブロックコンポーネントに囲まれた柱状構造を含む、例示的な実施形態10、11、12、13、14、15または16に記載の方法。
例示的な実施形態19:集積回路構造を製造する方法は、基板よりも上の層間誘電体(ILD)層に複数の導電線を形成する段階を備える。方法は、ILD層の最上面に対して、複数の導電線のうちの1つおきに設けられた導電線を凹ませる段階も備える。方法は、複数の導電線のうちの1つおきに設けられた導電線の各々よりも上の凹領域内で、複数の導電線のうちの1つおきに設けられた導電線上に誘電体キャッピング層を形成する段階も備える。方法は、誘電体キャッピング層よりも上ではなく、複数の導電線のうちの凹んでいないものよりも上に、誘電体キャッピング層とは組成が異なるハードマスク層を形成する段階であって、ハードマスク層を形成する段階は、誘電体キャッピング層上ではなく、複数の導電線のうちの凹んでいないもの上に、多機能分子を含むブラシ層を形成する段階を有する、段階も備える。方法は、複数の導電線のうちの1つおきに設けられた導電線のうちの1つよりも上の誘電体キャッピング層に開口を形成する段階も備える。方法は、誘電体キャッピング層における開口内、および、複数の導電線のうちの凹んでいないもののうちの1つよりも上のハードマスク層の部分上に、導電ビアを形成する段階も備える。
例示的な実施形態20:ハードマスク層を形成する段階は、ブラシ層の細孔またはスペーシングをハードマスク材料またはハードマスク前駆体で充填する段階と、ブラシ層の実質的に全部を除去する段階であって、ハードマスク層は、ブラシ層の実質的に全部の除去中または除去後に、ハードマスク材料またはハードマスク前駆体から形成される、段階とをさらに有する、例示的な実施形態19に記載の方法。
例示的な実施形態21:ブラシ層の実質的に全部を除去する段階は、ブラシ層の分子層をアッシングする段階を有する、例示的な実施形態20に記載の方法。
例示的な実施形態22:ハードマスク層と誘電体キャッピング層とは、エッチング選択比が異なる、例示的な実施形態19、20または21に記載の方法。
例示的な実施形態23:複数の導電線を形成する段階は、ピッチ分割パターニング処理を用いる段階を有する、例示的な実施形態19、20、21または22に記載の方法。

Claims (23)

  1. 基板よりも上の交互に設けられた金属線および誘電体線を有する下側金属被覆層と、
    前記下側金属被覆層の前記金属線上の分子ブラシ層であって、多機能分子を有する、分子ブラシ層と、
    前記下側金属被覆層よりも上のトリブロック共重合体層であって、
    前記下側金属被覆層の前記誘電体線よりも上の第1の分離ブロックコンポーネントと、
    前記下側金属被覆層の前記金属線の前記分子ブラシ層上の交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントであって、前記第3の分離ブロックコンポーネントは感光性である、交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントと
    を有する、トリブロック共重合体層と
    を備える集積回路構造。
  2. 前記第3の分離ブロックコンポーネントの一箇所における導電ビアであって、前記金属線のうちの1つの上にある、導電ビア
    をさらに備える、請求項1に記載の集積回路構造。
  3. 前記下側金属被覆層の前記誘電体線上の第2の異なる分子ブラシ層であって、前記第1の分離ブロックコンポーネントは、前記第2の異なる分子ブラシ層上にある、第2の異なる分子ブラシ層
    をさらに備える、請求項1または2に記載の集積回路構造。
  4. 前記第2の異なる分子ブラシ層は、単一の機能ポリマ材料を有する、請求項3に記載の集積回路構造。
  5. 前記トリブロック共重合体層は、ポリスチレンおよび他のポリビニルアレーンと、ポリイソプレンおよび他のポリオレフィンと、ポリメタクリル酸および他のポリエステルと、ポリジメチルシロキサン(PDM)および関連するSiベースポリマと、ポリフェルセニルシランと、酸化ポリエチレン(PEO)ならびに関連するポリエーテルおよびポリビニルピリジンとのうちの任意の3つから成る群から選択されるトリブロック共重合体種を有する、
    請求項1から4のいずれか一項に記載の集積回路構造。
  6. 交互に設けられた前記第2の分離ブロックコンポーネントおよび前記第3の分離ブロックコンポーネントは、約1:1という比を有する、請求項1から5のいずれか一項に記載の集積回路構造。
  7. 交互に設けられた前記第2の分離ブロックコンポーネントおよび前記第3の分離ブロックコンポーネントは、第2の分離ブロックコンポーネント対第3の分離ブロックコンポーネントがX:1という比を有し、Xは、1よりも大きく、前記第3の分離ブロックコンポーネントは、前記第2の分離ブロックコンポーネントに囲まれた柱状構造を含む、請求項1から6のいずれか一項に記載の集積回路構造。
  8. 前記下側金属被覆層の前記交互に設けられた金属線および誘電体線は、一定のピッチの格子パターンを有する、請求項1から7のいずれか一項に記載の集積回路構造。
  9. 前記トリブロック共重合体層の前記第3の分離ブロックコンポーネントは、極紫外線(EUV)源または電子ビーム源に対して感光性である、請求項1から8のいずれか一項に記載の集積回路構造。
  10. 基板よりも上の交互に設けられた金属線および誘電体線を含む下側金属被覆層を形成する段階と、
    前記下側金属被覆層の前記金属線上に分子ブラシ層を形成する段階であって、前記分子ブラシ層は、多機能分子を含む、段階と、
    前記下側金属被覆層よりも上にトリブロック共重合体層を形成する段階と、
    前記トリブロック共重合体層を分離して、前記下側金属被覆層の前記誘電体線よりも上に第1の分離ブロックコンポーネントを形成し、かつ、前記下側金属被覆層の前記金属線上の前記分子ブラシ層上の交互に設けられた第2の分離ブロックコンポーネントおよび第3の分離ブロックコンポーネントを形成する段階であって、前記第3の分離ブロックコンポーネントは感光性である、段階と、
    前記第3の分離ブロックコンポーネントの選択位置を照射および現像して、前記下側金属被覆層の前記金属線よりも上にビア開口を設ける段階と
    を備える、集積回路構造を製造する方法。
  11. 前記第3の分離ブロックコンポーネントの選択位置を照射および現像して、ビア開口を設ける段階の後に、得られたパターニング済みのトリブロック共重合体層を足場材料として用いて、第1のレベルの交互に設けられた金属線および誘電体線よりも上に、前記第1のレベルの交互に設けられた金属線および誘電体線に結合された、かつ、前記第1のレベルの交互に設けられた金属線および誘電体線と直交する第2のレベルの交互に設けられた金属線および誘電体線を形成する段階
    をさらに備える、請求項10に記載の方法。
  12. 前記トリブロック共重合体層を形成する段階の前に、前記下側金属被覆層の前記誘電体線上に第2の分子ブラシ層を形成する段階
    をさらに備える、請求項10または11に記載の方法。
  13. 前記第2の分子ブラシ層は、単一の機能ポリマ材料を用いて形成される、請求項12に記載の方法。
  14. 前記トリブロック共重合体層を形成する段階は、ポリスチレンおよび他のポリビニルアレーンと、ポリイソプレンおよび他のポリオレフィンと、ポリメタクリル酸および他のポリエステルと、ポリジメチルシロキサン(PDM)および関連するSiベースポリマと、ポリフェルセニルシランと、酸化ポリエチレン(PEO)ならびに関連するポリエーテルおよびポリビニルピリジンとのうちの任意の3つから成る群から選択されるトリブロック共重合体種を提供する段階を有する、請求項10から13のいずれか一項に記載の方法。
  15. 前記下側金属被覆層の前記交互に設けられた金属線および誘電体線を形成する段階は、一定のピッチを有する格子パターンを形成する段階を有する、請求項10から14のいずれか一項に記載の方法。
  16. 前記第3の分離ブロックコンポーネントの前記選択位置を照射および現像する段階は、前記第3の分離ブロックコンポーネントの前記選択位置を極紫外線(EUV)源または電子ビーム源へ露出させる段階を有する、請求項10から15のいずれか一項に記載の方法。
  17. 交互に設けられた前記第2の分離ブロックコンポーネントおよび前記第3の分離ブロックコンポーネントは、約1:1という比を有する、請求項10から16のいずれか一項に記載の方法。
  18. 交互に設けられた前記第2の分離ブロックコンポーネントおよび前記第3の分離ブロックコンポーネントは、第2の分離ブロックコンポーネント対第3の分離ブロックコンポーネントがX:1という比を有し、Xは、1よりも大きく、前記第3の分離ブロックコンポーネントは、前記第2の分離ブロックコンポーネントに囲まれた柱状構造を含む、請求項10から17のいずれか一項に記載の方法。
  19. 基板よりも上の層間誘電体層(ILD層)に複数の導電線を形成する段階と、
    前記ILD層の最上面に対して、前記複数の導電線のうちの1つおきに設けられた導電線を凹ませる段階と、
    前記複数の導電線のうちの前記1つおきに設けられた導電線の各々よりも上の凹領域内で、前記複数の導電線のうちの前記1つおきに設けられた導電線上に誘電体キャッピング層を形成する段階と、
    前記誘電体キャッピング層よりも上ではなく、前記複数の導電線のうちの凹んでいないものよりも上に、前記誘電体キャッピング層とは組成が異なるハードマスク層を形成する段階であって、前記ハードマスク層を形成する段階は、前記誘電体キャッピング層上ではなく、前記複数の導電線のうちの前記凹んでいないもの上に、多機能分子を含むブラシ層を形成する段階を有する、段階と、
    前記複数の導電線のうちの前記1つおきに設けられた導電線のうちの1つよりも上の前記誘電体キャッピング層に開口を形成する段階と、
    前記誘電体キャッピング層における前記開口内、および、前記複数の導電線のうちの前記凹んでいないもののうちの1つよりも上の前記ハードマスク層の部分上に、導電ビアを形成する段階と
    を備える、集積回路構造を製造する方法。
  20. 前記ハードマスク層を形成する段階は、
    前記ブラシ層の細孔またはスペーシングをハードマスク材料またはハードマスク前駆体で充填する段階と、
    前記ブラシ層の実質的に全部を除去する段階であって、前記ハードマスク層は、前記ブラシ層の実質的に全部の除去中または除去後に、前記ハードマスク材料または前記ハードマスク前駆体から形成される、段階と
    をさらに有する、
    請求項19に記載の方法。
  21. 前記ブラシ層の実質的に全部を除去する段階は、前記ブラシ層の分子層をアッシングする段階を有する、請求項20に記載の方法。
  22. 前記ハードマスク層と前記誘電体キャッピング層とは、エッチング選択比が異なる、請求項19から21のいずれか一項に記載の方法。
  23. 前記複数の導電線を形成する段階は、ピッチ分割パターニング処理を用いる段階を有する、請求項19から22のいずれか一項に記載の方法。
JP2020533642A 2018-03-26 2018-03-26 導電面上での選択的なポリマ形成のための多機能分子および導電面上での選択的なポリマ形成から得られる構造 Pending JP2021524150A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2018/024364 WO2019190463A1 (en) 2018-03-26 2018-03-26 Multifunctional molecules for selective polymer formation on conductive surfaces and structures resulting therefrom

Publications (1)

Publication Number Publication Date
JP2021524150A true JP2021524150A (ja) 2021-09-09

Family

ID=68059523

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020533642A Pending JP2021524150A (ja) 2018-03-26 2018-03-26 導電面上での選択的なポリマ形成のための多機能分子および導電面上での選択的なポリマ形成から得られる構造

Country Status (3)

Country Link
US (1) US11398428B2 (ja)
JP (1) JP2021524150A (ja)
WO (1) WO2019190463A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069610B2 (en) 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
US20220199420A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Colored gratings in microelectronic structures
US20220199540A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Guided vias in microelectronic structures
EP4044219A3 (en) * 2020-12-21 2022-08-31 INTEL Corporation Via opening rectification using lamellar triblock copolymer, polymer nanocomposite, or mixed epitaxy

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030207560A1 (en) * 2002-05-03 2003-11-06 Dubin Valery M. Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
JP2004253671A (ja) * 2003-02-21 2004-09-09 Renesas Technology Corp 電子デバイスの製造方法
JP2013065392A (ja) * 2011-09-19 2013-04-11 Hgst Netherlands B V ブロック共重合体と電気めっき用ハードマスクを用いた、パターニングされた磁気記録ディスクのナノインプリント加工用マスタディスクの作製方法
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
WO2014061760A1 (ja) * 2012-10-19 2014-04-24 株式会社ニコン パターン形成方法及びデバイス製造方法
US20140273511A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits including formation of chemical guide patterns for directed self-assembly lithography
WO2014184114A1 (en) * 2013-05-13 2014-11-20 AZ Electronic Materials (Luxembourg) S.à.r.l. Template for self assembly and method of making a self assembled pattern
WO2015091047A1 (en) * 2013-12-16 2015-06-25 AZ Electronic Materials (Luxembourg) S.à.r.l. Underlayer composition for promoting self assembly and method of making and using
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7514764B2 (en) * 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
JP2013183014A (ja) * 2012-03-01 2013-09-12 Toshiba Corp パターン形成方法
KR20140081249A (ko) * 2012-12-21 2014-07-01 삼성정밀화학 주식회사 자기조립 단분자막을 적용한 산화물 박막트랜지스터 및 이의 제조방법
WO2014209327A1 (en) * 2013-06-27 2014-12-31 Intel Corporation Non-lithographically patterned directed self assembly alignment promotion layers
EP3122918A4 (en) * 2014-03-27 2018-03-14 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
WO2018118092A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Advanced lithography and self-assembled devices

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030207560A1 (en) * 2002-05-03 2003-11-06 Dubin Valery M. Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
JP2004253671A (ja) * 2003-02-21 2004-09-09 Renesas Technology Corp 電子デバイスの製造方法
JP2013065392A (ja) * 2011-09-19 2013-04-11 Hgst Netherlands B V ブロック共重合体と電気めっき用ハードマスクを用いた、パターニングされた磁気記録ディスクのナノインプリント加工用マスタディスクの作製方法
CN103187245A (zh) * 2011-12-30 2013-07-03 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
WO2014061760A1 (ja) * 2012-10-19 2014-04-24 株式会社ニコン パターン形成方法及びデバイス製造方法
US20140273511A1 (en) * 2013-03-15 2014-09-18 GlobalFoundries, Inc. Methods for fabricating integrated circuits including formation of chemical guide patterns for directed self-assembly lithography
WO2014184114A1 (en) * 2013-05-13 2014-11-20 AZ Electronic Materials (Luxembourg) S.à.r.l. Template for self assembly and method of making a self assembled pattern
WO2015091047A1 (en) * 2013-12-16 2015-06-25 AZ Electronic Materials (Luxembourg) S.à.r.l. Underlayer composition for promoting self assembly and method of making and using
WO2017111926A1 (en) * 2015-12-21 2017-06-29 Intel Corporation Triblock copolymers for self-aligning vias or contacts
US9632408B1 (en) * 2016-10-12 2017-04-25 International Business Machines Corporation Graphoepitaxy directed self assembly

Also Published As

Publication number Publication date
WO2019190463A1 (en) 2019-10-03
US11398428B2 (en) 2022-07-26
US20210057337A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
US10297467B2 (en) Self-aligned via and plug patterning for back end of line (BEOL) interconnects
US10600678B2 (en) Self-aligned isotropic etch of pre-formed vias and plugs for back end of line (BEOL) interconnects
KR102475024B1 (ko) 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
KR102167317B1 (ko) Beol 상호접속들에 대한 이전 층 자체-정렬형 비아 및 플러그 패터닝
CN108012561B (zh) 用于后端工艺(beol)互连件的借助使用自底向上交联的电介质的图像色调反转
US11990403B2 (en) Dielectric helmet-based approaches for back end of line (BEOL) interconnect fabrication and structures resulting therefrom
JP2021524150A (ja) 導電面上での選択的なポリマ形成のための多機能分子および導電面上での選択的なポリマ形成から得られる構造
US10522402B2 (en) Grid self-aligned metal via processing schemes for back end of line (BEOL) interconnects and structures resulting therefrom
US10886175B2 (en) Differentiated molecular domains for selective hardmask fabrication and structures resulting therefrom
US20170345643A1 (en) Photodefinable alignment layer for chemical assisted patterning
US11955377B2 (en) Differential hardmasks for modulation of electrobucket sensitivity
US11894270B2 (en) Grating replication using helmets and topographically-selective deposition
JP2021524996A (ja) 半導体構造の製造のための炭素系誘電体材料および結果として得られる構造
US10529660B2 (en) Pore-filled dielectric materials for semiconductor structure fabrication and their methods of fabrication

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210319

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220712

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20221220