JP2019044266A - Layer formation method - Google Patents

Layer formation method Download PDF

Info

Publication number
JP2019044266A
JP2019044266A JP2018159862A JP2018159862A JP2019044266A JP 2019044266 A JP2019044266 A JP 2019044266A JP 2018159862 A JP2018159862 A JP 2018159862A JP 2018159862 A JP2018159862 A JP 2018159862A JP 2019044266 A JP2019044266 A JP 2019044266A
Authority
JP
Japan
Prior art keywords
precursor
substrate
layer
reactant
seed layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018159862A
Other languages
Japanese (ja)
Other versions
JP7460319B2 (en
Inventor
チユ・ヂュー
chiyu Zhu
キラン・シェレスタ
Shrestha Kiran
チー・シエ
Qi Xie
ブーシャン・ゾープ
Zope Bhushan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/691,241 external-priority patent/US11056344B2/en
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2019044266A publication Critical patent/JP2019044266A/en
Application granted granted Critical
Publication of JP7460319B2 publication Critical patent/JP7460319B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Magnetic Heads (AREA)
  • Liquid Crystal (AREA)

Abstract

To provide an improved method for forming a sedimentary layer having improved quality on a substrate.SOLUTION: A formation method of a layer includes steps of: depositing a seed layer on a substrate; and depositing a bulk layer on the seed layer. In the method, deposition of the seed layer includes steps of: supplying a first precursor containing a metal and a halogen atom to the substrate; and supplying a first reaction material to the substrate, and deposition of the bulk layer includes steps of: supplying a second precursor containing a metal and a halogen atom to the seed layer; and supplying a second reaction material to the seed layer.SELECTED DRAWING: Figure 1

Description

関連出願Related application

(関連出願の相互参照)
[0001]本出願は、「層形成方法」と題する米国非仮出願第15/691,241号(2017年8月30日出願)の一部継続出願であり、「層形成方法」と題する米国仮特許出願第62/607,070号(2017年12月18日出願)の利益を主張し、両出願は参照により本明細書に組み込まれる。
(Cross-reference to related applications)
[0001] This application is a continuation-in-part of US Non-Provisional Application No. 15 / 691,241 (filed on August 30, 2017) entitled "Layer Forming Method", and the United States entitled "Layer Forming Method". This application claims the benefit of Provisional Patent Application No. 62 / 607,070, filed December 18, 2017, both applications incorporated herein by reference.

[0002]本開示は一般に、基材上に層を形成する方法に関する。より具体的には、本開示は原子層堆積(ALD)サイクルまたは化学気相蒸着(CVD)プロセスを順次反復して、特徴部の製造中に生成される間隙を持つ基材上に層の少なくとも一部を形成することに関する。基材上の層は半導体デバイスの製造のために使用することができる。   FIELD [0002] The present disclosure relates generally to methods of forming a layer on a substrate. More specifically, the present disclosure sequentially repeats an atomic layer deposition (ALD) cycle or a chemical vapor deposition (CVD) process to form at least a layer on a substrate having a gap generated during manufacture of a feature. For forming part. The layers on the substrate can be used for the production of semiconductor devices.

[0003]原子層堆積(ALD)および化学気相蒸着(CVD)では、基材は、反応して基材上の望ましい層となるのに適した第一の前駆体および第一の反応物質にさらされる。基材上の特徴部の製造中に生成される間隙中に層を堆積させて、間隙を埋めてもよい。   [0003] In atomic layer deposition (ALD) and chemical vapor deposition (CVD), a substrate is a first precursor and a first reactant suitable to react to become a desired layer on the substrate. Exposed. A layer may be deposited in the gaps created during the manufacture of the features on the substrate to fill the gaps.

[0004]ALDでは、基材は第一の前駆体のパルスにさらされ、第一の前駆体の単層が基材の表面に化学吸着しうる。表面部位は、第一の前駆体の全体またはその断片によって占められうる。第一の前駆体は、基材表面にすでに吸着された第一の前駆体の部分は吸着しないまたはそれとは反応しないため、反応は化学的に自己限定的なものでありうる。次に過剰な第一の前駆体は、例えば、不活性ガスの供給および/または反応チャンバからの第一の前駆体の除去によって、パージされる。その後、基材は第一の反応物質のパルスにさらされ、これは反応が完了するまで吸着された第一の前駆体の全体または断片と化学的に反応し、表面が反応生成物の単層で覆われる。   [0004] In ALD, a substrate is exposed to a pulse of a first precursor, and a monolayer of the first precursor may be chemisorbed on the surface of the substrate. The surface site may be occupied by the whole of the first precursor or a fragment thereof. The reaction may be chemically self-limiting, as the first precursor does not adsorb or react with the portion of the first precursor already adsorbed to the substrate surface. The excess first precursor is then purged, for example, by the supply of inert gas and / or the removal of the first precursor from the reaction chamber. The substrate is then exposed to a pulse of the first reactant, which chemically reacts with all or a portion of the adsorbed first precursor until the reaction is complete, and the surface is a monolayer of reaction product Covered with

[0005]堆積層の品質を改善する必要がありうることが認められている。   It has been recognized that there may be a need to improve the quality of deposited layers.

[0006]基材上に堆積層を形成する改善された方法が必要である場合がある。従って、特徴部の製造中に作られる間隙を持つ基材を提供し、基材上にシード層を堆積する工程、およびシード層の上にバルク層を堆積する工程を含む、層の形成方法が提供されうる。シード層の堆積には、金属およびハロゲン原子を含む第一の前駆体を基材に供給する工程、および第一の反応物質を基材に供給する工程を含むことができ、ここで第一の前駆体の一部分および第一の反応物質が反応してシード層の少なくとも一部分を形成する。バルク層の堆積には、金属およびハロゲン原子を含む第二の前駆体をシード層に供給する工程、および第二の反応物質をシード層に供給する工程を含むことができ、ここで第二の前駆体の一部分および第二の反応物質が反応してシード層上にバルク層の少なくとも一部分を形成する。第一および第二の前駆体は異なってもよい。   [0006] An improved method of forming a deposited layer on a substrate may be needed. Thus, there is provided a method of forming a layer comprising the steps of providing a substrate with a gap created during manufacture of the features, depositing a seed layer on the substrate, and depositing a bulk layer on the seed layer. It can be provided. The deposition of the seed layer can include the steps of providing a first precursor comprising a metal and a halogen atom to the substrate, and providing a first reactant to the substrate, wherein the first step A portion of the precursor and the first reactant react to form at least a portion of the seed layer. The deposition of the bulk layer can include the steps of providing a second precursor comprising a metal and a halogen atom to the seed layer, and providing a second reactant to the seed layer, wherein A portion of the precursor and a second reactant react to form at least a portion of the bulk layer on the seed layer. The first and second precursors may be different.

[0007]シード層およびバルク層に対して異なる第一および第二の前駆体を持つことにより、全層の品質が改善されるように、シード層およびバルク層の特性を最適化することができる。第一および第二の反応物質は同じであってもよく、水素原子を含みうる。   [0007] Having different first and second precursors for the seed and bulk layers allows the properties of the seed and bulk layers to be optimized such that the quality of all layers is improved. . The first and second reactants may be the same and may include hydrogen atoms.

[0008]いくつかのその他の実施形態では、半導体の処理方法が提供されている。方法は、基材の間隙の中に金属層を堆積し、それによって間隙を埋める工程を含む。   [0008] In some other embodiments, a method of processing a semiconductor is provided. The method comprises the steps of depositing a metal layer in the interstices of the substrate, thereby filling the interstices.

[0009]本明細書で開示される本発明のこれらおよび他の特徴、態様、ならびに利点は、いくつかの実施形態の図面を参照して以下に記載され、例示することを意図しており、本発明を限定するものではない。
[00010]図1aおよび図1bは、一実施形態による、層の堆積方法を概説するフローチャートを示す。 [00011]図2は、一実施形態による、層で埋められた基材上の間隙構造の断面図を示す。
[0009] These and other features, aspects, and advantages of the invention disclosed herein are described below and are intended to be illustrative with reference to the drawings of some embodiments, It does not limit the present invention.
[00010] Figures la and lb show a flow chart outlining a method of depositing a layer according to one embodiment. [00011] FIG. 2 shows a cross-sectional view of a gap structure on a layer-filled substrate, according to one embodiment.

[00012]金属層は、半導体デバイスの導電層として必要でありうる。集積回路デバイスの特徴部の製造中に作られる間隙は、金属層で埋めてもよい。間隙は、それらの深さが幅よりもはるかに大きくなるような高アスペクト比を持つことができる。   [00012] A metal layer may be required as a conductive layer of a semiconductor device. The gaps created during the fabrication of integrated circuit device features may be filled with a metal layer. The gaps can have a high aspect ratio such that their depth is much larger than the width.

[00013]間隙は、実質的に水平な上面を持つすでに製造された層の中に垂直に延長してもよい。垂直方向であり金属で埋められた間隙は、例えば、ダイナミック・ランダム・アクセス・メモリ(DRAM)タイプのメモリ集積回路のワード線に使用できる。垂直方向であり金属で埋められた間隙は、例えば、論理集積回路にも使用できる。例えば、金属で埋められた間隙は、P型金属酸化物半導体(PMOS)または相補型金属酸化膜半導体(CMOS)集積回路またはソース/ドレイントレンチ接点のゲート充填として使用できる。   [00013] The gap may extend vertically into an already manufactured layer with a substantially horizontal upper surface. A vertical, metal-filled gap can be used, for example, for a word line of a dynamic random access memory (DRAM) type memory integrated circuit. Vertically filled, metal-filled gaps can also be used, for example, in logic integrated circuits. For example, the metal filled gap can be used as a gate fill for P-type metal oxide semiconductor (PMOS) or complementary metal oxide semiconductor (CMOS) integrated circuits or source / drain trench contacts.

[00014]間隙はすでに製造された層の中に水平方向に配置されてもよい。再び、間隙は、ここでは水平方向のそれらの深さが幅よりもはるかに大きくなるような高アスペクト比を持つことができる。水平方向であり金属で埋められた間隙は、例えば、3D NANDタイプのメモリ集積回路のワード線に使用できる。間隙は垂直方向および水平方向の組み合わせに配置してもよい。   [00014] The gaps may be arranged horizontally in the layers already produced. Again, the gaps can have a high aspect ratio such that their depth in the horizontal direction is much greater than the width here. A horizontal, metal-filled gap can be used, for example, for the word lines of 3D NAND type memory integrated circuits. The gaps may be arranged in a combination of vertical and horizontal directions.

[00015]間隙の表面は一種の堆積材料を含みうる。あるいは、間隙の表面は異なる種類の堆積材料を含んでもよい。間隙の表面は、例えば、酸化アルミニウムおよび/または窒化チタンを含みうる。例えば、間隙にモリブデン導電層が必要とされることがある時、モリブデンを間隙の異なる材料上に堆積するのは困難な場合がある。モリブデン層が間隙の全表面を覆って、完全間隙を埋めることが必要となる場合がある。さらに、モリブデン層が、異なる種類の材料を含む間隙の全表面を覆っていてもよい。   [00015] The surface of the interstices may comprise a type of deposition material. Alternatively, the surface of the gap may comprise different types of deposition material. The surface of the gap may, for example, comprise aluminum oxide and / or titanium nitride. For example, when a molybdenum conductive layer may be required in the gap, it may be difficult to deposit molybdenum on different gap materials. It may be necessary to cover the entire surface of the gap with a molybdenum layer to fill the complete gap. In addition, a molybdenum layer may cover the entire surface of the gap, including different types of materials.

[00016]完全間隙を埋めるには、シード層を間隙に堆積させ、バルク層をシード層上に堆積させうる。シード層は、前処理原子層堆積(ALD)を順次反復することによって形成することができる。あるいは、シード層は化学気相蒸着(CVD)プロセスによって形成してもよい。CVDプロセスはパルス状になされてもよく、ここで第一の前駆体はパルスで基材上に供給される一方、第一の反応物質は連続的に基材に供給されるか、またはその反対でもよい。バルク層は、バルクALDサイクルを順次反復することによって、シード層の上に堆積することができる。あるいは、バルク層は、CVDプロセスによってシード層の上に堆積してもよい。CVDプロセスはパルスしてもよく、ここで第二の前駆体はパルスで基材上に供給される一方、第二の反応物質は連続的に基材に供給されるか、またはその反対でもよい。   [00016] To fill the complete gap, a seed layer may be deposited in the gap and a bulk layer may be deposited on the seed layer. The seed layer can be formed by sequentially repeating pretreatment atomic layer deposition (ALD). Alternatively, the seed layer may be formed by a chemical vapor deposition (CVD) process. The CVD process may be pulsed, where the first precursor is pulsed onto the substrate while the first reactant is continuously fed to the substrate or vice versa May be. The bulk layer can be deposited on the seed layer by sequentially repeating the bulk ALD cycle. Alternatively, the bulk layer may be deposited on the seed layer by a CVD process. The CVD process may be pulsed, where the second precursor is pulsed onto the substrate while the second reactant is continuously fed to the substrate or vice versa .

[00017]図1aおよび1bは、シード層が間隙中に堆積され、バルク層がシード層の上に堆積されうる実施形態による、層の堆積方法を概説するフローチャートを示す。シード層に対する前処理ALDサイクル1が図1aに示され、バルク層に対するバルクALDサイクル2が図1bに示されうる。   [00017] Figures 1a and 1b show a flow chart outlining a method of layer deposition according to an embodiment where a seed layer can be deposited in the gap and a bulk layer can be deposited on the seed layer. Pretreatment ALD cycle 1 for the seed layer may be shown in FIG. 1a and bulk ALD cycle 2 for the bulk layer may be shown in FIG. 1b.

[00018]ステップ3で間隙を持つ基材を反応チャンバ中に提供した後、第一の供給期間T1の間、ステップ5で金属およびハロゲン原子を含む第一の前駆体を基材に提供することができる(図1aを参照)。その後、例えば、ステップ7の第一の除去期間R1の間、第一の前駆体の一部分を反応チャンバから除去(例えば、パージ)することにより、第一の前駆体の基材への追加的供給を停止することができる。さらに、サイクルは、第二の供給期間T2の間、第一の反応物質を基材に供給する工程9を含みうる。第一の前駆体および第一の反応物質の一部分が反応して、基材上にシード層の少なくとも一部分を形成しうる。通常、シード層の堆積が始まるまでに小数回(ほぼ50)のサイクルを必要としうる。例えば、ステップ11の第二の除去期間R1の間、第一の反応物質の一部分を反応チャンバから除去(例えば、パージ)することにより、第一の反応物質の基材への追加的供給を停止することができる。   [00018] Providing a substrate with a gap in step 3 into the reaction chamber, then providing a first precursor comprising metal and halogen atoms in step 5 to the substrate during a first feed period T1. (See Figure 1a). Thereafter, additional supply of the first precursor to the substrate, for example by removing (eg, purging) a portion of the first precursor from the reaction chamber, eg, during the first removal period R1 of step 7. Can stop. Further, the cycle may include the step 9 of supplying the first reactant to the substrate during the second supply period T2. The first precursor and a portion of the first reactant may react to form at least a portion of the seed layer on the substrate. Usually, a few (approximately 50) cycles may be required before the deposition of the seed layer begins. For example, during the second removal period R1 of step 11, the additional supply of the first reactant to the substrate is stopped by removing (eg, purging) a portion of the first reactant from the reaction chamber can do.

[00019]第一の前駆体および第一の反応物質は、間隙の表面上に適切な核生成を持つように選択されうる。前処理ALDサイクル1をN回反復してシード層を堆積することができ、Nは100〜1000、好ましくは200〜800、より好ましくは300〜600の間で選択される。シード層は1〜20nm、好ましくは2〜10nm、より好ましくは3〜7nmの厚さを持つことができる。   [00019] The first precursor and the first reactant may be selected to have proper nucleation on the surface of the gap. The pretreatment ALD cycle 1 can be repeated N times to deposit the seed layer, where N is selected between 100-1000, preferably 200-800, more preferably 300-600. The seed layer can have a thickness of 1 to 20 nm, preferably 2 to 10 nm, more preferably 3 to 7 nm.

[00020]前処理後、ALDサイクル1はN回反復される。バルクALDサイクル2の第三の供給期間T3の間、ステップ11で金属およびハロゲン原子を含む第一の前駆体を基材に供給することができる(図1bを参照)。これは、図1aの前処理ALDサイクル1と同じ反応チャンバで、または異なる反応チャンバで実施しうる。前処理サイクルに対する温度要件が異なる時は、バルクALDサイクルを前処理ALDサイクルとは異なる反応チャンバで行うことが有利なことがありうる。従って基材搬送が必要となりうる。その後、例えば、ステップ13の第三の除去期間R3の間、第二の前駆体の一部分を反応チャンバから除去(例えば、パージ)することにより、第二の前駆体の基材への追加的供給を停止することができる。   [00020] After pretreatment, ALD cycle 1 is repeated N times. During the third delivery period T3 of the bulk ALD cycle 2, a first precursor comprising metal and halogen atoms can be provided to the substrate in step 11 (see FIG. 1b). This may be performed in the same reaction chamber as pretreatment ALD cycle 1 of FIG. 1a or in a different reaction chamber. When the temperature requirements for the pretreatment cycle are different, it may be advantageous to perform the bulk ALD cycle in a different reaction chamber than the pretreatment ALD cycle. Thus, substrate transport may be required. Thereafter, additional supply of the second precursor to the substrate, for example by removing (eg, purging) a portion of the second precursor from the reaction chamber, eg, during the third removal period R3 of step 13. Can stop.

[00021]さらに、サイクルは、第四の供給期間T4の間、第二の反応物質を基材に供給する工程15を含みうる。第二の前駆体および第二の反応物質の一部分が反応して、基材上にバルク層の少なくとも一部分を形成しうる。例えば、ステップ17の第四の除去期間R4の間、第二の反応物質の一部分を反応チャンバから除去(例えば、パージ)することにより、第二の反応物質の基材への追加的供給を停止することができる。第二の前駆体および第二の反応物質は適切な電子特性を持つように選択されうる。例えば、低い電気抵抗率を持つように選択されうる。モリブデン膜は、3000μΩ−cm未満、または1000μΩ−cm未満、または500μΩ−cm未満、または200μΩ−cm未満、または100μΩ−cm未満、または50μΩ−cm未満、または25μΩ−cm未満、または15μΩ−cmまたはさらに10μΩ−cm未満の電気抵抗率を持つことができる。   [00021] Further, the cycle may include the step 15 of delivering a second reactant to the substrate during a fourth delivery period T4. The second precursor and a portion of the second reactant may react to form at least a portion of the bulk layer on the substrate. For example, during the fourth removal period R4 of step 17, the additional supply of the second reactant to the substrate is stopped by removing (eg, purging) a portion of the second reactant from the reaction chamber can do. The second precursor and the second reactant may be selected to have appropriate electronic properties. For example, it can be selected to have a low electrical resistivity. The molybdenum film is less than 3000 μΩ-cm, or less than 1000 μΩ-cm, or less than 500 μΩ-cm, or less than 200 μΩ-cm, or less than 100 μΩ-cm, or less than 50 μΩ-cm, or less than 25 μΩ-cm, or 15 μΩ-cm or In addition, it can have an electrical resistivity of less than 10 μΩ-cm.

[00022]バルク層に対するバルクALDサイクル2はM回反復することができ、Mは200〜2000、好ましくは400〜1200、より好ましくは600〜1000の間で選択される。バルク層は1〜100nm、好ましくは5〜50nm、より好ましくは10〜30nmの厚さを持つことができる。   [00022] Bulk ALD cycle 2 for the bulk layer can be repeated M times, where M is selected between 200 and 2000, preferably 400 to 1200, more preferably 600 to 1000. The bulk layer can have a thickness of 1 to 100 nm, preferably 5 to 50 nm, more preferably 10 to 30 nm.

[00023]第一および第二の前駆体は同じ金属原子を含んでもよい。金属は遷移金属原子でもよい。遷移金属原子はモリブデンでもよい。   [00023] The first and second precursors may comprise the same metal atom. The metal may be a transition metal atom. The transition metal atom may be molybdenum.

[00024]第一および第二の前駆体は同じハロゲン原子を含んでもよい。ハロゲン原子は塩化物でもよい。同じハロゲン原子を持つことにより、評価する必要があるのは一つのハロゲンのみとなるため、製作のツールおよびプロセスの適格条件を簡素化しうる。第一の前駆体は五塩化モリブデン(MoCl)を含みうる。 [00024] The first and second precursors may comprise the same halogen atom. The halogen atom may be chloride. Having the same halogen atoms can simplify the qualification conditions of fabrication tools and processes, as only one halogen needs to be evaluated. The first precursor may comprise molybdenum pentachloride (MoCl 5 ).

[00025]反応チャンバのプロセス温度は、前処理ALDサイクルの間、300〜800℃、好ましくは400〜700℃、より好ましくは450〜550℃として選択されうる。第一の前駆体が蒸発する容器は、40〜100℃、好ましくは60〜80℃、より好ましくはおよそ70℃に維持されうる。   [00025] The process temperature of the reaction chamber may be selected as 300-800 0 C, preferably 400-700 0 C, more preferably 450-550 0 C during the pretreatment ALD cycle. The vessel in which the first precursor evaporates may be maintained at 40 to 100 ° C., preferably 60 to 80 ° C., more preferably around 70 ° C.

[00026]第二の前駆体は金属またはハロゲン原子ではない追加的原子を含んでもよい。追加的原子はカルコゲンでもよい。カルコゲンは、酸素、硫黄、セレンまたはテルルでもよい。第二の前駆体は二塩化二酸化モリブデン(VI)(MoOCl)を含みうる。 [00026] The second precursor may comprise additional atoms that are not metals or halogen atoms. The additional atom may be a chalcogen. The chalcogen may be oxygen, sulfur, selenium or tellurium. The second precursor may comprise molybdenum dichloride dioxide (VI) (MoO 2 Cl 2 ).

[00027]反応チャンバのプロセス温度は、バルクALDサイクルの間、300〜800℃、好ましくは400〜700℃、より好ましくは500〜650℃でありうる。第二の前駆体が蒸発する容器は、20〜150℃、好ましくは30〜120℃、より好ましくは40〜110℃に維持されうる。   [00027] The process temperature of the reaction chamber may be 300-800 ° C, preferably 400-700 ° C, more preferably 500-650 ° C during the bulk ALD cycle. The vessel in which the second precursor evaporates may be maintained at 20-150 ° C., preferably 30-120 ° C., more preferably 40-110 ° C.

[00028]第一および/または第二の前駆体を反応チャンバに供給する工程は、0.1〜10秒、好ましくは0.5〜5秒、より好ましくは0.8〜2秒から選択される持続時間T1、T3かかる場合がある。例えば、T1は1秒であり、T3は1.3秒でもよい。第一または第二の前駆体の反応チャンバへの流量は、50〜1000sccm、好ましくは100〜500sccm、より好ましくは200〜400sccmから選択されうる。反応チャンバの圧力は、0.1〜100Torr、好ましくは1〜50Torr、より好ましくは4〜20Torrから選択されうる。   [00028] The step of supplying the first and / or second precursor to the reaction chamber is selected from 0.1 to 10 seconds, preferably 0.5 to 5 seconds, more preferably 0.8 to 2 seconds May take up to T1 and T3. For example, T1 may be 1 second and T3 may be 1.3 seconds. The flow rate of the first or second precursor to the reaction chamber may be selected from 50 to 1000 sccm, preferably 100 to 500 sccm, more preferably 200 to 400 sccm. The pressure of the reaction chamber may be selected from 0.1 to 100 Torr, preferably 1 to 50 Torr, more preferably 4 to 20 Torr.

[00029]第一および第二の反応物質の一つまたは両方がハロゲン原子を持つことができる。第一および第二の反応物質の少なくとも一つは水素(H)を含みうる。第一および第二の反応物質は同じであってもよい。持続時間T2、T4の間、第一および/または第二の反応物質を反応チャンバに供給する工程は、0.5〜50秒、好ましくは1〜10秒、より好ましくは2〜8秒かかる場合がある。第一または第二の反応物質の反応チャンバへの流量は、50〜50000sccm、好ましくは100〜20000sccm、より好ましくは500〜10000sccmから選択されうる。 [00029] One or both of the first and second reactants can have a halogen atom. At least one of the first and second reactants may comprise hydrogen (H 2 ). The first and second reactants may be the same. The step of supplying the first and / or second reactants to the reaction chamber for duration T2, T4 takes from 0.5 to 50 seconds, preferably from 1 to 10 seconds, more preferably from 2 to 8 seconds There is. The flow rate of the first or second reactant to the reaction chamber may be selected from 50 to 50000 sccm, preferably 100 to 20000 sccm, more preferably 500 to 10000 sccm.

[00030]第一および/または第二の反応物質に対してシランを考慮することができる。シランの一般式はSiH2(x+2)であり、xは整数1、2、3、4・・・である。シラン(SiH)、ジシラン(Si)またはトリシラン(Si)は、水素原子を持つ第一および/または第二の反応物質の適切な例でありうる。 [00030] Silanes can be considered for the first and / or second reactants. The general formula of the silane is Si x H 2 (x + 2) , where x is an integer 1, 2, 3, 4. Silane (SiH 4 ), disilane (Si 2 H 6 ) or trisilane (Si 3 H 8 ) may be suitable examples of the first and / or second reactant having a hydrogen atom.

[00031]第一の前駆体、第一の反応物質、第二の前駆体および第二の反応物質の少なくとも一つの一部分を反応チャンバから持続時間R1、R2、R3またはR4の間、除去する(例えば、パージする)工程は、0.5〜50秒、好ましくは1〜10秒、より好ましくは2〜8秒行うことができる。第一の前駆体を基材に供給した後、第一の反応物質を基材に供給した後、第二の前駆体をシード層に供給した後、および第二の反応物質をシード層に供給した後、パージを使用して、第一の前駆体、第一の反応物質、第二の前駆体および第二の反応物質の少なくとも一つを、持続時間R1、R2、R3またはR4の間、反応チャンバから除去することができる。除去は、パージガスをポンプ注入および/または供給することにより達成できる。パージガスは窒素などの不活性ガスでもよい。   [00031] Remove a portion of at least one of the first precursor, the first reactant, the second precursor and the second reactant from the reaction chamber for a duration R1, R2, R3 or R4 For example, the step of purging can be performed for 0.5 to 50 seconds, preferably 1 to 10 seconds, more preferably 2 to 8 seconds. After supplying the first precursor to the substrate, after supplying the first reactant to the substrate, after supplying the second precursor to the seed layer, and supplying the second reactant to the seed layer And then using at least one of the first precursor, the first reactant, the second precursor and the second reactant for a duration R1, R2, R3 or R4 using a purge. It can be removed from the reaction chamber. The removal can be achieved by pumping and / or supplying a purge gas. The purge gas may be an inert gas such as nitrogen.

[00032]方法は単一またはバッチウエハALD機器に使用できる。方法は基材を反応チャンバに提供する工程を含み、反応チャンバ中の前処理ALDサイクルは、第一の前駆体を反応チャンバ中の基材に供給する工程、第一の前駆体の一部分を反応チャンバからパージする工程、第一の反応物質を反応チャンバ中の基材に供給する工程、および第一の反応物質の一部分を反応チャンバからパージする工程を含みうる。さらに方法は基材を反応チャンバに提供する工程を含み、反応チャンバ中のバルクALDサイクルは、第二の前駆体を反応チャンバ中の基材に供給する工程、第二の前駆体の一部分を反応チャンバからパージする工程、第二の反応物質を反応チャンバ中の基材に供給する工程、および第二の反応物質の一部分を反応チャンバからパージする工程を含む。   [00032] The method can be used for single or batch wafer ALD equipment. The method comprises the steps of providing a substrate to a reaction chamber, wherein a pre-treatment ALD cycle in the reaction chamber comprises the steps of: supplying a first precursor to the substrate in the reaction chamber; reacting a portion of the first precursor Purging from the chamber, supplying the first reactant to the substrate in the reaction chamber, and purging a portion of the first reactant from the reaction chamber may be included. The method further includes the step of providing a substrate to the reaction chamber, wherein the bulk ALD cycle in the reaction chamber comprises the step of providing a second precursor to the substrate in the reaction chamber, reacting a portion of the second precursor Purging from the chamber, supplying a second reactant to the substrate in the reaction chamber, and purging a portion of the second reactant from the reaction chamber.

[00033]ALDプロセスを実施するために特に設計された例示的単一ウエハ反応器が、ASM International NV(オランダ、アルメレ)からPulsar(登録商標)、Emerald(登録商標)、Dragon(登録商標)およびEagle(登録商標)の商品名で市販されている。方法はバッチウエハ反応器(例えば、垂直炉)でも実施することができる。例えば、堆積プロセスは、ASM International N.V.から市販されているA412(商標)垂直炉でも実施できる。炉は、300 mmの直径を持つ150個の半導体基材、またはウエハの量を収容できるプロセスチャンバを有してもよい。   [00033] Exemplary single-wafer reactors specifically designed to perform ALD processes are: ASM International NV (Almere, The Netherlands) from Pulsar (R), Emerald (R), Dragon (R) and It is marketed under the trade name Eagle®. The method can also be practiced with batch wafer reactors (eg, vertical furnaces). For example, the deposition process is described in ASM International N. V. Can also be implemented with the A412.TM. vertical furnace, which is commercially available from The furnace may have 150 semiconductor substrates with a diameter of 300 mm, or a process chamber that can accommodate an amount of wafers.

[00034]ウエハ反応器はコントローラおよび反応器を制御しうるメモリを持つことができる。本開示の実施形態に従って、メモリは、コントローラで実行する時、前駆体および反応物質を反応チャンバに供給するようなプログラムでプログラムすることができる。   [00034] The wafer reactor can have a controller and a memory that can control the reactor. In accordance with embodiments of the present disclosure, the memory can be programmed with a program to supply precursors and reactants to the reaction chamber when executed by the controller.

[00035]図2は、本開示の一実施形態による、層で埋められた基材上の間隙構造の断面図を示す。ここに示されるように、間隙は、実質的に水平な上面を持つすでに製造された層の中に垂直および水平に延長することができる。   [00035] FIG. 2 shows a cross-sectional view of a gap structure on a layer-filled substrate, according to one embodiment of the present disclosure. As shown herein, the gap can extend vertically and horizontally into an already manufactured layer with a substantially horizontal upper surface.

[00036]間隙は、垂直および/または水平の深さが幅よりもはるかに大きくなるような高アスペクト比を持つことができる。例えば、垂直方向では、間隙は上部では207nm、中間では169nmおよび下部では149nmの幅を持つ一方、間隙の深さは432nmとはるかに大きい。例えば、水平方向では、上部から第一の間隙は34nmの幅を持つ一方、間隙の深さは163nm(四捨五入)とはるかに大きい。間隙のアスペクト比(間隙の深さ/間隙の幅)は、約2を超える、約5を超える、約10を超える、約20を超える、約50を超える、約75を超えることができ、一部の場合は、約100を超える、または約150を超える、またはさらに約200を超えることができる。   [00036] The gap can have a high aspect ratio such that the vertical and / or horizontal depth is much larger than the width. For example, in the vertical direction, the gap has a width of 207 nm at the top, 169 nm at the middle and 149 nm at the bottom, while the depth of the gap is much larger at 432 nm. For example, in the horizontal direction, the first gap from the top has a width of 34 nm, while the gap depth is much larger at 163 nm (rounded off). The aspect ratio of the gap (gap depth / gap width) can be more than about 2, more than about 5, more than about 10, more than about 20, more than about 50, more than about 75 In the case of parts, it can be more than about 100, or more than about 150, or even more than about 200.

[00037]間隙に対してアスペクト比を決定することは困難なこともありうるが、この文脈では、アスペクト比は、ウエハまたはウエハの一部の総表面積のウエハまたはウエハの一部の平面表面積に対する比率である表面増強効果比で置き換えうることが指摘されうる。間隙の表面増強効果比(間隙の表面/ウエハの表面)は、約2を超える、約5を超える、約10を超える、約20を超える、約50を超える、約75を超えることができ、一部の場合は、約100を超える、または約150を超える、またはさらに約200を超えることができる。   [00037] It may be difficult to determine the aspect ratio to the gap, but in this context, the aspect ratio is the total surface area of the wafer or part of the wafer relative to the planar surface area of the wafer or part of the wafer It can be pointed out that the ratio can be replaced by the surface enhancement effect ratio. The surface enhancement effect ratio of the gap (gap surface / wafer surface) can be more than about 2, more than about 5, more than about 10, more than about 20, more than about 50, more than about 75, In some cases, more than about 100, or more than about 150, or even more than about 200.

[00038]間隙の表面は異なる種類の堆積材料19、21を含んでもよい。例えば、表面はAlまたはTiNを含みうる。 [00038] The surface of the gap may comprise different types of deposition material 19,21. For example, the surface may comprise Al 2 O 3 or TiN.

[00039]共形金属層23は、第一の前駆体で前処理ALDサイクルを順次反復することによってシード層を堆積し、第二の前駆体でバルクALDサイクルを順次反復することによってバルク層を堆積することにより、間隙の表面に堆積される。使用された方法の詳細を図1aおよび1bならびに関連説明に示す。いくつかの実施形態では、Moを含む堆積膜は、約50%より大きい、約80%より大きい、約90%より大きい、約95%より大きい、約98%より大きい、約99%より大きいステップカバレッジを有しうる。   [00039] The conformal metal layer 23 deposits the seed layer by sequentially repeating the pretreatment ALD cycle with the first precursor and the bulk layer by sequentially repeating the bulk ALD cycle with the second precursor By depositing, it is deposited on the surface of the gap. Details of the method used are shown in FIGS. 1a and 1b and the associated description. In some embodiments, the deposited film comprising Mo has a step greater than about 50%, greater than about 80%, greater than about 90%, greater than about 95%, greater than about 98%, greater than about 99% It may have coverage.

[00040]第一および第二の前駆体は同じ金属原子(例えばモリブデンなどの遷移金属原子)を含みうる。第一および第二の前駆体は同じハロゲン原子(例えば塩化物)を含んでもよい。第一の前駆体はMoCl5を含みうる。第二の前駆体は金属またはハロゲン原子ではない追加的原子(例えば、酸素などのカルコゲン化物原子)を含んでもよい。第二の前駆体は二塩化二酸化モリブデン (VI) (MoOCl)を含みうる。方法は原子層堆積装置で実施しうる。例えば、堆積プロセスはEMERALD(登録商標)XP ALD装置で実施しうる。 [00040] The first and second precursors may comprise the same metal atom (eg, a transition metal atom such as molybdenum). The first and second precursors may comprise the same halogen atom (e.g. chloride). The first precursor may comprise MoCl5. The second precursor may comprise additional atoms that are not metals or halogen atoms (e.g. chalcogenide atoms such as oxygen). The second precursor may comprise molybdenum dichloride dioxide (VI) (MoO 2 Cl 2 ). The method may be carried out in an atomic layer deposition apparatus. For example, the deposition process may be performed on an EMERALD® XP ALD apparatus.

[00041]第一および第二の反応物質は水素(H)であり、これは反応チャンバに5秒の持続時間T2、T4の間、495sccmの流量で供給された。窒素のバージガスは、第一の前駆体の供給後、第一の反応物質の供給後、第二の前駆体の供給後、および第二の反応物質の供給後、5秒の持続時間R1、R2、R3またはR4の間使用された。 [00041] The first and second reactants is a hydrogen (H 2), which during the time duration of 5 seconds in the reaction chamber T2, T4, was supplied at a flow rate of 495Sccm. A nitrogen purge gas is supplied after the first precursor, after the first reactant, after the second precursor, and after the second reactant for a duration of 5 seconds R1, R2 , R3 or R4 was used.

[00042]前処理およびバルクALDサイクルの間、プロセス温度はほぼ550℃であり、圧力はほぼ10Torrであった。第一の前駆体を蒸発させた容器はほぼ70℃であった。第二の前駆体を蒸発させた容器はほぼ35℃であった。   [00042] During the pretreatment and bulk ALD cycles, the process temperature was approximately 550 0 C and the pressure was approximately 10 Torr. The vessel in which the first precursor was evaporated was approximately 70 ° C. The vessel in which the second precursor was evaporated was approximately 35 ° C.

[00043]前処理ALDサイクルを500サイクル使用して約4.6nmのシード層を堆積し、バルクALDサイクルを800サイクル使用して約21.4nmのバルク層を堆積した。示されるように、モリブデン層23は間隙の表面上に非常に均一に堆積され、約26nmの合計厚さを有した。   [00043] A seed layer of about 4.6 nm was deposited using 500 cycles of pretreatment ALD cycle and a bulk layer of about 21.4 nm was deposited using 800 cycles of bulk ALD cycle. As shown, the molybdenum layer 23 was deposited very uniformly on the surface of the gap and had a total thickness of about 26 nm.

[00044]間隙の配向(水平または垂直のいずれであっても)および間隙の幅は、層23の厚さに実質的に影響しないと考えられる。さらに、表面の材料も、それがAl 19またはTiN 21のいずれであっても、層23の厚さに影響しないと考えられる。こうして、良好な均一性で金属を埋めた間隙を作ることが可能となる。 It is believed that the orientation of the gap (whether horizontal or vertical) and the width of the gap do not substantially affect the thickness of layer 23. Furthermore, it is believed that the material of the surface does not affect the thickness of layer 23, whether it is Al 2 O 3 19 or TiN 21. In this way it is possible to make a gap filled with metal with good uniformity.

[00045]方法は空間原子層堆積装置にも使用できる。空間ALDでは、前駆体および反応物質は異なる物理的セクションに連続的に供給され、基材はセクション間を移動する。基材の存在下、半反応が起こりうる少なくとも2つのセクションを提供しうる。このような半反応セクションに基材が存在する場合、第一または第二の前駆体から単層を形成することができる。次に、基材を第二の半反応ゾーンに移動し、そこで第一または第二の反応物質と共にALDサイクルを完了して一つのALD単層を形成する。あるいは、基材の位置を固定し、ガス供給を移動する、またはその2つの何らかの組み合わせが可能である。より厚い膜をうるために、この順序を反復してもよい。   [00045] The method can also be used for spatial atomic layer deposition equipment. In space ALD, precursors and reactants are continuously supplied to different physical sections, and the substrate moves between the sections. In the presence of a substrate, at least two sections can be provided in which a half reaction can occur. When a substrate is present in such a semi-reactive section, a monolayer can be formed from the first or second precursor. The substrate is then transferred to a second half reaction zone where the ALD cycle is completed with the first or second reactants to form one ALD monolayer. Alternatively, the position of the substrate may be fixed, the gas supply may be moved, or some combination of the two. This order may be repeated to obtain thicker films.

[00046]空間ALD装置の実施形態によると、方法は、
各セクションが隣接セクションからガスカーテンで分離されている複数のセクションを備える反応チャンバに基材を配置する工程と、
第一の前駆体を、反応チャンバの第一のセクションの基材に供給する工程と、
基材表面を、反応チャンバの第二のセクションへとガスカーテンを通して反応チャンバに対して側方に移動する工程と、
第一の反応物質を、反応チャンバの第二のセクションの基材に供給して、シード層を形成する工程と、
基材表面を、ガスカーテンを通して反応チャンバに対して側方に移動する工程と、
反応チャンバに対して基材表面を側方移動することを含む、第一の前駆体および反応物質の供給を反復して、シード層を形成する工程とを含む。
[00046] According to an embodiment of the spatial ALD apparatus, the method comprises
Placing the substrate in a reaction chamber comprising a plurality of sections, each section being separated by a gas curtain from an adjacent section;
Supplying a first precursor to the substrate of the first section of the reaction chamber;
Moving the substrate surface laterally to the reaction chamber through the gas curtain to the second section of the reaction chamber;
Supplying a first reactant to the substrate of the second section of the reaction chamber to form a seed layer;
Moving the substrate surface laterally relative to the reaction chamber through the gas curtain;
Repeating the supply of the first precursor and the reactant, including laterally moving the substrate surface relative to the reaction chamber, to form a seed layer.

[00047]バルク層を形成するためには、方法はさらに、
各セクションが隣接セクションからガスカーテンで分離されている複数のセクションを備える反応チャンバに基材を配置する工程と、
第二の前駆体を、反応チャンバの第一のセクションの基材に供給する工程と、
基材表面を、反応チャンバの第二のセクションへとガスカーテンを通して反応チャンバに対して側方に移動する工程と、
第二の反応物質を、反応チャンバの第二のセクションの基材に供給して、バルク層を形成する工程と、
基材表面を、ガスカーテンを通して反応チャンバに対して側方に移動する工程と、
反応チャンバに対して基材表面を側方移動することを含む、第二の前駆体および反応物質の供給を反復して、バルク層を形成する工程とを含む。
[00047] In order to form the bulk layer, the method further comprises
Placing the substrate in a reaction chamber comprising a plurality of sections, each section being separated by a gas curtain from an adjacent section;
Supplying a second precursor to the substrate of the first section of the reaction chamber;
Moving the substrate surface laterally to the reaction chamber through the gas curtain to the second section of the reaction chamber;
Supplying a second reactant to the substrate of the second section of the reaction chamber to form a bulk layer;
Moving the substrate surface laterally relative to the reaction chamber through the gas curtain;
Repeating the supply of the second precursor and the reactant, including laterally moving the substrate surface relative to the reaction chamber, to form a bulk layer.

[00048]第一および第二の前駆体は異なってもよい。第一および第二の反応物質は同じであってもよく、水素原子を含みうる。   [00048] The first and second precursors may be different. The first and second reactants may be the same and may include hydrogen atoms.

[00049]一実施形態によると、シード層は化学気相蒸着(CVD)プロセスで堆積することができ、ここで第一の前駆体および第一の反応物質は基材に対して同時に供給される。バルク層はCVDプロセスで堆積することができ、ここでも第二の前駆体および第二の反応物質は基材に対して同時に供給されうる。   [00049] According to one embodiment, the seed layer can be deposited by a chemical vapor deposition (CVD) process, wherein the first precursor and the first reactant are provided simultaneously to the substrate . The bulk layer can be deposited by a CVD process, and again the second precursor and the second reactant can be provided simultaneously to the substrate.

[00050]CVDプロセスはパルスCVDプロセスであってもよく、ここで第一の前駆体はパルスで基材に供給される一方、反応物質は連続的に基材に供給される。より高い濃度の反応物質はハロゲンの濃度を低下させうることが利点となりうる。高濃度のハロゲンは基材上の半導体デバイスを損傷しうる。   [00050] The CVD process may be a pulsed CVD process, wherein the first precursor is supplied to the substrate in pulses while the reactant is supplied to the substrate continuously. It may be advantageous that higher concentrations of reactants may reduce the concentration of halogen. High concentrations of halogen can damage semiconductor devices on the substrate.

[00051]例えば、シード層に対するパルスCVDプロセスでは、第一の前駆体の五塩化モリブデン(MoCl5)を1秒のパルスで提供し、5秒のパージガス流と交互に実施しうる。第一の反応物質の水素は500sccmの流量で連続的に供給し、基材は550℃に維持してもよい。   [00051] For example, in a pulsed CVD process on the seed layer, the first precursor molybdenum pentachloride (MoCl5) may be provided in a 1 second pulse, alternating with a 5 second purge gas flow. The first reactant hydrogen may be supplied continuously at a flow rate of 500 sccm and the substrate may be maintained at 550 ° C.

[00052]CVDプロセスを実施するために特に設計された例示的単一ウエハ反応器が、ASM International NV(オランダ、アルメレ)からDragon(登録商標)の商品名で市販されている。方法はバッチウエハ反応器(例えば、垂直炉)でも実施することができる。例えば、堆積プロセスは、ASM International N.V.から市販されているA400(商標)、またはA412(商標)垂直炉でも実施できる。炉は、150個の半導体基材、またはウエハの量を収容できるプロセスチャンバを有してもよい。   [00052] An exemplary single-wafer reactor specifically designed to perform the CVD process is commercially available from ASM International NV (Almere, The Netherlands) under the trade name Dragon®. The method can also be practiced with batch wafer reactors (eg, vertical furnaces). For example, the deposition process is described in ASM International N. V. It can also be carried out with the A400TM, or A412TM vertical furnace, which is commercially available from The furnace may have a process chamber that can accommodate 150 semiconductor substrates, or quantities of wafers.

[00053]3D NANDメモリの生産については、ワード線は抵抗率の低い金属充填を必要とする間隙を持ちうる。既存の解決策では、CVDタングステン間隙充填のシード層としてTiNを利用しうる。現在のフッ素ベースのタングステン堆積プロセスでは、WF6前駆体からのフッ素が拡散しうる。フッ素の拡散および拡散したフッ素による高誘電率(high−k)Al2O3膜の攻撃を避けるために、厚い(=3nm)TiNバリアが必要となりうる。しかし、TiN膜の高い抵抗率(3nmで800μΩ−cm)はTiN/Wスタック抵抗率の増加をもたらし、これは望ましくない場合がある。   [00053] For the production of 3D NAND memories, the word lines can have gaps that require low resistivity metal fill. Existing solutions may utilize TiN as a seed layer for CVD tungsten gap filling. In current fluorine based tungsten deposition processes, fluorine from WF6 precursors can diffuse. A thick (= 3 nm) TiN barrier may be needed to avoid fluorine diffusion and attack of the high dielectric constant (high-k) Al 2 O 3 film by the diffused fluorine. However, the high resistivity of TiN films (800 μΩ-cm at 3 nm) results in an increase in TiN / W stack resistivity, which may not be desirable.

[00054]基材上に、低い抵抗性を持つ一方フッ素を含まない堆積層を形成する改善された方法が必要である場合がある。従って、特徴部の製造中に作られる間隙を持つ基材を提供する工程、基材上にシード層を堆積する工程、およびシード層の上にバルク層を堆積する工程を含む、層の形成方法が提供されうる。バルク層の堆積は、タングステンなどの遷移金属を含む第二の前駆体を供給して、シード層の上部にバルク層を堆積する工程を含みうる。   [00054] There may be a need for improved methods of forming low resistivity, but fluorine free, deposited layers on substrates. Thus, a method of forming a layer, including providing a substrate with a gap created during manufacture of the features, depositing a seed layer on the substrate, and depositing a bulk layer on the seed layer Can be provided. Depositing the bulk layer can include depositing a bulk layer on top of the seed layer, supplying a second precursor comprising a transition metal such as tungsten.

[00055]第二の前駆体は、バルク層を堆積するために塩化物などのハロゲンを含んでもよい。第二の前駆体は、五塩化タングステン(V)(WCl)または六塩化タングステン(VI))(WCl)でもよい。バルク層は、五塩化タングステン(V)(WCl)または六塩化タングステン(VI)(WCl)と水素HをALDまたはCVD動作モードで反応することにより堆積することができる。例えば、WClの反応は450℃の温度および40Torrの圧力で達成しうる。前駆体はALDまたはCVD動作モードで提供することができる。 [00055] The second precursor may comprise a halogen such as chloride to deposit the bulk layer. The second precursor may be tungsten pentachloride (V) (WCl 5 ) or tungsten hexachloride (VI)) (WCl 6 ). The bulk layer can be deposited by reacting tungsten (V) chloride (V) (WCl 5 ) or tungsten hexachloride (VI) (WCl 6 ) with hydrogen H 2 in an ALD or CVD mode of operation. For example, the reaction of WCl 5 can be accomplished at a temperature of 450 ° C. and a pressure of 40 Torr. The precursors can be provided in an ALD or CVD mode of operation.

[00056]シード層は、水素と反応する第一の前駆体を含むモリブデンと共に堆積しうる。モリブデンを使用したシード層の抵抗率は3nmで107μΩ−cmでありえ、これはTiN層よりも低い。15nmのスタック厚さ(30nm CD構造の間隙充填に相当)に対しては特に、このアプローチを使用して良好な間隙充填が達成された。シード層の上にバルク層を堆積するために五塩化タングステン(V)(WCl)または六塩化タングステン(VI)(WCl)を使用することにより、フッ素を使用せずにタングステン層を堆積し、それでもなお低い抵抗率を持つことが可能になる。シード層の前駆体は、遷移金属(例えば、モリブデン(Mo))、ハロゲン(例えば、塩化物(Cl))および随意にカルコゲン化物(例えば、酸素(O))を含みうる。シード層の前駆体は、例えば、五塩化(MoCl)または二塩化二酸化モリブデン(VI)(MoOCl)であってもよく、両方とも水素と反応する。五塩化モリブデン(MoCl)が使用された場合は、二塩化二酸化モリブデン(VI)(MoOCl)と比べて、水素の分圧が100倍低くなりうる。 [00056] The seed layer may be deposited with molybdenum containing a first precursor that reacts with hydrogen. The resistivity of the seed layer using molybdenum can be 107 μΩ-cm at 3 nm, which is lower than that of the TiN layer. Good gap filling was achieved using this approach, especially for a stack thickness of 15 nm (corresponding to gap filling of a 30 nm CD structure). Deposit the tungsten layer without using fluorine by using tungsten pentachloride (V) (WCl 5 ) or tungsten hexachloride (VI) (WCl 6 ) to deposit the bulk layer on the seed layer However, it is still possible to have low resistivity. The precursor of the seed layer may comprise a transition metal (e.g. molybdenum (Mo)), a halogen (e.g. chloride (Cl)) and optionally a chalcogenide (e.g. oxygen (O)). The precursor of the seed layer may be, for example, pentachloride (MoCl 5 ) or molybdenum (VI) dichloride dichloride (MoO 2 Cl 2 ), both of which react with hydrogen. When molybdenum pentachloride (MoCl 5 ) is used, the partial pressure of hydrogen may be 100 times lower than that of molybdenum (VI) dichloride dioxide (MoO 2 Cl 2 ).

[00057]モリブデンシード層の堆積速度は1.2オングストローム/サイクルでもよい。比較のために、TiNシード層の堆積速度は同じ状況下で、0.6オングストローム/サイクルでもよい。従って、モリブデンシード層の堆積速度は十分でありうる。   [00057] The deposition rate of the molybdenum seed layer may be 1.2 angstroms / cycle. For comparison, the deposition rate of the TiN seed layer may be 0.6 Å / cycle under the same conditions. Thus, the deposition rate of the molybdenum seed layer may be sufficient.

[00058]シード層上に堆積される金属は銅でもよい。第二の前駆体は銅を含みうる。第二の前駆体は、バルク層を堆積するために塩化物などのハロゲンを含んでもよい。第二の前駆体は、二塩化銅(II)(CuCl)または塩化銅(CuCl)を含んでもよい。前駆体は、水素と反応するALDまたはCVD動作モードで提供することができる。 [00058] The metal deposited on the seed layer may be copper. The second precursor may comprise copper. The second precursor may comprise a halogen such as chloride to deposit the bulk layer. The second precursor may comprise copper (II) dichloride (CuCl 2 ) or copper chloride (CuCl). The precursors can be provided in an ALD or CVD mode of reaction with hydrogen.

[00059]シード層上に堆積する金属は、Ti、V、Cr、Mn、Nb、Mo、Ru、Rh、Pd、Ag、Hf、Ta、W、Re、Os、IrおよびPtの群からの遷移金属または貴金属であってもよい。いくつかの実施形態では、層はCoまたはNiを含みうる。   [00059] The metal deposited on the seed layer is a transition from the group Ti, V, Cr, Mn, Nb, Mo, Ru, Rh, Pd, Ag, Hf, Ta, W, Re, Os, Ir and Pt. It may be metal or noble metal. In some embodiments, the layer can include Co or Ni.

[00060]追加的実施形態では、シードまたはバルク層は、約40 at.%未満、約30 at.%未満、約20 at.%未満、約10 at.%未満、約5 at.%未満、またはさらに約2 at.%の酸素を含みうる。さらなる実施形態では、シードまたはバルク層は、約30 at.%未満、約20 at.%未満、約10 at.%未満、約5 at.%未満、または約2 at.%、またはさらに約1 at.%未満の水素を含みうる。いくつかの実施形態では、シードまたはバルク層は、約10 at.%、または約5 at.%未満、約1 at.%未満、またはさらに約0.5 at.%未満のハロゲン化物または塩化物を含みうる。またさらなる実施形態では、シードまたはバルク層は、約10 at.%未満、または約5 at.%未満、または約2 at.%未満、または約1 at.%未満、またはさらに約0.5 at.%未満の炭素を含みうる。本明細書で概要を述べる実施形態では、元素の原子百分率(at.%)濃度は、ラザフォード後方散乱(RBS)を利用して決定されうる。   [00060] In additional embodiments, the seed or bulk layer is about 40 at. Less than about 30 at. Less than about 20 at. Less than about 10 at. Less than about 5 at. Less than%, or even about 2 at. % Oxygen can be included. In further embodiments, the seed or bulk layer is about 30 at. Less than about 20 at. Less than about 10 at. Less than about 5 at. Less than%, or about 2 at. %, Or even about 1 at. It may contain less than% hydrogen. In some embodiments, the seed or bulk layer is about 10 at. %, Or about 5 at. Less than about 1 at. % Or less, or even about 0.5 at. % Or less of halide or chloride may be included. In still further embodiments, the seed or bulk layer is about 10 at. % Or less, or about 5 at. Less than%, or about 2 at. Less than%, or about 1 at. % Or less, or even about 0.5 at. It may contain less than% carbon. In the embodiments outlined herein, atomic percent (at.%) Concentrations of elements may be determined utilizing Rutherford backscattering (RBS).

[00061]本開示のいくつかの実施形態では、半導体デバイス構造などの半導体デバイス構造の形成には、モリブデン膜を含むゲート電極構造を形成する工程を含むことができ、ゲート電極構造は、約4.9eVより大きい、または約5.0eVより大きい、または約5.1eVより大きい、または約5.2eVより大きい、または約5.3eVより大きい、またはさらに約5.4eVより大きい有効仕事関数を持つ。いくつかの実施形態では、上述の有効仕事関数値は、約100オングストローム未満、または50オングストローム未満、または約40オングストローム未満、またはさらに30オングストローム未満の厚さを持つモリブデン膜を含む電極構造に対して示されうる。   [00061] In some embodiments of the present disclosure, forming a semiconductor device structure, such as a semiconductor device structure, can include forming a gate electrode structure comprising a molybdenum film, the gate electrode structure comprising about 4 Have an effective work function of greater than .9 eV, or greater than about 5.0 eV, or greater than about 5.1 eV, or greater than about 5.2 eV, or greater than about 5.3 eV, or even greater than about 5.4 eV . In some embodiments, the effective work function values described above are for an electrode structure comprising a molybdenum film having a thickness of less than about 100 angstroms, or less than 50 angstroms, or less than about 40 angstroms, or even less than 30 angstroms. Can be shown.

[00062]当業者であれば、本発明の範囲から逸脱することなく、上述のプロセスおよび構造に対して様々な省略、追加および修正を行うことができることを理解するであろう。実施形態の特定の特徴および態様のさまざまな組み合わせまたは部分組み合わせを作ることができ、それでもなお記述の範囲内となることが企図されている。開示された実施形態のさまざまな特徴および態様は、互いに順番に組み合わせる、または置き換えることができる。このような修正および変更のすべては、添付の特許請求の範囲により規定されるように、本発明の範囲内に入ることが意図されている。   [00062] Those skilled in the art will appreciate that various omissions, additions, and modifications may be made to the processes and structures described above without departing from the scope of the present invention. It is contemplated that various combinations or subcombinations of particular features and aspects of the embodiments may be made and still be within the scope of the description. Various features and aspects of the disclosed embodiments can be combined or substituted with one another in order. All such modifications and variations are intended to be included within the scope of the present invention as defined by the appended claims.

Claims (28)

層を形成する方法であって、
特徴部の製造中に作られる間隙を持つ基材を提供する工程と、
前記基材上にシード層を堆積する工程と、
前記シード層上にバルク層を堆積する工程とを含み、
前記シード層の堆積が、
金属およびハロゲン原子を持つ第一の前駆体を前記基材に供給する工程と、
第一の反応物質を前記基材に供給する工程とを含み、前記第一の前駆体の一部分および前記第一の反応物質が反応して、前記シード層の少なくとも一部分を形成し、
前記バルク層の堆積が、
金属およびハロゲン原子を持つ第二の前駆体を前記シード層に供給する工程と、
第二の反応物質を前記シード層に供給する工程とを含み、前記第二の前駆体の一部分および前記第二の反応物質が反応して前記シード層上に前記バルク層の少なくとも一部分を形成し、
前記第一および第二の前駆体が異なってもよい、方法。
A method of forming a layer,
Providing a substrate with a gap created during manufacture of the feature;
Depositing a seed layer on the substrate;
Depositing a bulk layer on said seed layer,
The deposition of the seed layer is
Supplying a first precursor having a metal and a halogen atom to the substrate;
Supplying a first reactant to the substrate, wherein a portion of the first precursor and the first reactant react to form at least a portion of the seed layer,
The deposition of the bulk layer is
Supplying a second precursor having a metal and a halogen atom to the seed layer;
Supplying a second reactant to the seed layer, wherein a portion of the second precursor and the second reactant react to form at least a portion of the bulk layer on the seed layer. ,
The method wherein the first and second precursors may be different.
前記第一および第二の反応物質の少なくとも一つが水素原子を含む、請求項1に記載の方法。   The method of claim 1, wherein at least one of the first and second reactants comprises a hydrogen atom. 前記第一および第二の反応物質の少なくとも一つが水素(H)を含む、請求項2に記載の方法。 3. The method of claim 2, wherein at least one of the first and second reactants comprises hydrogen (H2). 前記第一および第二の前駆体が同じ金属原子である、請求項1に記載の方法。   The method of claim 1, wherein the first and second precursors are the same metal atom. 前記第一および第二の前駆体の少なくとも一つが遷移金属原子を含む、請求項1に記載の方法。   The method of claim 1, wherein at least one of the first and second precursors comprises a transition metal atom. 前記遷移金属原子がモリブデンである、請求項5に記載の方法。   6. The method of claim 5, wherein the transition metal atom is molybdenum. 前記第一および第二の前駆体が同じハロゲン原子を含む、請求項1に記載の方法。   The method of claim 1, wherein the first and second precursors comprise the same halogen atom. 前記ハロゲン原子が塩化物である、請求項1に記載の方法。   The method according to claim 1, wherein the halogen atom is a chloride. 前記第一の前駆体が五塩化モリブデン(MoCl)を含む、請求項1に記載の方法。 The method of claim 1, wherein the first precursor comprises molybdenum pentachloride (MoCl 5 ). 前記第二の前駆体が金属またはハロゲン原子ではない追加的原子を含む、請求項1に記載の方法。   The method of claim 1, wherein the second precursor comprises an additional atom that is not a metal or a halogen atom. 前記追加的原子がカルコゲン化物である、請求項10に記載の方法。   11. The method of claim 10, wherein the additional atom is a chalcogenide. 前記カルコゲン化物が酸素である、請求項11に記載の方法。   The method according to claim 11, wherein the chalcogenide is oxygen. 前記第二の前駆体が、二塩化二酸化モリブデン(VI)(MoOCl)を含む、請求項12に記載の方法。 The method according to claim 12, wherein the second precursor comprises molybdenum dichloride dioxide (VI) (MoO 2 Cl 2 ). 前記第一および第二の前駆体の少なくとも一つが、前記反応チャンバにパルスで供給され、前記パルスが0.1〜10秒である、請求項1に記載の方法。   The method according to claim 1, wherein at least one of the first and second precursors is pulsed to the reaction chamber, and the pulse is 0.1 to 10 seconds. 前記第一または第二の前駆体の前記反応チャンバへの流量が50〜1000sccmである、請求項1に記載の方法。   The method according to claim 1, wherein the flow rate of the first or second precursor to the reaction chamber is 50 to 1000 sccm. 前記第一または第二の反応物質の前記反応チャンバへの流量が50〜50000sccmである、請求項1に記載の方法。   The method of claim 1, wherein the flow rate of the first or second reactant to the reaction chamber is 50 to 50000 sccm. 前記反応チャンバの圧力が0.1〜100Torrである、請求項1に記載の方法。   The method of claim 1, wherein the pressure of the reaction chamber is 0.1 to 100 Torr. プロセス温度が300〜800℃である、請求項1に記載の方法。   The method according to claim 1, wherein the process temperature is 300 to 800C. 前記シードおよびバルク層の少なくとも一つの堆積が、前記第一または第二の前駆体の前記基材への順次供給を含む原子層堆積(ALD)サイクルを反復する工程、および前記第一または第二の反応物質を前記基材に供給する工程とを含む、請求項1に記載の方法。   Repeating an atomic layer deposition (ALD) cycle wherein deposition of at least one of the seed and bulk layers comprises sequentially supplying the first or second precursor to the substrate, and the first or second And B. supplying the following reactants to the substrate. 前記第一の前駆体、前記第一の反応物質、前記第二の前駆体または前記第二の反応物質の前記基材への供給の合間に、前記基材が0.5〜50秒パージされる、請求項19に記載の方法。   The substrate is purged for 0.5 to 50 seconds between the supply of the first precursor, the first reactant, the second precursor, or the second reactant to the substrate. 20. The method of claim 19, wherein 前記第一および/または第二の反応物質の前記反応チャンバへの供給が0.5〜50秒かかる、請求項19に記載の方法。   20. The method of claim 19, wherein providing the first and / or second reactants to the reaction chamber takes 0.5 to 50 seconds. 前記シード層の堆積のために、前処理ALDサイクルが100〜1000回反復され、前記バルク層の堆積のために、前記バルクALDサイクルが200〜2000回反復される、請求項19に記載の方法。   The method according to claim 19, wherein the pretreatment ALD cycle is repeated 100 to 1000 times for deposition of the seed layer, and the bulk ALD cycle is repeated 200 to 2000 times for deposition of the bulk layer. . 前記シードおよびバルク層の少なくとも一つの堆積が化学気相蒸着(CVD)プロセスを含み、前記前駆体が前記反応物質と同時に前記基材に供給される、請求項1に記載の方法。   The method according to claim 1, wherein the deposition of the at least one of the seed and bulk layers comprises a chemical vapor deposition (CVD) process, and the precursor is provided to the substrate simultaneously with the reactants. 前記遷移金属原子がタングステン(W)である、請求項5に記載の方法。   The method according to claim 5, wherein the transition metal atom is tungsten (W). 前記第二の前駆体がタングステン(W)を含む、請求項1に記載の方法。   The method of claim 1, wherein the second precursor comprises tungsten (W). 前記第二の前駆体が五塩化タングステン(V)(WCl)または六塩化タングステン(VI)(WCl)を含む、請求項25に記載の方法。 26. The method of claim 25, wherein the second precursor comprises tungsten pentachloride (V) (WCl 5 ) or tungsten hexachloride (VI) (WCl 6 ). 前記第二の前駆体が銅を含む、請求項1に記載の方法。   The method of claim 1, wherein the second precursor comprises copper. 前記第二の前駆体が二塩化銅(II)(CuCl)または塩化銅(CuCl)を含む、請求項24に記載の方法。 The second precursor comprises cupric chloride (II) (CuCl 2) or copper chloride (CuCl), The method of claim 24.
JP2018159862A 2017-08-30 2018-08-29 Layer formation method Active JP7460319B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/691,241 2017-08-30
US15/691,241 US11056344B2 (en) 2017-08-30 2017-08-30 Layer forming method
US201762607070P 2017-12-18 2017-12-18
US62/607,070 2017-12-18

Publications (2)

Publication Number Publication Date
JP2019044266A true JP2019044266A (en) 2019-03-22
JP7460319B2 JP7460319B2 (en) 2024-04-02

Family

ID=65514591

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018159862A Active JP7460319B2 (en) 2017-08-30 2018-08-29 Layer formation method

Country Status (4)

Country Link
JP (1) JP7460319B2 (en)
KR (2) KR20190024806A (en)
CN (1) CN109427570B (en)
TW (1) TWI784036B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021120472A (en) * 2020-01-30 2021-08-19 株式会社Kokusai Electric Method of manufacturing semiconductor device, program and substrate treatment apparatus
JP2022505444A (en) * 2018-10-24 2022-01-14 インテグリス・インコーポレーテッド A method for forming a molybdenum film on a substrate
WO2022064550A1 (en) * 2020-09-23 2022-03-31 株式会社Kokusai Electric Method for producing semiconductor device, recording medium, and substrate processing apparatus
WO2022108762A1 (en) * 2020-11-19 2022-05-27 Lam Research Corporation Low resistivity contacts and interconnects
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202218133A (en) * 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202204662A (en) * 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006228923A (en) * 2005-02-17 2006-08-31 Kyushu Univ Method for manufacturing thin film
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
JP2015074831A (en) * 2013-10-09 2015-04-20 エーエスエム アイピー ホールディング ビー.ブイ. METHOD FOR FORMING Ti CONTAINING FILM BY PEALD USING TDMAT OR TDEAT
JP2016098406A (en) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US20170062224A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors
WO2017056187A1 (en) * 2015-09-29 2017-04-06 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing device, and recording medium

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1386043A (en) * 2001-05-14 2002-12-18 长春石油化学股份有限公司 Deposition method for IC copper inner conductive wire inculating crystal layer
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
KR100487639B1 (en) * 2002-12-11 2005-05-03 주식회사 하이닉스반도체 Method for forming metal line of semiconductor device
US7432200B2 (en) * 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US7354849B2 (en) * 2006-02-28 2008-04-08 Intel Corporation Catalytically enhanced atomic layer deposition process
US20080242097A1 (en) * 2007-03-28 2008-10-02 Tim Boescke Selective deposition method
JP5582727B2 (en) * 2009-01-19 2014-09-03 株式会社東芝 Semiconductor device manufacturing method and semiconductor device
US8945305B2 (en) * 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
WO2014140672A1 (en) * 2013-03-15 2014-09-18 L'air Liquide, Societe Anonyme Pour I'etude Et I'exploitation Des Procedes Georges Claude Bis(alkylimido)-bis(alkylamido)molybdenum molecules for deposition of molybdenum-containing films
US20150001720A1 (en) * 2013-06-27 2015-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure and Method for Forming Interconnect Structure
US10109534B2 (en) * 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006228923A (en) * 2005-02-17 2006-08-31 Kyushu Univ Method for manufacturing thin film
JP2009024252A (en) * 2007-05-15 2009-02-05 Applied Materials Inc Atomic layer deposition process for tungsten material
JP2015074831A (en) * 2013-10-09 2015-04-20 エーエスエム アイピー ホールディング ビー.ブイ. METHOD FOR FORMING Ti CONTAINING FILM BY PEALD USING TDMAT OR TDEAT
JP2016098406A (en) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 Film deposition method of molybdenum film
US20170062224A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors
WO2017056187A1 (en) * 2015-09-29 2017-04-06 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing device, and recording medium

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022505444A (en) * 2018-10-24 2022-01-14 インテグリス・インコーポレーテッド A method for forming a molybdenum film on a substrate
JP7449928B2 (en) 2018-10-24 2024-03-14 インテグリス・インコーポレーテッド Method for forming molybdenum film on substrate
US11970776B2 (en) 2019-01-28 2024-04-30 Lam Research Corporation Atomic layer deposition of metal films
JP2021120472A (en) * 2020-01-30 2021-08-19 株式会社Kokusai Electric Method of manufacturing semiconductor device, program and substrate treatment apparatus
JP7117336B2 (en) 2020-01-30 2022-08-12 株式会社Kokusai Electric Semiconductor device manufacturing method, program and substrate processing apparatus
US11621169B2 (en) 2020-01-30 2023-04-04 Kokusai Electric Corporation Method of manufacturing semiconductor device, recording medium, and substrate processing apparatus
WO2022064550A1 (en) * 2020-09-23 2022-03-31 株式会社Kokusai Electric Method for producing semiconductor device, recording medium, and substrate processing apparatus
WO2022108762A1 (en) * 2020-11-19 2022-05-27 Lam Research Corporation Low resistivity contacts and interconnects

Also Published As

Publication number Publication date
CN109427570A (en) 2019-03-05
KR20190024806A (en) 2019-03-08
TW201934792A (en) 2019-09-01
KR20220155951A (en) 2022-11-24
CN109427570B (en) 2024-04-12
JP7460319B2 (en) 2024-04-02
TWI784036B (en) 2022-11-21
TW202305163A (en) 2023-02-01

Similar Documents

Publication Publication Date Title
US20210313182A1 (en) Layer forming method
US20190067095A1 (en) Layer forming method
JP7372247B2 (en) Deposition method
US11447864B2 (en) Layer forming method and apparatus
JP7460319B2 (en) Layer formation method
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
JP6980020B2 (en) Cobalt cohesion resistance and gap filling effect enhanced by ruthenium doping
US11295980B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US20190067003A1 (en) Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
CN111095488A (en) Metal filling process for three-dimensional vertical NAND word lines
US20230122846A1 (en) Feature fill with nucleation inhibition
TWI839906B (en) Layer forming method
US20220349048A1 (en) Reducing line bending during metal fill process
JP2020029616A (en) Method for depositing molybdenum metal film on dielectric surface of substrate and related semiconductor device structures
US20220359532A1 (en) Enhancing gapfill performance of dram word line
TW202340505A (en) Feature fill with nucleation inhibition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210816

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220818

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220905

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231211

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20231225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240321

R150 Certificate of patent or registration of utility model

Ref document number: 7460319

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150