JP2015524573A - 現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法 - Google Patents

現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法 Download PDF

Info

Publication number
JP2015524573A
JP2015524573A JP2015520503A JP2015520503A JP2015524573A JP 2015524573 A JP2015524573 A JP 2015524573A JP 2015520503 A JP2015520503 A JP 2015520503A JP 2015520503 A JP2015520503 A JP 2015520503A JP 2015524573 A JP2015524573 A JP 2015524573A
Authority
JP
Japan
Prior art keywords
moiety
polymer
weight
barc
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015520503A
Other languages
English (en)
Inventor
チェン,クァンジャン
ホルムス,スティーブン
ファン,ウソン
クォン,ラーニ,ワイリン
リュー,セン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2015524573A publication Critical patent/JP2015524573A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • C09D133/04Homopolymers or copolymers of esters
    • C09D133/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C09D133/062Copolymers with monomers not covered by C09D133/06
    • C09D133/066Copolymers with monomers not covered by C09D133/06 containing -OH groups
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/02Physical, chemical or physicochemical properties
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/06Homopolymers or copolymers of esters of esters containing only carbon, hydrogen and oxygen, which oxygen atoms are present only as part of the carboxyl radical
    • C08L33/062Copolymers with monomers not covered by C08L33/06
    • C08L33/066Copolymers with monomers not covered by C08L33/06 containing -OH groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • C08L33/16Homopolymers or copolymers of esters containing halogen atoms
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D133/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Coating compositions based on derivatives of such polymers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2205/00Polymer mixtures characterised by other features
    • C08L2205/02Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group
    • C08L2205/025Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group containing two or more polymers of the same hierarchy C08L, and differing only in parameters such as density, comonomer content, molecular weight, structure
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2312/00Crosslinking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

【課題】 発明は、現像可能な底部反射防止膜(BARC)組成物およびBARC組成物を用いたパターン形成方法に関する。【解決手段】 BARC組成物は、第1のカルボン酸部分、水酸基含有脂環式部分および第1の発色団部分を含む第1のポリマーと;第2のカルボン酸部分、水酸基含有非環式部分および第2の発色団部分を含む第2のポリマーと;架橋剤と;感放射性酸生成剤とを含む。上記第1および第2の発色団部分は、それぞれ、100nm〜400nmの範囲の波長の光を吸収する。パターン形成方法においては、フォトレジスト層が、BARC組成物のBARC層を覆って形成される。露光後、フォトレジスト層およびBARC層の露光されていない部分が現像剤によって選択的に除去されて、パターン化された構造をフォトレジスト層に形成する。BARC組成物およびパターン形成方法は、特に注入レベルにおいて有用である。

Description

本発明は、概して、反射防止膜(ARC)組成物に関し、より詳細には、上を覆うフォトレジストと共に用いるための現像可能な底部反射防止膜(DBARC)組成物に関する。本発明は、そのようなDBARC組成物を用いたパターン形成方法をも対象とする。
フォトリソグラフィは、電子デバイスを作製するために半導体産業において広く用いられている、光を用いてフォトマスクからシリコンウエハなどの基板へ幾何学的なパターンを転写するプロセスである。フォトリソグラフィ・プロセスにおいては、まず、基板上にフォトレジスト層が形成される。フォトレジストは、所望のパターンを有するフォトマスクを通して化学線源に露光される。露光により、フォトレジストの露光された領域で化学反応が起こり、マスクパターンに対応する潜像がフォトレジスト層に生成される。フォトレジストは、次に、通例では塩基水溶液である現像剤で現像され、フォトレジスト層にパターンを形成する。パターニングされたフォトレジストは、堆積、エッチングまたはイオン注入プロセスといった後続する製造プロセスのための基板上のマスクとして用いられる。
フォトリソグラフィにおいて、ポジ型レジストおよびネガ型レジストの2つの種類のフォトレジストが用いられる。ポジ型レジストは、当初、現像剤に不溶である。露光後、レジストの露光された領域が、現像剤に可溶となり、後続する現像工程中に現像剤によって選択的に除去される。ネガ型レジストは、これに対して、当初、現像剤に可溶である。放射への露光により、レジストの露光された領域が現像剤に不溶となる。後続する現像工程中、ネガ型レジストの非露光領域が、現像剤によって選択的に除去され、基板上に露光領域を残してパターンを形成する。
フォトリソグラフィ・プロセスにおいては、高い解像度のフォトレジスト像を実現するにあたり、活性化放射へのフォトレジスト層の露光は、重要な工程である。しかしながら、フォトレジストと下の基板からの活性化放射の反射により、プロセスの解像度が実質的に制限される。反射された放射の主要な問題としては、(1)フォトレジストの膜厚変動のようなフォトレジスト膜の総光強度の変動によって引き起こされる、薄膜干渉効果または定在波の問題と、(2)トポグラフィ形状を含む基板上のフォトレジストをパターニングする場合に起こる、反射ノッチングの問題とがある。
フォトレジストおよびその下の基板から反射される放射は、高開口数(NA)および短波長(248nm,193nmおよびより短い波長など)の露光条件下でのフォトレジストのリソグラフィ性能にとって、ますます有害となっている。注入レベルにおいては、先進の半導体デバイスにとって、ゲートパターニング後の表面形状の存在、もしくは、シリコン、窒化ケイ素および酸化ケイ素といった種々の反射性基板の使用、または、これら両方に起因して、反射された放射の有害な影響は、より一層明白である。
反射される放射を制御し、フォトレジストのリソグラフィ像を改善するため、上部反射防止膜(TARCs)および底部反射防止膜(BARCs)の両方が、本産業で使用されている。TARC層により提供される反射率制御は、通常、BARC層を用いて得られるよりも劣っている。しかしながら、BARCを用いると、通常、レジストパターンを基板へ転写するためには、BARC層を除去するためのエッチ工程が必要となる。エッチ工程は、レジストの薄化、基板への損傷を引き起こし、最終的なデバイスの性能に影響を与える。BARC層を除去するための追加のエッチ工程は、フォトリソグラフィにおけるコストおよび作業の複雑性を増大させる。
最近、DBARC材料が、反射率制御の問題を緩和するために、いくつかの成功実績とともに使用されている。DBARC材料は、現像工程中に現像剤により除去可能であり、追加のエッチ工程の必要性がなくなる。しかしながら、当該分野において最も知られているDBARC材料は、ポジ型フォトレジストのみと適合性がある。ポジ型フォトレジスト用のDBARC材料は、ポジ型フォトレジストと同様な態様で、放射により現像剤に可溶となる。
特開1−293339号公報 カナダ国特許第1 204 547号明細書 米国特許第4,855,017号明細書 米国特許第5,362,663号明細書 米国特許第5,429,710号明細書 米国特許第5,562,801号明細書 米国特許第5,618,751号明細書 米国特許第5,744,376号明細書 米国特許第5,801,094号明細書 米国特許第5,821,469号明細書 米国特許第5,948,570号明細書
Wayne Moreau、「Semiconductor Lithography,Principles,Practices,and Materials」、第12章および13章、Plenum Press、1988年
半導体製造の多くの注入レベルにおいては、ネガ型フォトレジストが用いられている。これは、ポジ型フォトレジストに比較して、ネガ型フォトレジストが、トポグラフィ上のリソグラフィ性能が高く、また、イオン注入中のレジスト収縮率が小さいためである。すなわち、現像剤により現像可能であり、上を覆うネガ型フォトレジストと適合性があり、かつ、特に注入レベルに適したBARCとして用いることができるような所望の光学特性を有する、BARC組成物に対するニーズが依然として残されている。
本発明は、湿式の現像可能なBARC組成物を提供する。本発明は、また、BARC組成物を用いたパターン形成方法を提供する。
1つの態様においては、本発明は、BARC組成物であって、第1のカルボン酸部分、水酸基含有脂環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第1の発色団部分を含む第1のポリマーと;第2のカルボン酸部分、水酸基含有非環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第2の発色団部分を含む第2のポリマーと;架橋剤と;感放射性酸生成剤とを含む、BARC組成物を提供する。
他の態様においては、本発明は、基板上にパターニングされた材料構造を形成する方法に関連する。本方法は、材料の層を有する基板を提供する工程と、BARC組成物を基板に塗布し、材料の層を覆ってBARC層を形成する工程であって、BARC組成物は、第1のカルボン酸部分、水酸基含有脂環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第1の発色団部分を含む第1のポリマーと;第2のカルボン酸部分、水酸基含有非環式部分および100nm〜400nmの範囲から選択された波長の光を吸収する第2の発色団部分を含む第2のポリマーと;架橋剤と;感放射性酸生成剤とを含む、当該工程と、BARC層を覆ってフォトレジスト層を形成する工程と、放射にフォトレジスト層をパターン露光する工程と、現像剤で基板を現像し、これによって、フォトレジスト層およびBARC層の露光されていない部分が現像剤によって選択的に除去されて、パターニングされた構造をフォトレジスト層に形成する工程とを含む。
上記方法におけるフォトレジスト層は、好ましくは、ネガ型フォトレジストである。
上記方法における現像剤は、好ましくは、水溶性アルカリ現像剤である。
上記方法は、パターニングされた構造を材料の層に転写する工程をさらに含む。好ましくは、パターニングされた構造は、イオン注入により転写されて、イオン注入された材料のパターンを材料の層に形成する。
BARC組成物の第1のカルボン酸部分および第2のカルボン酸部分は、それぞれ独立して、アクリル酸モノマー単位、メタクリル酸モノマー単位、4−ビニル安息香酸モノマー単位、アクリル酸2−カルボキシエチルモノマー単位、メタクリル酸2−カルボキシエチルモノマー単位、コハク酸モノ−2−(メタクリロイルオキシ)エチルモノマー単位、コハク酸モノ−2−(アクリロイルオキシ)エチルモノマー単位からなる群から選択される。
水酸基含有脂環式部分は、好ましくは、アダマンチル基を含む。より好ましくは、水酸基含有脂環式部分は、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位であり、pは、1〜30の正の整数である。
BARC組成物の水酸基含有非環式部分は、好ましくは、sが1〜30の正の整数を表し、Rが1〜30の炭素原子総数を有する飽和炭素鎖を表すとして、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位である。
BARC組成物の第1の発色団部分および第2の発色団部分は、好ましくは、それぞれ芳香族基を含む。より好ましくは、第1の発色団部分および第2の発色団部分は、それぞれ独立して、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位であり、mは、1〜30の正の整数であり、nは、0〜30の正の整数である。
BARC組成物の架橋剤は、好ましくは、グリコールウリル化合物である。
BARC組成物の感放射性酸生成剤は、好ましくは、オニウム塩、スクシンイミド誘導体、ジアゾ化合物およびニトロベンジル化合物の少なくとも1つを含む。
BARC組成物は、さらに、溶媒、失活剤および界面活性剤のうちの少なくとも1つを含むことができる。溶媒は、エーテル、アルコール、グリコールエーテル、芳香族炭化水素、ケトンおよびエステルのうちの少なくとも1つとすることができる。好ましくは、BARC組成物は、約0.1〜約29重量%の第1のポリマーと、約0.1〜約29重量%の第2のポリマーと、第1のポリマーおよび第2のポリマーの総重量に基づいて、約0.1〜約30重量%の架橋剤と、第1のポリマーおよび第2のポリマーの総重量に基づいて、約0.1〜約30重量%の感放射性酸発生剤と、約70〜約99.9重量%の溶媒とを含む。好ましくは、第1のポリマーの重量百分率は、第2のポリマーの重量百分率よりも高い。
用語「a」および「an」は、本明細書において、数量の限定を表すものではなく、むしろ、参照される項目の少なくとも1つの存在を表している。
「任意の(optional)または「任意に(optionally)」とは、その後に記載された事象または状況が起こる可能性があるか、または起こらない可能性があることを意味し、記載は、記載された事象または状況がその後に起こる場合と起こらない場合とを包含することを意味する。
層のような要素が、他の要素の「上に」または「覆って」と参照される場合、要素が他の要素の直接上にあることもあり、または、介在要素が存在することもあり得る。対照的に、要素が、他の要素の「直接上に」または「直接覆って」と参照される場合、介在要素が存在しない。
上述したように、本発明は、反射された放射を低減または除去するために基板およびフォトレジスト層の間に塗布するBARC組成物を対象とする。BARC組成物は、現像剤中で現像可能である。現像剤の好ましい例は、水溶性アルカリ現像剤である。より好ましくは、現像剤は、水酸化テトラメチルアンモニウム(TMAH)水溶性現像剤である。BARC組成物は、好ましくは、ネガ型ではたらき、すなわち、BARC組成物から形成されたBARC層の非露光部が、現像工程中に選択的に現像剤によって除去され、BARC層の露光部が残存する。つまり、本発明のBARC組成物は、ネガ型フォトレジストに適合性を有し、注入レベルのような、ネガ型フォトレジストが用いられるリソグラフィ・レベルに適している。
1つの実施形態において、BARC組成物は、第1のカルボン酸部分、水酸基含有脂環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第1の発色団部分を含む第1のポリマーと;第2のカルボン酸部分、水酸基含有非環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第2の発色団部分を含む第2のポリマーと;架橋剤と;感放射性酸生成剤とを含む。
BARC組成物において、第1のカルボン酸部分および第2のカルボン酸部分は、水溶性アルカリ現像剤中での現像剤可溶性を提供する。第1のカルボン酸部分および第2のカルボン酸部分は、同一の構造を有していてもよく、または、異なる構造を有していてもよい。第1または第2のカルボン酸部分の例は、カルボン酸基を含有するポリマーのモノマー単位である。モノマー単位は、1より大きなカルボン酸基を含むことができる。第1のカルボン酸部分および第2のカルボン酸部分が誘導されるモノマーの例としては、限定されるものではないが、アクリル酸(1)、メタクリル酸(2)、4−ビニル安息香酸(3)、アクリル酸2−カルボキシエチル(4)、メタクリル酸2−カルボキシエチル(5)、コハク酸モノ−2−(メタクリロイルオキシ)エチル(6)、および、コハク酸モノ−2−(アクリロイルオキシ)エチル(7)が含まれる。
BARC組成物における第1のポリマーの水酸基含有脂環式部分は、水酸基および脂環式基の両方を含むモノマーから誘導され得る。水酸基は、脂環式基に直接結合され得る。あるいは、水酸基と脂環式基との間には介在する基があってもよい。そのような介在する基の例としては、アルキル基およびアルコキシ基が含まれる。水酸基含有脂環式部分は、1より多い水酸基を含むことができる。
水酸基含有脂環式部分の脂環式基は、単環式基または複環式基とすることができる。好ましくは、脂環式基は、アダマンチル基のような複環式基である。水酸基含有脂環式部分が誘導され得るモノマーの例としては、限定されるものではないが、メタクリル酸3−ヒドロキ−1−アダマンチル(8)、メタクリル酸3−(2’−ヒドロキシエトキシ)−1−アダマンチル(9)、アクリル酸3−ヒドロキシ−1−アダマンチル(10)、アクリル酸3−(2’−ヒドロキシエトキシ)−1−アダマンチル(11)、および、化学構造(12)および(13)を有する他のモノマーが含まれる。ここで、記号pは、2を除く1〜30の値を有する正の整数を表す。
BARC組成物における第2のポリマーの水酸基含有非環式部分は、好ましくは、如何なる環状構造を含まない。好ましくは、水酸基含有非環式部分は、メタクリル酸ヒドロキシアルキルモノマー単位またはアクリル酸ヒドロキシアルキルモノマー単位である。水酸基含有非環式部分が誘導され得るモノマーの例としては、限定されるものではないが、メタクリル酸2−ヒドロキシエチル(14)、アクリル酸2−ヒドロキシエチル(15)、および化学構造(16)〜(19)を有する他のモノマーが含まれる。ここで、記号sは、2を除く1〜30の値を有する正の整数を表し、記号Rは、1〜30の炭素原子総数を有する飽和炭素鎖を表す。
第1の発色団部分および第2の発色団部分は、BARC組成物のための充分な吸光係数kを与える必要がある。BARC組成物の吸光係数kに対する典型的な目標値は、上を覆うフォトレジスト層に対する放射の波長で0.01〜2.0である。より好ましくは、BARC組成物の吸光係数kは、上を覆うフォトレジスト層に対する放射の波長で、0.01〜1.0である。
第1の発色団部分および第2の発色団部分の選択肢としては、上を覆うフォトレジスト層に対する露光波長に依存する。248nmおよび193nmの露光波長に対しては、第1の発色団部分および第2の発色団部分は、それぞれ、好ましくは芳香族基を含む。第1の発色団部分および第2の発色団部分は、同一の構造を有していてもよいし、異なる構造を有していてもよい。第1の発色団部分および前記第2の発色団部分が誘導され得るモノマーの例としては、限定されるものではないが、メタクリル酸9−アントリルメチル(20)、アクリル酸9−アントリルメチル(21)、4−ヒドロキシスチレン(22)、スチレン(23)、および下記化学構造(24)〜(52)を有する他のモノマーが含まれる。ここで、記号mは、1〜30の正の整数を表し、記号nは、0〜30の正の整数を表す。
BARC組成物の第1のポリマーは、20mol%〜70mol%の第1のカルボン酸部分と、20mol%〜70mol%の水酸基含有脂環式部分と、5mol%〜50mol%の第1の発色部分とを含む。BARC組成物の第2のポリマーは、20mol%〜70mol%の第2のカルボン酸部分と、20mol%〜70mol%の水酸基含有非環式部分と、5mol%〜50mol%の第2の発色部分とを含む。
BARC組成物は、また、架橋剤を含む。露光領域において、架橋剤は、第1のおよび第2のポリマーの水酸基と反応することができ、酸および熱またはこれらの一方により触媒されてポリマー鎖を連結または架橋するようにはたらく。ポリマー鎖の架橋は、現像剤中での露光領域の可溶性を低減し、BARC層の露光領域および非露光領域間の現像剤中での可溶性の差を生じさせる。
一般的に、本発明のBARC組成物の架橋剤は、BARC組成物の他の選択された成分と適合する、ネガ型フォトレジストの技術分野で知られた如何なる適した架橋剤とすることができる。架橋剤は、典型的には、生成された酸の存在下で、第1のおよび第2のポリマーを架橋するようにはたらく。典型的な架橋剤は、テトラメトキシメチルグリコールウリル、メチルプロピルテトラメトキシメチルグリコールウリル、メチルフェニルテトラメトキシメチルグリコールウリルなどのグリコールウリル化合物であり、サイテック・インダストリー社からPOWDERLINK(登録商標)の商標で入手可能である。他の可能な架橋剤としては、特開1−293339号公報で開示されている2,6−ビス(ヒドロキシメチル)−p−クレゾール化合物、例えばメチル化またはブチル化メラミン樹脂(それぞれ、N−メトキシメチルメラミンまたはN−ブトキシメチルメラミン)などのエーテル化アミノ樹脂、および、カナダ国特許第1 204 547号に開示されるメチル化/ブチル化グリコールウリルを含む。ビス−エポキシまたはビスフェノール(例えばビスフェノールA)などの他の架橋剤もまた用いられ得る。いくつかの実施形態において、2以上の架橋剤の組み合わせも好ましい。
本発明によるBARC組成物で用いるのに適した架橋剤のいくつかの具体的な例としては、限定されるものではないが、以下のものが含まれる。
本発明のBARC組成物は、また、感放射性酸発生剤を含む。感放射性酸発生剤は、また、光酸発生剤(PAG)としても知られており、放射に対する露光により酸を発生する化合物である。本発明のPAGは、オニウム塩、スクシンイミド誘導体、ジアゾ化合物、ジアゾ化合物およびニトロベンジル化合物などのうちの1つとすることができる。高解像度性能のため酸の拡散を最小化するために、PAGは、放射に対する露光により嵩高い酸を生成するようなものとすることができる。そのような嵩高い酸は、少なくとも4つの炭素原子を含むことができる。
本発明において採用することができる好ましいPAGは、ヨードニウム塩またはスルホニウム塩などのオニウム塩およびスクシンイミド誘導体またはこれらの一方である。本発明の種々の例示的な実施形態では、好ましいPAGとしては、ペルフルオロブタンスルホン酸4−(1−ブトキシナフチル)テトラヒドロチオフェニウム、ペルフルオロブタンスルホン酸トリフェニルスルホニウム、ペルフルオロブタンスルホン酸t−ブチルフェニルジフェニルスルホニウム、ペルフルオロオクタンスルホン酸4−(1−ブトキシナフチル)テトラヒドロチオフェニウム、ペルフルオロオクタンスルホン酸トリフェニルスルホニウム、ペルフルオロオクタンスルホン酸t−ブチルフェニルジフェニルスルホニウム、ペルフルオロブタンスルホン酸ジ(t−ブチルフェニル)ヨードニウム、ペルフルオロヘキサンスルホン酸ジ(t−ブチルフェニル)ヨードニウム、ペルフルオロエチルシクロヘキサンスルホン酸ジ(t−ブチルフェニル)ヨードニウム、カンフォスルホン酸ジ(t−ブチルフェニル)ヨードニウム、および、ペルフルオロブチルスルホニルオキシビシクロ2.2.1−ヘプト−5−エン−2,3−ジカルボキシイミドが含まれる。これらのPGAの任意のものを単独で、または2以上の混合物として用いることができる。フッ素化およびフッ素を含まないPAGの両方を本発明において用いることができる。
特定の選択されたPAGは、上を覆うフォトレジスト層をパターニングする際に用いられる放射に依存する。PAGは、現在、可視域から極端紫外域までの種々の異なる波長の光に対して利用可能である。好ましくは、PAGは、248nm(KrF)および193nm(ArF)リソグラフィで用いるのに適したものとすることができる。
本発明のBARC組成物は、さらに、溶媒と、例えば失活剤や界面活性剤などの他の性能向上添加物とを含むことができる。溶媒は、第1のおよび第2のポリマーおよびBARC組成物の他の成分を溶かすために用いられる。適した溶媒の例としては、限定されるものではないが、エーテル、グリコールエーテル、アルコール、芳香族炭化水素、ケトン、エステルなどが含まれる。適したグリコールエーテルとしては、2−メトキシエチルエーテル(ジグリム)、エチレングリコールモノメチルエーテル、プロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノメチルエーテルアセタート(PGMEA)、プロピレングリコールモノエチルエーテル(PGEE)などが含まれる。適したアルコールとしては、3−メトキシ−1−ブタノールおよび1−メトキシ−2−ブタノールが含まれる。適した芳香族炭化水素の溶媒としては、トルエン、キシレンおよびベンゼンが含まれる。ケトンの例としては、メチルイソブチルケトン、2−ヘプタノン、シクロヘプタノン、γ−ブチロラクトン(GBL)およびシクロヘキサノンが含まれる。エーテル溶媒の例としては、テトラヒドロフランであり、これに対して、エチルラクテートおよびエトキシエチルプロピナートは、本発明で採用することができるエステル溶媒の例である。上記溶媒の混合物を含む溶媒系もまた考慮される。混合溶媒の例としては、PGMEAおよびGBLを含むことができ、溶媒混合物の総量における1つの溶媒の重量%を約1〜約99重量%とすることができる。
本発明のBARC組成物において用い得る失活剤は、BARCの性能に過大な影響を与えないで微量の酸を除去する弱塩基を含む。本発明で採用することができる失活剤の実例としては、特に限定されるものではないが、脂肪族アミン、芳香族アミン、カルボキシラート、水酸化物またはこれらの組み合わせなどを含む。
BARC組成物で採用することができる任意の界面活性剤としては、本発明のBARC組成物のコーティング均質性を向上させることができる如何なる界面活性剤が含まれる。実例としては、3M社のFC−4430(登録商標)などフッ素含有界面活性剤およびユニオンカーバイド社のSilwet(登録商標)シリーズなどのシロキサン含有界面活性剤が含まれる。
BARC組成物中の第1のポリマーの重量分率は、好ましくは、第2のポリマーの重量分率よりも高い。本発明の種々の実施形態においては、BARC組成物は、約0.1〜約29重量%の、より好ましくは、約0.2〜約15重量%の第1のポリマーと;約0.1〜約29重量%の、より好ましくは、約0.2〜約15重量%の第2のポリマーと;第1のおよび第2のポリマーの総重量に基づいて、約0.1〜約30重量%の、より好ましくは、約0.5〜約10重量%の架橋剤と;第1のおよび第2のポリマーの総重量に基づいて、約0.1〜約30重量%の、より好ましくは、約0.5〜約10重量%の感放射性酸発生剤と;約70〜約99.9%の、より好ましくは、約90%〜約99.9重量%の溶媒と含むことができる。
種々の例示的な実施形態において、BARC組成物は、さらに、第1のおよび第2のポリマーの総重量に基づいて、約0.01〜約10.0%の量で典型的には存在することができる失活剤と、第1のおよび第2のポリマーの総重量に基づいて、約0.001〜約1.0%の量で典型的には存在することができる界面活性剤とを含むことができる。
上述した量は、例示であり、フォトリソグラフィの産業において典型的に採用される、上記成分それぞれについて他の量もまた、ここで採用することができることに留意されたい。
本発明は、上述したBARC組成物を用いて基板上にパターニングされた材料形状を形成する方法をも包含する。1つの実施形態において、そのような方法は、材料の層を有する基板を提供する工程と、BARC組成物を基板に塗布し、上記材料の層を覆ってBARC層を形成する工程であって、BARC組成物は、第1のカルボン酸部分、水酸基含有脂環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第1の発色団部分を含む第1のポリマーと;第2のカルボン酸部分、水酸基含有非環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第2の発色団部分を含む第2のポリマーと;架橋剤と;感放射性酸生成剤とを含む、当該工程と、上記BARC層を覆ってフォトレジスト層を形成する工程と、放射にフォトレジスト層をパターン露光する工程と、現像剤で基板を現像し、これによって、フォトレジスト層およびBARC層の露光されていない部分が現像剤によって選択的に除去されて、パターニングされた構造をフォトレジスト層に形成する工程とを含む。
基板は、フォトレジストに関係するプロセスで慣例的に用いられる任意の基板が適している。例えば、基板は、シリコン、酸化ケイ素、アルミニウム−酸化アルミニウム、ガリウムヒ素、セラミック、石英、銅またはこれらの如何なる組み合わせとすることができ、それには多層も含まれる。基板は、1または複数の半導体層または半導体構造を含むことができ、また、半導体デバイスのアクティブまたは動作可能な部分を含むこともできる。
材料層は、製造プロセスの段階および最終製品のための望ましい材料セットに依存して、金属導体層、セラミック絶縁層、半導体層または他の材料であり得る。本発明のBARC組成物は、特に、半導体基板上に集積回路を製造する際に用いられるリソグラフィ・プロセスに対して有用である。本発明のBARC組成物をリソグラフィ・プロセスで用いて、集積回路デバイスにおいて使用されるような、金属配線、コンタクトまたはバイア用のホール、絶縁部(例えばダマシントレンチまたはシャロートレンチ分離)、コンデンサ構造用のトレンチ、トランジスタ用のイオン注入半導体構造などの、パターニングされた材料層構造を生成することができる。
BARC層は、スピン・コーティングを含む、実質的にあらゆる標準的な手段によって形成することができる。BARC層をベーキングして、BARC層から残りの溶媒を除去し、BARC層の緻密性を向上することができる。BARC層に対する好適なベーキング温度の範囲は、約70℃から約150℃であり、より好ましくは、約90℃から約130℃である。BARC層の好適な厚さの範囲は、約5nmから約150nmであり、より好ましくは、約10nmから約100nmである。
BARC層は、コーティングしベーキングした後は、好ましくは、プロピレングリコールモノメチルエーテルアセタート(PGMEA)のような典型的なレジスト溶媒に不溶である。不溶性により、2つの層が互いに混合することなく、BARC層を覆うようにフォトレジスト層を塗布することが可能となる。
それから、フォトレジスト層は、BARC層を覆って形成される。フォトレジスト層は、好ましくは、NSD2803YおよびKEFK3034といった、ネガ型フォトレジストとすることができ、これらはJSR社から市販されている。フォトレジスト層は、スピン・コーティングを含む、実質的にあらゆる標準的な手段によって形成することができる。フォトレジスト層をベーキング(塗布後ベーキング(PAB))して、フォトレジスト層から溶媒を除去し、フォトレジスト層の緻密性を向上させることができる。フォトレジスト層に対する好適なPAB温度の範囲は、約70℃から約150℃であり、より好ましくは、約90℃から約130℃である。フォトレジスト層の好適な厚さの範囲は、約20nmから約400nmであり、より好ましくは、約30nmから約300nmである。
いくつかの場合では、反射される放射をさらに低減または除去するために、フォトレジスト層を覆って上部反射防止膜層を塗布することができる。
次いで、フォトレジスト層を所望の放射でパターン露光する。本発明において採用される放射としては、可視光、紫外線(UV)、極端紫外線(EUV)および電子ビーム(E−ビーム)とすることができる。放射の結像波長は、約248nm、193nm、または13nmであると好ましい。放射の結像波長は、約248nmであると、より好ましい。パターン露光は、フォトレジスト層を覆って配置したマスクを通して実施する。
所望のパターン露光後、フォトレジスト層を、典型的にはベーキング(露光後ベーキング(PEB))して、酸触媒反応を更に完結させるとともに、露光パターンのコントラストを高める。PEBの好適な温度の範囲は、約70℃から約150℃であり、より好ましくは、約90℃から約130℃である。いくつかの場合では、アセタールおよびケタールの化学反応などの一定の化学反応では、レジスト・ポリマーの脱保護が室温で進行するため、PEB工程を行わないようにすることも可能である。露光後ベーキングは、好ましくは、約30秒間から5分間行う。
PEB後は、適宜、フォトレジスト層を現像剤に接触させることによって、所望のパターンを有するフォトレジスト層を得る(現像する)。好ましい現像剤の例は、水溶性アルカリ現像剤である。より好ましくは、現像剤は、水酸化テトラメチルアンモニウム(TMAH)水溶性現像剤である。TMAH水溶性現像剤の例は、0.26NのTMAH現像剤である。現像剤は、フォトレジスト層およびBARC層の非露光部分を選択的に溶かし、パターニング構造をフォトレジスト層に形成する。つまり、本発明の現像工程は、”ネガ型の現像”工程である。BARC層の非露光部分の湿式除去により、追加のエッチング工程の必要性がなくなる。
当技術分野において既知の技術を用いて、次いで、フォトレジスト層からのパターンを、下にある基板の材料層の露出部分に転写することができる。好ましくは、パターンは、イオン注入によって転写されて、イオン注入された材料のパターンを形成する。代替的には、反応性イオン・エッチングまたはウェット・エッチングなどのエッチング方法によって転写を実行することもできる。一度所望のパターン転写が行われると、従来の剥離技術を用いて、残りのフォトレジスト層もしくはBARC層またはこれらの両方を除去することができる。
本発明の組成物が有用であろう一般的なリソグラフィ・プロセスの例が、米国特許第4,855,017号、第5,362,663号、第5,429,710号、第5,562,801号、第5,618,751号、第5,744,376号、第5,801,094号、第5,821,469号、および第5,948,570号に開示される。パターン転写工程の他の例は、Wayne Moreauによる、「Semiconductor Lithography,Principles,Practices,and Materials」(Plenum Press、1988年)の第12章および13章に記載されている。当然のことならが、本発明は、いかなる特定のリソグラフィ技術またはデバイス構造にも限定されない。
本発明について、以下、実施例によってさらに説明する。本発明は、これらの実施例の具体的な詳細に限定されるものではない。
実施例1:MAA/HADMA/ANTMA(P1)の合成
20mLのテトラヒドロフラン(THF)中のメタクリル酸(0.775g,9mmol)、メタクリル酸3−ヒドロキシ−1−アダマンチル(1.42g,6mmol)およびメタクリル酸9−アントリルメチル(1.38g,5mmol)の混合物に、2,2’−アゾビス(2−メチルプロピオニトリル)(0.24g,1.5mmol)を加えた。結果として得られた溶液を、30分間、窒素でパージして、窒素下で18時間72℃に加熱した。それから、溶液を室温まで冷却し、400mLの脱イオン水に滴下した。フリット漏斗を用いて固体をろ過し、水で洗浄し(2×200ml)、真空オーブン内で50℃で24時間乾燥させて、白色固体として3.1グラムのP1を得た。
実施例2:MAA/HEMA/ANTMA(P2)の合成
20mLのTHF中のメタクリル酸(0.775g,9mmol)、メタクリル酸2−ヒドロキシエチル(0.78g,6mmol)およびメタクリル酸9−アントリルメチル(1.38g,5mmol)の混合物に、2,2’−アゾビス(2−メチルプロピオニトリル)(0.24g,1.5mmol)を加えた。結果として得られた溶液を、30分間、窒素でパージし、窒素下で18時間72℃に加熱した。それから、溶液を室温まで冷却し、400mLの脱イオン水に滴下した。フリット漏斗で固体をろ過し、水で洗浄し(2×200ml)、真空オーブン内で50℃で24時間乾燥させて、白色固体として2.5グラムのP2を得た。
実施例3:MAA/HEADMA/ANTMA(P3)の合成
20mLのTHF中のメタクリル酸(0.775g,9mmol)、メタクリル酸3−(2’−ヒドロキシエトキシ)−1−アダマンチル(1.68g,6mmol)およびメタクリル酸9−アントリルメチル(1.38g,5mmol)の混合物に、2,2’−アゾビス(2−メチルプロピオニトリル)(0.24g,1.5mmol)を加えた。結果として得られた溶液を、30分間、窒素でパージし、窒素下で18時間72℃に加熱した。それから、溶液を室温まで冷却し、400mLの脱イオン水に滴下した。フリット漏斗で固体をろ過し、水で洗浄し(2×200ml)、真空オーブン内で50℃で24時間乾燥させて、白色固体として3.5グラムのP3を得た。
実施例4:現像可能なBARC剤(F1)
45モル%(モル百分率)のメタクリル酸、30モル%のメタクリル酸3−ヒドロキシ−1アダマンチルおよび25モル%のメタクリル酸9−アントリルメチルからなる、1.0502グラムのターポリマー(P1)固体と、45モル%のメタクリル酸、30モル%のメタクリル酸2−ヒドロキシエチルおよび25モル%のメタクリル酸9−アントリルメチルからなる、12.8573グラムのターポリマー(P2)の3.5重量%溶液と、0.0751グラムのトリフルオロメタンスルホン酸トリフェニルスルホニウムと、1.0726グラムの、プロピレングリコールメチルエーテルアセタート(PGMEA)中の1−tert−ブチロキシカルボニル−2−フェニルベンゾミダゾールの1重量%溶液と、3.0106グラムの1重量%の3−メトキシ−1−ブタノール中のテトラメトキシメチルグリコールウリルと、3.6729グラムのPGMEA中のペルフルオロアルキル界面活性剤の1重量%溶液とを、55.4119グラムの3−メトキシ−1−ブタノールに溶かし、現像可能なBARC溶液(F1)を作成した。結果として得られた現像可能なBARC溶液は、0.2μmPTFEフィルターディスクを通して濾過した。
実施例5:現像可能なBARC剤(F2)
45モル%のメタクリル酸、30モル%のメタクリル酸3−(2’−ヒドロキシエトキシ)−1−アダマンチルおよび25モル%のメタクリル酸9−アントリルメチルからなる、1.0504グラムのターポリマー(P3)固体と、45モル%のメタクリル酸、30モル%のメタクリル酸2−ヒドロキシエチルおよび25モル%のメタクリル酸9−アントリルメチルからなる、12.8582グラムのターポリマー(P2)の3.5重量%溶液と、0.075グラムのトリフルオロメタンスルホン酸トリフェニルスルホニウムと、1.0691グラムのPGMEA中の1−tert−ブチロキシカルボニル−2−フェニルベンゾミダゾールの1重量%溶液と、3.0001グラムの1重量%の3−メトキシ−1−ブタノール中のテトラメトキシメチルグリコールウリルと、3.6720グラムのPGMEA中のペルフルオロアルキル界面活性剤の1重量%溶液とを、55.3893グラムの3−メトキシ−1−ブタノールに溶かし、現像可能なBARC溶液(F2)を作成した。結果として得られた現像可能なBARC溶液は、0.2μmPTFEフィルターディスクを通して濾過した。
実施例6:リソグラフィ評価
結果として得られた現像可能なBARCの248nm放射下のリソグラフィ性能を評価するため、濾過した現像可能なBARC剤F1またはF2を12インチのシリコンウエハ上に60秒間、1500rpmの回転速度でスピン・コーティングした。膜を120℃で60秒間ベークし、60nmの膜厚を得た。JSR社から供給された248nmネガ型レジストKEFK3034を、現像可能なBARC層の上に60秒間、1500rpmの回転速度でスピン・コーティングして、250nmの厚みを有するレジスト膜を得て、結果として得られた現像可能なBARC/レジストのスタックを90℃でベークし、248nm放射で露光した(ASML社のスキャナ)。露光パターンは、さまざまな寸法のラインおよびスペースの配列であった。露光されたウエハは、110℃で60秒間露光後ベークし、その後、0.26N TMAHで30秒間パドル現像した。結果として得られた現像可能なBARC/ネガ型レジストのスタックのパターンは、走査型電子顕微鏡(SEM)により検査した。このようなリソグラフィ条件の下、ライン/スペース(470nm/210nm)パターンおよびライン/スペース(265nm/165nm)パターンが得られた。
本発明について、好適な実施形態に関し、具体的に示し説明したが、本発明の要旨および範囲から逸脱することなく、形態および詳細において上述した変更および他の変更を行えることは当業者にとって理解されるであろう。したがって、本発明は、記載および説明した厳密な形態および詳細に限定されるものではなく、添付の請求項の範囲内に包含されることを意図したものである。

Claims (25)

  1. 第1のカルボン酸部分、水酸基含有脂環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第1の発色団部分を含む第1のポリマーと、
    第2のカルボン酸部分、水酸基含有非環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第2の発色団部分を含む第2のポリマーと、
    架橋剤と、
    感放射性酸生成剤と
    を含む、底部反射防止膜組成物。
  2. 前記第1のカルボン酸部分および前記第2のカルボン酸部分は、それぞれ独立して、アクリル酸モノマー単位、メタクリル酸モノマー単位、4−ビニル安息香酸モノマー単位、アクリル酸2−カルボキシエチルモノマー単位、メタクリル酸2−カルボキシエチルモノマー単位、コハク酸モノ−2−(メタクリロイルオキシ)エチルモノマー単位、コハク酸モノ−2−(アクリロイルオキシ)エチルモノマー単位からなる群から選択される、請求項1に記載の底部反射防止膜組成物。
  3. 前記水酸基含有脂環式部分は、アダマンチル基を含む、請求項1に記載の底部反射防止膜組成物。
  4. 前記水酸基含有脂環式部分は、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位であり、pは1〜30の正の整数である、請求項3に記載の底部反射防止膜組成物。
  5. 前記水酸基含有非環式部分は、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位であり、sは1〜30の正の整数であり、Rは1〜30の炭素原子総数を有する飽和炭素鎖である、請求項1に記載の底部反射防止膜組成物。
  6. 前記第1の発色団部分および前記第2の発色団部分は、それぞれ芳香族基を含む、請求項1に記載の底部反射防止膜組成物。
  7. 前記第1の発色団部分および前記第2の発色団部分は、それぞれ独立して、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位であり、mは1〜30の正の整数であり、nは0〜30の正の整数である、請求項6に記載の底部反射防止膜組成物。
  8. 前記架橋剤は、グリコールウリル化合物である、請求項1に記載の底部反射防止膜組成物。
  9. 前記感放射性酸生成剤は、オニウム塩、スクシンイミド誘導体、ジアゾ化合物およびニトロベンジル化合物の少なくとも1つを含む、請求項1に記載の底部反射防止膜組成物。
  10. 溶媒、失活剤および界面活性剤のうちの少なくとも1つをさらに含む、請求項1に記載の底部反射防止膜組成物。
  11. 前記溶媒は、エーテル、アルコール、グリコールエーテル、芳香族炭化水素、ケトンおよびエステルのうちの少なくとも1つを含む、請求項10に記載の底部反射防止膜組成物。
  12. 約0.1〜約29重量%の前記第1のポリマーと、
    約0.1〜約29重量%の前記第2のポリマーと、
    前記第1のポリマーおよび前記第2のポリマーの総重量に基づいて、約0.1〜約30重量%の前記架橋剤と、
    前記第1のポリマーおよび前記第2のポリマーの総重量に基づいて、約0.1〜約30重量%の前記感放射性酸発生剤と、
    約70〜約99.9重量%の溶媒と
    を含む、請求項11に記載の底部反射防止膜組成物。
  13. 前記第1のポリマーの重量百分率は、前記第2のポリマーの重量百分率よりも高い、請求項12に記載の底部反射防止膜組成物。
  14. 基板上にパターニングされた材料構造を形成する方法であって、前記方法は、
    前記材料の層を有する基板を提供する工程と、
    前記基板に底部反射防止膜組成物を塗布し、前記材料の層を覆って底部反射防止膜層を形成する工程であって、前記底部反射防止膜組成物は、第1のカルボン酸部分、水酸基含有脂環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第1の発色団部分を含む第1のポリマーと、第2のカルボン酸部分、水酸基含有非環式部分、および100nm〜400nmの範囲から選択された波長の光を吸収する第2の発色団部分を含む第2のポリマーと、架橋剤と、感放射性酸生成剤とを含む、当該工程と、
    前記底部反射防止膜層を覆ってフォトレジスト層を形成する工程と、
    放射に前記フォトレジスト層をパターン露光する工程と、
    現像剤で前記基板を現像し、これによって、前記フォトレジスト層および前記底部反射防止膜層の露光されていない部分が前記現像剤によって選択的に除去されて、パターニングされた構造を前記フォトレジスト層に形成する工程と
    を含む、方法。
  15. 前記第1のカルボン酸部分および前記第2のカルボン酸部分は、それぞれ独立して、アクリル酸モノマー単位、メタクリル酸モノマー単位、4−ビニル安息香酸モノマー単位、アクリル酸2−カルボキシエチルモノマー単位、メタクリル酸2−カルボキシエチルモノマー単位、コハク酸モノ−2−(メタクリロイルオキシ)エチルモノマー単位、コハク酸モノ−2−(アクリロイルオキシ)エチルモノマー単位からなる群から選択される、請求項14に記載の方法。
  16. 前記水酸基含有脂環式部分は、アダマンチル基を含む、請求項14に記載の方法。
  17. 前記水酸基含有非環式部分は、下記構造からなる群から選択されたモノマーから誘導されたモノマー単位であり、sは1〜30の正の整数であり、Rは1〜30の炭素原子総数を有する飽和炭素鎖である、請求項14に記載の方法。
  18. 前記第1の発色団部分および前記第2の発色団部分は、それぞれ、芳香族基を含む、請求項14に記載の方法。
  19. 溶媒、失活剤および界面活性剤のうちの少なくとも1つをさらに含む、請求項14に記載の方法。
  20. 前記底部反射防止膜組成物は、
    約0.1〜約29重量%の前記第1のポリマーと、
    約0.1〜約29重量%の前記第2のポリマーと、
    前記第1のポリマーおよび前記第2のポリマーの総重量に基づいて、約0.1〜約30重量%の前記架橋剤と、
    前記第1のポリマーおよび前記第2のポリマーの総重量に基づいて、約0.1〜約30重量%の前記感放射性酸発生剤と、
    約70〜約99.9重量%の溶媒と
    を含む、請求項19に記載の方法。
  21. 前記第1のポリマーの重量百分率は、前記第2のポリマーの重量百分率よりも高い、請求項20に記載の方法。
  22. 前記フォトレジスト層は、ネガ型フォトレジストである、請求項14に記載の方法。
  23. 前記現像剤は、水溶性アルカリ現像剤である、請求項22に記載の方法。
  24. 前記パターニングされた構造を前記材料の層に転写する工程をさらに含む、請求項14に記載の方法。
  25. 前記パターニングされた構造は、イオン注入により転写されて、イオン注入された材料のパターンを前記材料の層に形成する、請求項24に記載の方法。
JP2015520503A 2012-06-29 2013-06-27 現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法 Pending JP2015524573A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/537,177 2012-06-29
US13/537,177 US8999624B2 (en) 2012-06-29 2012-06-29 Developable bottom antireflective coating composition and pattern forming method using thereof
PCT/US2013/048180 WO2014004828A1 (en) 2012-06-29 2013-06-27 Developable bottom antireflective coating composition and pattern forming method using thereof

Publications (1)

Publication Number Publication Date
JP2015524573A true JP2015524573A (ja) 2015-08-24

Family

ID=49778565

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015520503A Pending JP2015524573A (ja) 2012-06-29 2013-06-27 現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法

Country Status (8)

Country Link
US (2) US8999624B2 (ja)
JP (1) JP2015524573A (ja)
KR (1) KR20140126324A (ja)
CN (1) CN104335079B (ja)
DE (1) DE112013003188B4 (ja)
GB (1) GB2517324B (ja)
SG (1) SG11201404867YA (ja)
WO (1) WO2014004828A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018034342A1 (ja) * 2016-08-19 2018-02-22 大阪有機化学工業株式会社 易剥離膜形成用硬化性樹脂組成物及びその製造方法
WO2018033995A1 (ja) * 2016-08-19 2018-02-22 大阪有機化学工業株式会社 易剥離膜形成用硬化性樹脂組成物及びその製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999624B2 (en) 2012-06-29 2015-04-07 International Business Machines Corporation Developable bottom antireflective coating composition and pattern forming method using thereof
US9175173B2 (en) * 2013-03-12 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Unlocking layer and method
US10036953B2 (en) 2013-11-08 2018-07-31 Taiwan Semiconductor Manufacturing Company Photoresist system and method
KR101788091B1 (ko) * 2014-09-30 2017-11-15 삼성에스디아이 주식회사 중합체, 유기막 조성물, 유기막, 및 패턴형성방법
KR102374049B1 (ko) 2015-06-02 2022-03-14 삼성전자주식회사 포토레지스트를 이용한 패턴 형성 방법
US9768022B2 (en) * 2016-01-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced cross-linkable layer over a substrate
TWI805617B (zh) * 2017-09-15 2023-06-21 南韓商Lg化學股份有限公司 層壓板
TWI777426B (zh) * 2020-02-27 2022-09-11 台灣積體電路製造股份有限公司 光阻底層組成物與製造半導體裝置的方法
US20210389670A1 (en) * 2020-06-12 2021-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist composition and method of manufacturing a semiconductor device
US11940730B2 (en) 2020-12-31 2024-03-26 Rohm And Haas Electronic Materials Llc Photoresist compositions and pattern formation methods
US20220406593A1 (en) * 2021-05-27 2022-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Coating composition for photolithography
CN116102680B (zh) * 2021-11-09 2024-02-13 上海新阳半导体材料股份有限公司 一种底部抗反射涂层及其制备方法和应用

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10204328A (ja) * 1996-06-11 1998-08-04 Shipley Co Llc 反射防止コーティング組成物
WO2008072624A1 (ja) * 2006-12-13 2008-06-19 Nissan Chemical Industries, Ltd. 低分子溶解促進剤を含むレジスト下層膜形成組成物
JP2008257188A (ja) * 2007-03-13 2008-10-23 Shin Etsu Chem Co Ltd レジスト下層膜材料およびこれを用いたパターン形成方法
JP2008257187A (ja) * 2007-03-09 2008-10-23 Shin Etsu Chem Co Ltd 反射防止膜材料およびこれを用いたパターン形成方法
WO2011039560A1 (en) * 2009-09-30 2011-04-07 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0058638B1 (de) 1981-02-13 1985-08-28 Ciba-Geigy Ag Härtbare Zusammensetzung auf Basis eines säurehärtbaren Harzes und Verfahren zu dessen Härtung
US4855017A (en) 1985-05-03 1989-08-08 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
JPH01293339A (ja) 1988-05-23 1989-11-27 Tosoh Corp フォトレジスト組成物
JP3000745B2 (ja) 1991-09-19 2000-01-17 富士通株式会社 レジスト組成物とレジストパターンの形成方法
US5250829A (en) 1992-01-09 1993-10-05 International Business Machines Corporation Double well substrate plate trench DRAM cell array
JP3271359B2 (ja) 1993-02-25 2002-04-02 ソニー株式会社 ドライエッチング方法
US5562801A (en) 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5744376A (en) 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5618751A (en) 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6242161B1 (en) 1998-05-29 2001-06-05 Jsr Corporation Acrylic copolymer and reflection-preventing film-forming composition containing the same
JP4518651B2 (ja) 2000-08-22 2010-08-04 大日本印刷株式会社 着色レジスト材セット及びカラーフィルタ
TW591341B (en) * 2001-09-26 2004-06-11 Shipley Co Llc Coating compositions for use with an overcoated photoresist
US6677106B2 (en) 2002-01-03 2004-01-13 Kodak Polychrome Graphics Llc Method and equipment for using photo- or thermally imagable, negatively working patterning compositions
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
JP3986927B2 (ja) * 2002-08-22 2007-10-03 富士通株式会社 半導体装置の製造方法
US6875559B2 (en) * 2002-08-29 2005-04-05 Micron Technology, Inc. Method of etching materials patterned with a single layer 193nm resist
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
CN1954265B (zh) 2004-05-14 2013-03-20 日产化学工业株式会社 含有乙烯基醚化合物的形成防反射膜的组合物
US7300883B2 (en) * 2004-08-31 2007-11-27 Texas Instruments Incorporated Method for patterning sub-lithographic features in semiconductor manufacturing
US7341939B2 (en) 2005-02-18 2008-03-11 Taiwan Semiconductor Maunfacturing Co., Ltd. Method for patterning micro features by using developable bottom anti-reflection coating
US7906270B2 (en) 2005-03-23 2011-03-15 Asml Netherlands B.V. Reduced pitch multiple exposure process
KR100662542B1 (ko) * 2005-06-17 2006-12-28 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR100712999B1 (ko) 2006-03-29 2007-05-02 주식회사 하이닉스반도체 유기 반사 방지막 중합체, 이를 포함하는 유기 반사 방지막조성물 및 이를 이용한 포토레지스트의 패턴 형성 방법
US7563563B2 (en) 2006-04-18 2009-07-21 International Business Machines Corporation Wet developable bottom antireflective coating composition and method for use thereof
US7816069B2 (en) 2006-06-23 2010-10-19 International Business Machines Corporation Graded spin-on organic antireflective coating for photolithography
US20090098490A1 (en) 2007-10-16 2009-04-16 Victor Pham Radiation-Sensitive, Wet Developable Bottom Antireflective Coating Compositions and Their Applications in Semiconductor Manufacturing
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
KR101585992B1 (ko) 2007-12-20 2016-01-19 삼성전자주식회사 반사방지 코팅용 고분자, 반사방지 코팅용 조성물 및 이를 이용한 반도체 장치의 패턴 형성 방법
US8137874B2 (en) * 2008-01-23 2012-03-20 International Business Machines Corporation Organic graded spin on BARC compositions for high NA lithography
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US8182978B2 (en) 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8293451B2 (en) 2009-08-18 2012-10-23 International Business Machines Corporation Near-infrared absorbing film compositions
US8445181B2 (en) 2010-06-03 2013-05-21 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
US8715907B2 (en) 2011-08-10 2014-05-06 International Business Machines Corporation Developable bottom antireflective coating compositions for negative resists
US8999624B2 (en) 2012-06-29 2015-04-07 International Business Machines Corporation Developable bottom antireflective coating composition and pattern forming method using thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10204328A (ja) * 1996-06-11 1998-08-04 Shipley Co Llc 反射防止コーティング組成物
WO2008072624A1 (ja) * 2006-12-13 2008-06-19 Nissan Chemical Industries, Ltd. 低分子溶解促進剤を含むレジスト下層膜形成組成物
JP2008257187A (ja) * 2007-03-09 2008-10-23 Shin Etsu Chem Co Ltd 反射防止膜材料およびこれを用いたパターン形成方法
JP2008257188A (ja) * 2007-03-13 2008-10-23 Shin Etsu Chem Co Ltd レジスト下層膜材料およびこれを用いたパターン形成方法
WO2011039560A1 (en) * 2009-09-30 2011-04-07 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018034342A1 (ja) * 2016-08-19 2018-02-22 大阪有機化学工業株式会社 易剥離膜形成用硬化性樹脂組成物及びその製造方法
WO2018033995A1 (ja) * 2016-08-19 2018-02-22 大阪有機化学工業株式会社 易剥離膜形成用硬化性樹脂組成物及びその製造方法
KR20190044062A (ko) * 2016-08-19 2019-04-29 오사카 유키가가쿠고교 가부시키가이샤 용이 박리막 형성용 경화성 수지 조성물 및 그의 제조 방법
KR102442826B1 (ko) 2016-08-19 2022-09-13 오사카 유키가가쿠고교 가부시키가이샤 용이 박리막 형성용 경화성 수지 조성물 및 그의 제조 방법

Also Published As

Publication number Publication date
SG11201404867YA (en) 2014-09-26
US20150050601A1 (en) 2015-02-19
KR20140126324A (ko) 2014-10-30
US20140004712A1 (en) 2014-01-02
GB2517324A (en) 2015-02-18
DE112013003188T5 (de) 2015-03-12
US8999624B2 (en) 2015-04-07
GB2517324B (en) 2015-06-03
CN104335079A (zh) 2015-02-04
DE112013003188B4 (de) 2023-01-12
GB201419648D0 (en) 2014-12-17
US9040225B2 (en) 2015-05-26
CN104335079B (zh) 2016-01-13
WO2014004828A1 (en) 2014-01-03

Similar Documents

Publication Publication Date Title
JP2015524573A (ja) 現像可能な底部反射防止膜組成物およびこれを用いたパターン形成方法
JP4839470B2 (ja) トップコートを用いて深紫外線フォトレジストに像を形成する方法およびそのための材料
TWI459142B (zh) 正型光可成像底部抗反射塗層
US7638264B2 (en) Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
TWI491990B (zh) 圖型之形成方法
TWI533089B (zh) 用於負定像之光阻劑成分及使用此光阻劑成分之圖案形成方法
TWI386759B (zh) 正型光阻組成物及使用它之圖案形成方法
US8846295B2 (en) Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
JP4826840B2 (ja) パターン形成方法
JP2004504635A (ja) 深紫外線用フォトレジスト組成物及びそれの製造方法
JPH08220774A (ja) レジストパターンの形成方法
EP1600814A2 (en) Coating compositions for use with an overcoated photoresist
JP2010152299A (ja) パターン形成方法
US20030129527A1 (en) Negative deep ultraviolet photoresist
KR20080028335A (ko) 포토리소그래피용 코팅 조성물
US8986918B2 (en) Hybrid photoresist composition and pattern forming method using thereof
US20050227167A1 (en) Negative photoresist and method of using thereof
EP1546813A1 (en) Low silicon-outgassing resist for bilayer lithography
US6991890B2 (en) Negative photoresist composition involving non-crosslinking chemistry
JP5534205B2 (ja) 感光性レジスト下層膜形成組成物及びレジストパターンの形成方法
US7081326B2 (en) Negative photoresist and method of using thereof
US7235342B2 (en) Negative photoresist composition including non-crosslinking chemistry
JP2001356482A (ja) 改善された耐エッチング性を有する共重合体フォトレジスト
WO2006096221A1 (en) Low refractive index polymers as underlayers for silicon-containing photoresists

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160414

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170124

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170905