JP2014045508A - Critical-path circuit for performance monitoring - Google Patents

Critical-path circuit for performance monitoring Download PDF

Info

Publication number
JP2014045508A
JP2014045508A JP2013228190A JP2013228190A JP2014045508A JP 2014045508 A JP2014045508 A JP 2014045508A JP 2013228190 A JP2013228190 A JP 2013228190A JP 2013228190 A JP2013228190 A JP 2013228190A JP 2014045508 A JP2014045508 A JP 2014045508A
Authority
JP
Japan
Prior art keywords
delay
flip
output
input
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013228190A
Other languages
Japanese (ja)
Other versions
JP5731618B2 (en
Inventor
D Chlipala James
チリパラ,ジェームス,デー.
P Martin Richard
マーチン,リチャード,ピー.
Muscavage Richard
ムスカヴェイジ,リチャード
Scott A Segan
セガン,スコット,エー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agere Systems LLC
Original Assignee
Agere Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems LLC filed Critical Agere Systems LLC
Priority to JP2013228190A priority Critical patent/JP5731618B2/en
Publication of JP2014045508A publication Critical patent/JP2014045508A/en
Application granted granted Critical
Publication of JP5731618B2 publication Critical patent/JP5731618B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide an integrated circuit having a monitor circuit for monitoring timing in a critical path having a target timing margin.SOLUTION: The monitor circuit comprises two shift registers, one of which includes a delay element that applies a delay value to a received signal. The inputs to the two shift registers form a signal input node capable of receiving an input signal. The monitor circuit also has a logic gate having an output and at least two inputs, each input connected to a corresponding one of the outputs of the two shift registers. The output of the logic gate indicates whether the target timing margin is satisfied or not satisfied.

Description

本発明は、デジタル集積回路に関し、具体的には、デジタル回路内のタイミング・エラー検出に関する。   The present invention relates to digital integrated circuits, and in particular to timing error detection in digital circuits.

最近、回路設計者は、集積回路(IC)内のエラーを予測するのに様々な手法を検討している。そうした1つの手法は、クリティカルパス性能監視として知られる。従来のクリティカルパス性能監視においては、回路設計者は、集積回路の正常な動作にとって決定的であるとみなされる1つまたは複数の信号パス(「クリティカルパス」または「クリティカル・データパス」として知られる)、通常は最大遅延を含むパスを特定する。クリティカルパス内の所与の回路素子に関して、さらに、設計者は、目標タイミング・マージン、すなわち、クロック信号遷移に対してデータ信号遷移がその前に回路素子に到達する時間周期を特定する。各クリティカルパスの信号のタイミングを監視するために、集積回路上に、タイミング監視回路(または「経時変化センサ」)が提供される。集積回路が時間と共に経時変化するとき、各クリティカルパスの信号の実タイミングは、悪くなる傾向がある。クリティカルパスの信号の実タイミング・マージンが、目標タイミング・マージン未満であることを、タイミング監視回路が判定するとき、回路エラーが起こる可能性が高いことを予測することができ、集積回路は、たとえば、クロック周波数、電圧供給、またはさらに集積回路内のトランジスタの本体バイアス電圧を調整することによって、自己補正するように処置をとることができる。たとえば、それぞれ、参照によりその全体が本明細書に組み込まれている、Neil Savage、「Intel and ARM are Exploring Self−Correction Schemes to Boost Processor Performance and Cut Power」、Spectrum Online、2008年2月、http://www.spectrum.ieee.org/feb08/5975、およびMridul Agarwalら、「Circuit Failure Prediction and its application to Transistor Aging」、第25回IEEE VLSI Test Symposium、2007年5月6日〜10日、277〜286頁を参照されたい。   Recently, circuit designers have explored various techniques for predicting errors in an integrated circuit (IC). One such technique is known as critical path performance monitoring. In conventional critical path performance monitoring, circuit designers are known as one or more signal paths ("critical paths" or "critical data paths") that are considered critical to the normal operation of an integrated circuit. ), Usually identify the path with the largest delay. For a given circuit element in the critical path, the designer further specifies a target timing margin, i.e., the time period over which the data signal transition reaches the circuit element before the clock signal transition. A timing monitoring circuit (or “aging sensor”) is provided on the integrated circuit to monitor the timing of each critical path signal. As the integrated circuit changes over time, the actual timing of each critical path signal tends to be worse. When the timing monitoring circuit determines that the actual timing margin of the critical path signal is less than the target timing margin, it can predict that a circuit error is likely to occur. Measures can be taken to self-correct by adjusting the clock frequency, voltage supply, or even the body bias voltage of the transistors in the integrated circuit. For example, Neil Savage, “Intel and ARM area Exploring Self-Corporation Schemes to Boost Processor Performance and Cut Power”, Spectrum on April 2, 2007, which is incorporated herein by reference in its entirety. // www. spectrum. iee. org / feb08 / 5975 and Midul Agarwal et al., “Circuit Failure Prediction and its applications to Transistor Aging”, 25th IEEE VLSI Test Symposium, May 6-10, May 6, 2007.

図1は、Agarwalらによって記述された、クリティカルパス102およびタイミング監視回路110を含む集積回路100のブロック図である。クリティカルパス102は、回路素子104、106を含む。タイミング監視回路110は、(i)クリティカルパス102中の回路素子104の後で回路素子106の前に挿入されるD型フリップ・フロップ118と、(ii)クリティカルパス102に沿ったこの位置の目標タイミング・マージンに等しい遅延値Tを有し、第1の回路素子104の出力部に接続する遅延素子114と、(iii)遅延素子114の出力部に接続する別のD型フリップ・フロップ116と、(iv)フリップ・フロップ118、116の出力部に接続する排他的論理和(XOR)論理ゲート122とを含む。適用例に応じて、遅延値Tは、通常、数百ピコ秒から数ナノ秒までの範囲内にある。タイミング監視回路110は、回路素子104の出力部において現れる信号112のコピーを遅延値Tだけ遅らせ、フリップ・フロップ116においてその遅延信号をラッチし、XOR論理ゲート122を介してフリップ・フロップ116からの出力と、フリップ・フロップ118の出力部において現れる出力信号120とを比較することによって動作する。次に、XOR論理ゲート122からの出力信号126は、タイミング・エラー表示レジスタ124内にラッチされ、タイミング・エラー表示レジスタ124は、出力信号128を生成する。次に、出力信号128は、コントローラに渡され、コントローラは、出力信号128に基づいて、集積回路のクロックレートまたは供給電圧のいずれかを調整することができる。 FIG. 1 is a block diagram of an integrated circuit 100 including a critical path 102 and a timing monitoring circuit 110 described by Agarwal et al. The critical path 102 includes circuit elements 104 and 106. The timing monitoring circuit 110 includes: (i) a D-type flip-flop 118 inserted after the circuit element 104 in the critical path 102 and before the circuit element 106; and (ii) a target at this position along the critical path 102. A delay element 114 having a delay value TG equal to the timing margin and connected to the output of the first circuit element 104; and (iii) another D-type flip-flop 116 connected to the output of the delay element 114. And (iv) an exclusive OR (XOR) logic gate 122 connected to the outputs of flip-flops 118,116. Depending on the application, the delay value TG is typically in the range from a few hundred picoseconds to a few nanoseconds. The timing monitoring circuit 110 delays the copy of the signal 112 appearing at the output of the circuit element 104 by a delay value TG , latches the delayed signal in the flip flop 116, and from the flip flop 116 via the XOR logic gate 122. And the output signal 120 appearing at the output of the flip-flop 118. The output signal 126 from the XOR logic gate 122 is then latched into the timing error display register 124, which generates the output signal 128. The output signal 128 is then passed to the controller, which can adjust either the clock rate or the supply voltage of the integrated circuit based on the output signal 128.

第1の例として、信号112が、論理値0から論理値1へのデータ遷移を含み、フリップ・フロップ116のセットアップ時間がちょうど満足される(すなわち、タイミング・マージンが0である)とすると、論理値1は、クロックCLと共にフリップ・フロップ116内に正常にラッチされる。0から1への遷移がクロックCLに対して多少早い時間でフリップ・フロップ118の入力部Dに到達するため、フリップ・フロップ118も、論理値1で正常にラッチする。両フリップ・フロップが同じ出力値を有するため、XORタイミング・エラー表示レジスタ126は、論理値0を有する。この論理値0は、クリティカルパス中のフリップ・フロップ118が、遅延値Tに設定される少なくとも目標タイミング・マージンまでは、そのセットアップ時間が満足されたことを示す。 As a first example, if signal 112 includes a data transition from logic 0 to logic 1 and the setup time of flip-flop 116 is just satisfied (ie, the timing margin is 0), A logical value of 1 is successfully latched in the flip-flop 116 with the clock CL. Since the transition from 0 to 1 reaches the input D of the flip-flop 118 at a slightly earlier time than the clock CL, the flip-flop 118 also latches normally with a logical value of 1. Since both flip-flops have the same output value, the XOR timing error indication register 126 has a logic value of zero. The logical value 0 indicates that the setup time of the flip-flop 118 in the critical path is satisfied at least until the target timing margin set to the delay value TG .

第2の例として、信号112の0から1へのデータ遷移が、以上の例よりもわずかな時間遅れて起こるとき(たとえば、クリティカルパス102中の信号112の上流における回路素子の経時変化のために)、フリップ・フロップ118は、クロックCLと共に論理値1で正常にラッチすることができるが、フリップ・フロップ116は、遅延値Tのために論理値0でラッチする。ここで、XORタイミング・エラー表示レジスタ126は、論理値1を有し、セットアップ異常が、フリップ・フロップ116内で起こり、フリップ・フロップ118は、遅延値T未満のセットアップ・マージンを有することを示す。したがって、タイミング・エラーは、たとえば、回路が経時変化し続けるときに、起こる傾向にある。 As a second example, when the data transition of signal 112 from 0 to 1 occurs slightly later than in the previous example (eg, due to aging of circuit elements upstream of signal 112 in critical path 102) ), Flip-flop 118 can successfully latch with a logic value of 1 along with clock CL, while flip-flop 116 latches with a logic value of 0 for delay value TG . Here, the XOR timing error indication register 126 has a logic value of 1, indicating that a setup failure has occurred in the flip-flop 116 and that the flip-flop 118 has a setup margin less than the delay value TG. Show. Thus, timing errors tend to occur, for example, when the circuit continues to change over time.

しかし、ある環境の下では、タイミング監視回路110は、セットアップ異常が起こっても、クリティカルパス102のタイミングが満足されるエラー表示を行う可能性がある。具体的には、0から1への遷移が、以上の第2の例よりも遅れて起こるとき、両フリップ・フロップ116、118は、セットアップ異常となるが、誤って論理値0でラッチする可能性がある。この場合には、XORタイミング・エラー表示レジスタ126は、両フリップ・フロップにおけるセットアップ異常の場合を検出することができないため、誤って論理値0を示す。   However, under certain circumstances, the timing monitoring circuit 110 may display an error that satisfies the timing of the critical path 102 even if a setup abnormality occurs. Specifically, when the transition from 0 to 1 occurs later than in the second example, both flip-flops 116 and 118 are set up abnormally, but can be erroneously latched with a logical value of 0. There is sex. In this case, since the XOR timing error display register 126 cannot detect the setup abnormality in both flip-flops, it erroneously indicates the logical value 0.

検出漏れを起こす、この感受性に加えて、タイミング監視回路110は、いくつかの他の欠点を有する。第1に、1つの遅延素子114しか使用しないため、その素子の遅延値Tの分解能でしかタイミングの問題を特定することができない。 In addition to this susceptibility that causes detection omissions, the timing monitoring circuit 110 has several other drawbacks. First, since only one delay element 114 is used, the timing problem can be identified only with the resolution of the delay value TG of that element.

第2に、タイミング監視回路110がクリティカルパス102のタイミングを試験するのに、タイミング・エラー(または成功)表示を生成するのに十分な、信号112のデータ遷移を起こすために、クリティカルパス102中に、ある最小量のデータ・アクティビティが起こる必要がある。クリティカルパス102中に、データ・アクティビティがほとんどないとき、クリティカルパス102中の遅延(たとえば、経時変化のために)は、生じるが、タイミング監視回路110によって検出することはできない。   Secondly, in the critical path 102 to cause a data transition of the signal 112 sufficient to generate a timing error (or success) indication for the timing monitor circuit 110 to test the timing of the critical path 102. Some minimum amount of data activity needs to occur. When there is little data activity in the critical path 102, a delay in the critical path 102 (eg, due to aging) occurs but cannot be detected by the timing monitor circuit 110.

第3に、タイミング監視回路は、通常、集積回路の物理設計および静的タイミング解析(クリティカルパスの特定を含む)が完了した後にのみ、集積回路に付加される。しかし、タイミング監視回路110内のフリップ・フロップ118が、クリティカルパス102中に挿入されるとき、クリティカルパス102のタイミングおよび負荷の両方が影響を受ける。したがって、タイミング監視回路110が配置された後、回路負荷およびタイミング解析を反復させる必要がある可能性があり、物理設計は、タイミング監視回路110を収容するのに変更する必要がある可能性がある。そうした変更は、集積回路の設計スケジュールに重大な影響を及ぼす可能性がある。   Third, the timing monitoring circuit is typically added to the integrated circuit only after the integrated circuit physical design and static timing analysis (including critical path identification) is complete. However, when the flip-flop 118 in the timing monitoring circuit 110 is inserted into the critical path 102, both the timing and load of the critical path 102 are affected. Thus, after the timing monitor circuit 110 is deployed, the circuit load and timing analysis may need to be repeated, and the physical design may need to be changed to accommodate the timing monitor circuit 110. . Such changes can have a significant impact on the integrated circuit design schedule.

Neil Savage、「Intel and ARM are Exploring Self−Correction Schemes to Boost Processor Performance and Cut Power」、Spectrum Online、2008年2月、http://www.spectrum.ieee.org/feb08/5975Neil Savage, “Intel and ARM are Exploring Self-Correcting Schemes to Boost Processor Performance and Cut Power”, Spectrum Online, February 2008, http: //www.Neil Savage. spectrum. iee. org / feb08 / 5975 Mridul Agarwalら、「Circuit Failure Prediction and its application to Transistor Aging」、第25回IEEE VLSI Test Symposium、2007年5月6日〜10日、277〜286頁Midul Agalwal et al., “Circuit Failure Prediction and its application to Transistor Aging”, 25th IEEE VLSI Test Symposium, May 6-10, 2007, pages 277-286.

先行技術における問題は、本発明の原理により、集積回路内の被監視クリティカルパスの近傍の領域内に配置される改良型タイミング監視回路によって解決される。   The problems in the prior art are solved according to the principles of the present invention by an improved timing monitoring circuit located in a region in the integrated circuit near the monitored critical path.

したがって、一実施形態において、本発明は、その中のクリティカルパス中のタイミングを監視する監視回路を含む集積回路である。クリティカルパスは、目標タイミング・マージンを有する。監視回路は、入力部および出力部を有し、遅延値を受領信号に加える遅延回路を有する第1のシフト・レジスタを含む。監視回路は、入力部および出力部を有する第2のシフト・レジスタをさらに含み、第1および第2のシフト・レジスタの入力部は、入力信号を受領することができる信号入力ノードを形成するために、共に接続される。
監視回路は、出力部および少なくとも2つの入力部を有する論理回路も含み、各入力部は、第1および第2のシフト・レジスタの出力部の対応する1つに接続される。論理回路の出力部は、目標タイミング・マージンが満足されるか、または満足されないかを示す。
Accordingly, in one embodiment, the present invention is an integrated circuit that includes a monitoring circuit that monitors timing in a critical path therein. The critical path has a target timing margin. The monitoring circuit includes a first shift register having an input portion and an output portion and having a delay circuit that adds a delay value to the received signal. The monitoring circuit further includes a second shift register having an input and an output, wherein the inputs of the first and second shift registers form a signal input node that can receive the input signal. Are connected together.
The monitoring circuit also includes a logic circuit having an output and at least two inputs, each input being connected to a corresponding one of the outputs of the first and second shift registers. The output of the logic circuit indicates whether the target timing margin is satisfied or not satisfied.

別の実施形態において、本発明は、集積回路内のクリティカルパス中のタイミングを監視する装置である。クリティカルパスは、目標タイミング・マージンを有する。本装置は、(a)入力信号を、第1のシフト・レジスタを含む第1のパスと、第2のシフト・レジスタを含む第2のパスとに分割する手段と、(b)第1のパス中の入力信号を第1の遅延量だけ遅延させる手段と、(c)第2のパス中の入力信号を第1のパス中の遅延入力信号と比較する手段と、(d)前記比較に基づいて、目標タイミング・マージンが満足されるか、または満足されないかを示す出力を生成する手段とを含む。   In another embodiment, the present invention is an apparatus for monitoring timing in a critical path in an integrated circuit. The critical path has a target timing margin. The apparatus comprises: (a) means for splitting an input signal into a first path including a first shift register and a second path including a second shift register; and (b) a first Means for delaying the input signal in the path by a first delay amount; (c) means for comparing the input signal in the second path with the delayed input signal in the first path; and (d) for the comparison. And generating an output indicating whether the target timing margin is satisfied or not satisfied.

さらに、別の実施形態において、本発明は、集積回路内のクリティカルパス中のタイミングを監視する方法である。クリティカルパスは、目標タイミング・マージンを有する。
入力信号は、第1のシフト・レジスタを含む第1のパスと、第2のシフト・レジスタを含む第2のパスとに分割される。第1のパス中の入力信号は、第1の遅延量だけ遅延する。
第2のパス中の入力信号は、第1のパス中の遅延入力信号と比較される。最後に、前記比較に基づいて、目標タイミング・マージンが満足されるか、または満足されないかを示す出力が生成される。
In yet another embodiment, the present invention is a method for monitoring timing in a critical path in an integrated circuit. The critical path has a target timing margin.
The input signal is split into a first path that includes a first shift register and a second path that includes a second shift register. The input signal in the first path is delayed by a first delay amount.
The input signal in the second path is compared with the delayed input signal in the first path. Finally, based on the comparison, an output is generated that indicates whether the target timing margin is satisfied or not satisfied.

本発明の他の態様、特徴、および利点は、以下の詳細な説明、添付の特許請求の範囲、および添付の図面によって、より十分に明らかになり、同様の参照番号は、類似または同一の素子を特定する。   Other aspects, features, and advantages of the present invention will become more fully apparent from the following detailed description, the appended claims, and the accompanying drawings, in which like reference numerals refer to like or identical elements. Is identified.

先行技術タイミング監視回路の概略ブロック図である。1 is a schematic block diagram of a prior art timing monitoring circuit. FIG. 本発明の一実施形態による、タイミング監視回路の概略ブロック図である。2 is a schematic block diagram of a timing monitoring circuit according to an embodiment of the present invention. FIG. 本発明の別の実施形態による、細密遅延検出器を含むタイミング監視回路の概略ブロック図である。FIG. 4 is a schematic block diagram of a timing monitoring circuit including a fine delay detector according to another embodiment of the present invention. 図3の細密遅延検出器の一実施形態の詳細ブロック図である。FIG. 4 is a detailed block diagram of one embodiment of the fine delay detector of FIG. 図4に示される細密遅延検出器を含む、図3に示されるタイミング監視回路の動作を示すタイミング図である。FIG. 5 is a timing diagram showing the operation of the timing monitoring circuit shown in FIG. 3 including the fine delay detector shown in FIG. 4. 図4に示される細密遅延検出器を含む、図3に示されるタイミング監視回路の動作を示すタイミング図である。FIG. 5 is a timing diagram showing the operation of the timing monitoring circuit shown in FIG. 3 including the fine delay detector shown in FIG. 4. 図4に示される細密遅延検出器を含む、図3に示されるタイミング監視回路の動作を示すタイミング図である。FIG. 5 is a timing diagram showing the operation of the timing monitoring circuit shown in FIG. 3 including the fine delay detector shown in FIG. 4.

図2は、本発明の一実施形態によるタイミング監視回路210を含む集積回路200を示す。タイミング監視回路210は、回路素子204および206を含むクリティカルパス202の十分近傍に配置するのが好ましく、したがって、タイミング監視回路210は、クリティカルパス202中の回路素子と同じプロセス、電圧、温度、および経時変化作用を受ける。クリティカルパス102内に配置され、クリティカルパス102に接続する、図1において上述したタイミング監視回路110とは対照的に、タイミング監視回路210は、クリティカルパス202とは十分に独立している(すなわち、クリティカルパス202と共通する部品がなく、タイミング監視回路210およびクリティカルパス202が共有することができるクロックCLを除いて、クリティカルパス202を横断する信号に依存しない)のが好ましい。   FIG. 2 illustrates an integrated circuit 200 that includes a timing monitoring circuit 210 according to one embodiment of the present invention. The timing monitoring circuit 210 is preferably located sufficiently close to the critical path 202 that includes the circuit elements 204 and 206, so the timing monitoring circuit 210 is the same process, voltage, temperature, and as the circuit elements in the critical path 202. Subject to aging effects. In contrast to the timing monitoring circuit 110 described above in FIG. 1 that is placed in and connected to the critical path 102, the timing monitoring circuit 210 is sufficiently independent of the critical path 202 (ie, It is preferable that there are no components in common with the critical path 202 and that the timing monitoring circuit 210 and the clock CL that can be shared by the critical path 202 do not depend on signals traversing the critical path 202).

タイミング監視回路210は、(i)D型フリップ・フロップ232および234によって形成される第1のシフト・レジスタ230と、(ii)D型フリップ・フロップ242および248によって形成される第2のシフト・レジスタ240とを含む。第2のシフト・レジスタ240は、遅延値TDELAYを供給する遅延素子244をさらに含む。遅延値TDELAYの設計値は、クリティカルパス202中の回路素子206の目標タイミング・マージンの関数である所定の時間周期に等しくなるのが好ましい。たとえば、所定の時間周期は、ほぼ1クロック周期と目標タイミング・マージンとの差とすることができる。しかし、実遅延値TDELAYは、たとえば経時変化作用のために、時間と共に増加する可能性がある。 Timing monitor circuit 210 includes (i) a first shift register 230 formed by D-type flip-flops 232 and 234 and (ii) a second shift register formed by D-type flip-flops 242 and 248. Register 240. The second shift register 240 further includes a delay element 244 that provides a delay value T DELAY . The design value of the delay value T DELAY is preferably equal to a predetermined time period that is a function of the target timing margin of the circuit element 206 in the critical path 202. For example, the predetermined time period may be approximately the difference between one clock period and the target timing margin. However, the actual delay value T DELAY can increase over time, for example due to aging effects.

シフト・レジスタ230および240の出力部は、XOR論理ゲート260の入力部に接続し、XOR論理ゲート260の出力部は、フリップ・フロップ270に接続し、フリップ・フロップ270は、タイミング・エラー表示器280に渡されるタイミング・エラー表示信号を生成する。タイミング監視回路210は、イネーブル/ディスエーブル回路290をさらに含むことができ、イネーブル/ディスエーブル回路290は、イネーブル信号ENを受け取るときだけ、回路内の他の素子にクロック信号CLを渡す。イネーブル/ディスエーブル回路290は、図2に示されるAND論理ゲートとして、またはその代わりに、NAND、NOR、OR、またはXOR論理ゲートとして(適当な極性のイネーブル信号ENを伴って)実装することができる。   The outputs of shift registers 230 and 240 are connected to the inputs of XOR logic gate 260, and the outputs of XOR logic gate 260 are connected to flip-flop 270, which is a timing error indicator. A timing error indication signal passed to 280 is generated. The timing monitoring circuit 210 can further include an enable / disable circuit 290 that passes the clock signal CL to other elements in the circuit only when receiving the enable signal EN. Enable / disable circuit 290 may be implemented as a NAND, NOR, OR, or XOR logic gate (with appropriate polarity enable signal EN) as an AND logic gate as shown in FIG. 2 or alternatively. it can.

タイミング監視回路210は、信号224を生成するパルス発生器220をさらに含むことができ、信号224は、シフト・レジスタ230および240に入力される「試験データ」信号として使用される。図2に示される実施形態において、パルス発生器220は、クロックCLによって駆動される2分周回路(すなわち、そのD入力部に接続する、そのノットQ出力部を有する)として構成されるフリップ・フロップ222であるが、他の適当なパルス発生器を使用することができる。図2に示される実施形態において、フリップ・フロップ222は、2クロック周期それぞれに対して、そのノットQ出力部において1つの出力パルスを生成する。次に、フリップ・フロップ222のノットQ出力部における信号は、分割され、フリップ・フロップ232および242のD入力部に入力される。
あるいは、フリップ・フロップ222のノットQ出力ではなくQ出力を、フリップ・フロップ232および242のD入力を駆動するのに使用することができる。
Timing monitoring circuit 210 may further include a pulse generator 220 that generates signal 224, which is used as a “test data” signal that is input to shift registers 230 and 240. In the embodiment shown in FIG. 2, the pulse generator 220 is a flip-flop configured as a divide-by-2 circuit (ie, having its knot Q output connected to its D input) driven by a clock CL. Although flop 222, other suitable pulse generators can be used. In the embodiment shown in FIG. 2, the flip-flop 222 generates one output pulse at its knot Q output for each two clock periods. Next, the signal at the knot Q output of the flip flop 222 is divided and input to the D inputs of the flip flops 232 and 242.
Alternatively, the Q output, rather than the knot Q output of flip flop 222, can be used to drive the D inputs of flip flops 232 and 242.

クロックCLの所与の立上りエッジに対して、信号224のデータ遷移(たとえば、0から1へ)は、フリップ・フロップ232および242内にラッチされる。クロックCLの次の立上りエッジにおいて、データ遷移は、非遅延シフト・レジスタ230内のフリップ・フロップ234内にラッチされる。遅延素子244の実遅延値TDELAYが、所定の時間周期と目標タイミング・マージンとの和を超えない(たとえば、実遅延値TDELAYが、ほぼ1クロック周期を超えない)とき、フリップ・フロップ248のセットアップ時間は、侵害されず、遅延シフト・レジスタ240内のフリップ・フロップ248は、同じデータ遷移で正常にラッチする。したがって、XOR論理ゲート260は、その出力部において論理値0を生成し、フリップ・フロップ242、遅延素子244、およびフリップ・フロップ248を含む試験データパスのタイミングが、設計限界と一致することを示す。2つのパス中の素子が、同じプロセス、電圧、温度、および経時変化作用を受けるように、フリップ・フロップ242、遅延素子244、およびフリップ・フロップ248を含む試験データパスが、クリティカルパス202の十分近傍に配置されるため、XOR論理ゲート260の出力部における論理値0は、クリティカルパス202のタイミングも設計限界と一致することを示すものとみなされる。 For a given rising edge of clock CL, the data transition of signal 224 (eg, from 0 to 1) is latched in flip-flops 232 and 242. On the next rising edge of clock CL, the data transition is latched into flip-flop 234 in non-delayed shift register 230. When the actual delay value T DELAY of the delay element 244 does not exceed the sum of the predetermined time period and the target timing margin (eg, the actual delay value T DELAY does not exceed approximately one clock period), the flip-flop 248 The set-up time is not violated and the flip-flop 248 in the delay shift register 240 latches normally on the same data transition. Thus, the XOR logic gate 260 produces a logic zero at its output, indicating that the timing of the test data path that includes the flip-flop 242, the delay element 244, and the flip-flop 248 matches the design limit. . A test data path including flip-flop 242, delay element 244, and flip-flop 248 is sufficient for critical path 202 so that the elements in the two paths are subjected to the same process, voltage, temperature, and aging effects. Since it is arranged in the vicinity, a logical value 0 at the output of the XOR logic gate 260 is considered to indicate that the timing of the critical path 202 also matches the design limit.

他方、プロセス、電圧、温度、および/または経時変化作用によって、実遅延値TDELAYが、所定の時間周期と目標タイミング・マージンとの和を超える(たとえば、実遅延値TDELAYが、ほぼ1クロック周期を超える)とき、目標タイミング・マージンはもはや満足されない。非遅延シフト・レジスタ230内のフリップ・フロップ234は、データ遷移値(たとえば論理値1)で正常にラッチするが、遅延シフト・レジスタ240内のフリップ・フロップ248は、誤ったデータ遷移値(たとえば0)でラッチする。この場合に、XOR論理ゲート260は、その出力部において論理値1を生成し、クリティカルパス202のタイミングが満足されなかったことを示唆する。次に、フリップ・フロップ270は、タイミング・エラー指示器280に渡されるタイミング・エラー指示信号を生成する。 On the other hand, due to process, voltage, temperature, and / or aging effects, the actual delay value T DELAY exceeds the sum of the predetermined time period and the target timing margin (eg, the actual delay value T DELAY is approximately one clock). The target timing margin is no longer satisfied. The flip-flop 234 in the non-delayed shift register 230 latches normally with a data transition value (eg, a logical value of 1), while the flip-flop 248 in the delay shift register 240 has an incorrect data transition value (eg, a logic value of 1). Latch at 0). In this case, the XOR logic gate 260 generates a logic value 1 at its output, indicating that the timing of the critical path 202 was not satisfied. Next, the flip-flop 270 generates a timing error indication signal that is passed to the timing error indicator 280.

実際には、遅延素子244は、標準遅延回路(たとえばマクロ回路を含む)の所定の組の中から設計プロセス中に選択されるのが好ましい。標準遅延回路は、プロジェクトの開始時に設定することができる、監視される特定の目標タイミング・マージンに基づいて、クロック周期の様々な区画に対応する伝搬遅延をもたらすことができる。標準遅延回路は、被監視集積回路のクリティカルパスを近傍で並列させる、高閾値電圧値、標準閾値電圧値、および低閾値電圧値が混合された標準電池を含むのが好ましい。さらに、遅延素子244は、タイミング監視回路210が集積回路200の動作中に様々なクロック周波数に対応することができるように、可変遅延素子とすることができる。   In practice, the delay element 244 is preferably selected during a design process from a predetermined set of standard delay circuits (eg, including macro circuits). Standard delay circuits can provide propagation delays corresponding to various sections of the clock period based on the particular target timing margins that can be set at the start of the project. The standard delay circuit preferably includes a standard battery having a high threshold voltage value, a standard threshold voltage value, and a low threshold voltage value mixed in close proximity to the critical path of the monitored integrated circuit. Further, the delay element 244 can be a variable delay element so that the timing monitoring circuit 210 can accommodate various clock frequencies during operation of the integrated circuit 200.

タイミング監視回路210は、図1の先行技術タイミング監視回路110に優る、多くの利点を有する。第1に、タイミング監視回路210は、最終統計的タイミング解析が行われる、かなり前に物理設計に組み込むことができる。クリティカルパスにあらゆる追加の負荷を加えることなく、タイミング監視回路210のインスタンス当たり1つだけ追加される論理ゲートのクロック信号に対して追加の負荷を加えて、集積回路上の様々な主要位置に、並列配置を行うことができる。その結果、タイミング監視回路210は、静的タイミング解析または集積回路の最終物理設計に最小限の影響を及ぼす。   Timing monitoring circuit 210 has many advantages over prior art timing monitoring circuit 110 of FIG. First, the timing monitoring circuit 210 can be incorporated into the physical design long before the final statistical timing analysis is performed. Without adding any additional load on the critical path, an additional load is added to the clock signal of the logic gate that is added only once per instance of the timing monitor circuit 210, and at various key locations on the integrated circuit, Parallel arrangement can be performed. As a result, the timing monitoring circuit 210 has minimal impact on static timing analysis or the final physical design of the integrated circuit.

第2に、タイミング監視回路210は、タイミング監視回路110において上述した検出漏れの問題に影響を受けにくいが、それは、フリップ・フロップ234が、常に正しいデータをラッチするためである。   Secondly, the timing monitoring circuit 210 is not easily affected by the above-described detection omission problem in the timing monitoring circuit 110 because the flip-flop 234 always latches the correct data.

第3に、タイミング監視回路210は、被監視クリティカルパスを試験するのに、データ遷移をもたらす集積回路に依存しない。むしろ、間接的ではあるが、被監視クリティカルパスを頻繁に試験するように、パルス発生器220が、タイミング監視回路210を通して多くのデータ遷移をもたらす。   Third, the timing monitoring circuit 210 does not rely on an integrated circuit that causes data transitions to test the monitored critical path. Rather, the pulse generator 220 introduces many data transitions through the timing monitoring circuit 210 to test the monitored critical path frequently, albeit indirectly.

第4に、イネーブル/ディスエーブル回路290が、クロック信号CLを受け取り、クロック信号CLをタイミング監視回路210内の残りの回路素子に分配するため、タイミング監視回路210の集積回路への付加によって、単一のゲートだけが、集積回路クロック負荷に追加される。   Fourth, the enable / disable circuit 290 receives the clock signal CL and distributes the clock signal CL to the remaining circuit elements in the timing monitor circuit 210, so that the timing monitor circuit 210 is simply added to the integrated circuit. Only one gate is added to the integrated circuit clock load.

図3は、本発明の別の実施形態によるタイミング監視回路310を示す。図3のタイミング監視回路310は、図2のタイミング監視回路210に類似し、タイミング監視回路310中で、総遅延素子344および細密遅延出力レジスタ350に接続する細密遅延検出回路346が、図2の遅延素子244の代わりに代用される点を除いて、同様の素子は、同じ下2桁を有する符号を使用して特定される。   FIG. 3 shows a timing monitoring circuit 310 according to another embodiment of the present invention. The timing monitoring circuit 310 of FIG. 3 is similar to the timing monitoring circuit 210 of FIG. 2, and in the timing monitoring circuit 310, the fine delay detection circuit 346 connected to the total delay element 344 and the fine delay output register 350 is replaced by the fine delay detection circuit 346 of FIG. Similar elements are identified using a code having the same last two digits, except that they are substituted for the delay element 244.

総遅延素子344の遅延値は、遅延素子244によってもたらされる遅延値の大部分とほぼ同じになるように選択されるが、細密遅延検出器346に関する遅延値は、遅延素子244によってもたらされる遅延値の残りの部分となるように選択される。したがって、図2のタイミング監視回路210中のフリップ・フロップ242および248間の総パス遅延値と、図3のタイミング監視回路310中のフリップ・フロップ342および348間の総パス遅延値とは、ほぼ同じである。細密遅延検出器346は、(i)所定の分解能で、総遅延素子344の実遅延を所定の時間周期(上述したように、目標タイミング・マージンの関数である)と比較するように、(ii)その結果に基づいて、タイミング監視回路310の実タイミング・マージンに対応する検出器出力信号を生成するように構成されるのが好ましい。次に、検出器出力信号は、細密遅延検出レジスタ350に渡される。
好ましい実施形態において、細密遅延検出器346は、総遅延素子344に関する実遅延量の増加だけでなく、そうした遅延量の減少も検出するように構成される。その際、細密遅延検出器346は、タイミング監視回路310内の実タイミング・マージンの増加および減少を評価することもできる。
The delay value of the total delay element 344 is selected to be approximately the same as the majority of the delay values provided by the delay element 244, but the delay value for the fine delay detector 346 is the delay value provided by the delay element 244. Selected to be the rest of. Therefore, the total path delay value between the flip-flops 242 and 248 in the timing monitoring circuit 210 of FIG. 2 and the total path delay value between the flip-flops 342 and 348 in the timing monitoring circuit 310 of FIG. The same. The fine delay detector 346 (ii) compares (ii) the actual delay of the total delay element 344 with a predetermined time period (which is a function of the target timing margin as described above) with a predetermined resolution. Based on the results, it is preferably configured to generate a detector output signal corresponding to the actual timing margin of the timing monitoring circuit 310. The detector output signal is then passed to the fine delay detection register 350.
In the preferred embodiment, fine delay detector 346 is configured to detect not only an increase in the actual delay amount for total delay element 344, but also a decrease in such delay amount. At that time, the fine delay detector 346 can also evaluate the increase and decrease of the actual timing margin in the timing monitoring circuit 310.

図4は、図3の細密遅延検出回路346の例示的な実施形態を示す。図4に示されるように、細密遅延検出回路346は、直列に接続する9つの遅延線素子(DLE)406〜406、9つのフリップ・フロップ404〜404と、8つのXOR論理ゲート402〜402とを含む。フリップ・フロップ404およびDLE406の入力部は、図3の総遅延素子344の出力部から取られる信号TRINに接続する。DLE406〜406の出力部は、それぞれ、フリップ・フロップ404〜404のD入力部およびDLE406〜406の入力部に接続する。DLE406は、DLE406の出力負荷がDLE406〜406の出力負荷と同じになるように提供される。したがって、DLE406の出力部は、使用されない。 FIG. 4 illustrates an exemplary embodiment of the fine delay detection circuit 346 of FIG. As shown in FIG. 4, the fine delay detection circuit 346 includes nine delay line elements (DLE) 406 0 to 406 8 , nine flip-flops 404 0 to 404 8 and eight XOR logic gates connected in series. 402 0 to 402 7 . Input of the flip-flop 404 0 and DLE406 0 is connected to the signal TR IN taken from the output of the total delay element 344 of FIG. DLE406 0 ~406 7 the output of each connected to the input of the D input and DLE406 1 ~406 8 flip-flops 404 1 to 404 8. DLE406 8 is provided as the output load of DLE406 7 is the same as the output load of DLE406 0 ~406 6. Therefore, the output of DLE406 8 is not used.

この構成において、入力信号TRINは、DLE406〜406によって形成される遅延線を下向きに伝搬する。DLE406〜406およびフリップ・フロップ404〜404は、「温度計」レジスタを形成する。温度計レジスタがn個の出力ビットの組を有するとき、0番目からi番目の出力ビットは、すべて高であるが、残りの出力ビット(すなわち、(i+1)番目から(n−1)番目の出力ビット)は、すべて低である(または逆も同様である)。温度計レジスタ出力ビットの値の遷移点(たとえば、論理値1から論理値0への)は、クロック信号CLがフリップ・フロップ404〜404に到達する時点で、入力信号TRINが、DLE406〜406によって形成される遅延線を下向きに伝搬した距離を示す。 In this configuration, the input signal TR IN propagates down delay line formed by DLE406 0 ~406 8. DLE 406 0 -406 8 and flip-flops 404 0 -404 8 form a “thermometer” register. When the thermometer register has a set of n output bits, the 0th to i-th output bits are all high, but the remaining output bits (ie (i + 1) th to (n-1) th) Output bits) are all low (or vice versa). The transition point of the value of the thermometer register output bit (eg, from logic 1 to logic 0) occurs when the clock signal CL reaches the flip-flops 404 0 -404 8 , the input signal TR IN becomes DLE 406. a delay line formed by 0-406 8 shows a distance propagated downward.

フリップ・フロップ404〜404の連続する対のQ出力部は、XOR論理ゲート402〜402の対応する入力部に接続する。次に、XOR論理ゲート402〜402は、それぞれ、出力ビットB〜Bを生成し、総遅延素子344の実遅延の測定を行う。したがって、DLE406〜406、フリップ・フロップ404〜404、およびXOR論理ゲート402〜402は、「ワンホット」レジスタを形成し、1つの出力ビットのみが高(すなわち「1」)となるが、残りが低(すなわち「0」)となる(または逆も同様である)。出力ビットB〜B内の高出力ビットの位置は、クロック信号CLがフリップ・フロップ404〜404に到達する時点で、入力信号TRINが、DLE406〜406によって形成される遅延線を下向きに伝搬した距離を示す。 The successive pairs of Q outputs of flip-flops 404 0 -404 8 connect to corresponding inputs of XOR logic gates 402 0 -402 7 . Then, XOR logic gate 402 0-402 7, respectively, to produce an output bit B 0 .about.B 7, to measure the actual delay of the total delay element 344. Thus, DLE 406 0 -406 8 , flip-flops 404 0 -404 8 , and XOR logic gates 402 0 -402 7 form a “one hot” register, with only one output bit being high (ie, “1”). But the rest will be low (ie, “0”) (or vice versa). The position of the high output bit within the output bits B 0 -B 7 is the delay formed by the DLE 406 0 -406 8 when the input signal TR IN is reached when the clock signal CL reaches the flip-flops 404 0 -404 8. Shows the distance traveled down the line.

次に、出力ビットB〜Bは、たとえばICタイミング・コントローラ(図示せず)によって使用するために、細密遅延検出器出力レジスタ350内に格納される。その際、ICタイミング・コントローラは、出力ビットB〜Bによってもたらされるタイミング情報を使用することができ、たとえば、タイミング情報に基づいて、集積回路クロックのレートまたは電源電圧を調整する。たとえば、目標タイミング・マージンに余剰マージンで対応するとき、クロックレートは、クリティカルパス202のタイミング・エラーを生成する恐れなしに増加させることができる。他方、目標タイミング・マージンが満足されないとき、クロックレートは、クリティカルパス202のタイミングを改善するために減少させることができる。 The output bits B 0 -B 7 are then stored in the fine delay detector output register 350 for use by, for example, an IC timing controller (not shown). In doing so, the IC timing controller can use the timing information provided by the output bits B 0 -B 7 , for example, adjusting the rate of the integrated circuit clock or the power supply voltage based on the timing information. For example, when dealing with the target timing margin with an extra margin, the clock rate can be increased without fear of generating a critical path 202 timing error. On the other hand, when the target timing margin is not met, the clock rate can be decreased to improve the timing of the critical path 202.

検出回路346の出力信号TROUTは、DLE406〜406の出力部のいずれか1つを、図3のフリップ・フロップ348のD入力部に接続することができる。出力信号TROUTの接続部として使用される特定のDLE出力部は、以下にさらに説明するように、設計者が、成功(すなわち、より早い)または不成功(すなわち、より遅い)のタイミングを検出したい範囲によって、集積回路の設計中に選択することができる。図4に示される実施形態において、たとえば、出力信号TROUTは、DLE406の出力部において直接取られる。 Output signal TR OUT of the detection circuit 346, the any one of the output portion of DLE406 0 ~406 8, can be connected to the D input of the flip-flop 348 of FIG. The particular DLE output used as a connection for the output signal TR OUT allows the designer to detect success (ie earlier) or unsuccessful (ie later) timing, as further described below. Depending on the range desired, it can be selected during integrated circuit design. In the embodiment shown in FIG. 4, for example, the output signal TR OUT is taken directly at the output of DLE406 1.

あるいは、DLE406〜406の出力部は、9x1選択スイッチ(図示せず)に接続することができ、選択スイッチからの出力は、出力信号TROUTとして取ることができる。選択スイッチは、能動ゲート・マルチプレクサ、伝達ゲート(Tゲート)マルチプレクサ、3値バッファ・マルチプレクサ、または他の適当な選択スイッチもしくはマルチプレクサとすることができる。この代わりの実施形態において、選択スイッチは、たとえばタイミング・コントローラからの制御信号に基づいて出力信号TROUTとして使用するために、DLE406〜406からの出力のいずれか1つを選択することができる。 Alternatively, the outputs of DLE 406 0 -406 8 can be connected to a 9 × 1 selection switch (not shown) and the output from the selection switch can be taken as an output signal TR OUT . The selection switch can be an active gate multiplexer, a transmission gate (T-gate) multiplexer, a ternary buffer multiplexer, or other suitable selection switch or multiplexer. In this alternative embodiment, the selection switch may select any one of the outputs from DLE 406 0 -406 8 for use as output signal TR OUT , eg, based on a control signal from a timing controller. it can.

さらに、図3に示されるタイミング監視回路310および図4に示される細密遅延検出回路346の動作は、図5〜7に示されるタイミング図によってそれぞれ図示される、以下の3つの場合を参照して理解することができる。   Further, the operation of the timing monitoring circuit 310 shown in FIG. 3 and the fine delay detection circuit 346 shown in FIG. 4 will be described with reference to the following three cases, respectively illustrated by the timing diagrams shown in FIGS. I can understand.

場合1:目標タイミング・マージンに無余剰マージンで対応する
図5は、目標タイミング・マージンに無余剰マージンで対応する、場合1のタイミングを示す。場合1において、(i)クロックCLからフリップ・フロップ342の出力部Qまでの遅延と、(ii)総遅延素子344による遅延と、(iii)細密遅延検出器346による遅延(たとえば、信号TRINが信号TROUTまで進む時間)との和に関する設計値が、ほぼ1クロック周期に等しくなるように選択される(すなわち、目標タイミング・マージンは、余裕がほとんど、または全く存在しないように最小であるとみなされる)。
Case 1: Corresponding to Target Timing Margin with No Surplus Margin FIG. 5 shows the timing of Case 1 corresponding to the target timing margin with no surplus margin. In case 1, (i) delay from clock CL to output Q of flip-flop 342, (ii) delay by total delay element 344, and (iii) delay by fine delay detector 346 (eg, signal TR IN there are design values for the sum of the time advance to signal TR OUT), it is chosen to be approximately equal to one clock cycle (i.e., the target timing margin is a minimum as little or not at all there is room Is considered).

図5のタイミング図の時間tで示される、クロックCLの第1の立上りエッジで、データ論理値1が、図3のフリップ・フロップ332および342内にラッチされる。したがって、フリップ・フロップ332および342のQ出力部は、論理値0から論理値1に遷移する。 At the first rising edge of clock CL, indicated by time t 1 in the timing diagram of FIG. 5, the data logic value 1 is latched in flip-flops 332 and 342 of FIG. Therefore, the Q outputs of flip-flops 332 and 342 transition from a logical value of 0 to a logical value of 1.

意図的に、図5の時間tにおけるクロックCLの第2の立上りエッジの直前で、全総遅延素子344ならびに細密遅延検出器346内の2つの第1のDLE406および406の出力部にわたって、0から1への遷移が観測される。クロックCLの第2の立上りエッジにおいて(時間tにおいて)、TRIN入力部に最も近い2つの第1のDLE(すなわち、DLE406および406)の出力部に、論理値1が観測される。残りの7つのDLE406〜406の出力部は、すべて論理値0を示す。クロックCLの第2の立上りエッジは、図3のフリップ・フロップ334および348内にも論理値1を記録し、したがって、タイミング・エラー表示器380は、最終的に論理値0を記録し、時間的制約が満足されたことを示す。 Intentionally, immediately before the second rising edge of the clock CL at time t 2 in FIG. 5, across two first DLE406 0 and 406 1 of the output portion of the total total delay element 344 and fine delay the detector 346 , A transition from 0 to 1 is observed. In the second rising edge of the clock CL (at time t 2), the output of the first DLE two closest to the TR IN input section (i.e., DLE406 0 and 406 1), the logical value 1 is observed . The output of the remaining seven DLE406 2 ~406 8, all show a logic zero. The second rising edge of clock CL also records a logic value 1 in flip-flops 334 and 348 of FIG. 3, so that timing error indicator 380 eventually records a logic value 0 and time Indicates that the physical constraint is satisfied.

クロックCLの第2の立上りエッジは、さらに、図4のフリップ・フロップ404〜404内に論理値1を、フリップ・フロップ404〜404内に論理値0を記録する。したがって、XOR論理ゲート402〜402によって出力されるビット値B[0:7]は、それぞれ、論理値{0010 0000}を有する。論理値1のBビット値は、クロックCLの第2の立上りエッジの時間において、第3のDLE(DLE406)内に、0から1への遷移が起こることを示す。言い換えれば、クロックCLの第2の立上りエッジの時間(時間t)において、DLE406への入力(およびDLE406からの出力)は、論理値1であり、DLE406からの出力は、論理値0を有する。この例において、これらのXOR出力ビット値(B[0:7]={0010 0000})は、目標タイミング・マージンに最小検出可能余剰マージンで対応したことを示す。 The second rising edge of the clock CL is further a logical value 1 in the flip-flop 404 0-404 2 in FIG. 4, the logical value 0 is recorded in the flip-flop 404 3-404 8. Accordingly, the bit values B [0: 7] output by the XOR logic gates 402 0 to 402 7 each have a logic value {0010 0000}. A B 2 bit value of logic 1 indicates that a transition from 0 to 1 occurs in the third DLE (DLE 406 2 ) at the time of the second rising edge of the clock CL. In other words, in the second rising edge of the clock CL (time t 2), (the output from and DLE406 1) input to DLE406 2 is a logical value 1, the output from DLE406 2, the logical value 0. In this example, these XOR output bit values (B [0: 7] = {0010 0000}) indicate that the target timing margin corresponds to the minimum detectable surplus margin.

場合2:目標タイミング・マージンに大余剰マージンで対応する
図6は、目標タイミング・マージンに大余剰マージンで対応する、場合2のタイミングを示す。場合2において、場合1と同様に、(i)クロックCLからフリップ・フロップ342の出力部Qまでの遅延と、(ii)総遅延素子344による遅延と、(iii)細密遅延検出器346による遅延との和に関する設計値が、ほぼ1クロック周期に等しくなるように選択される(すなわち、目標タイミング・マージンは、余裕がほとんど、または全く存在しないように最小であるとみなされる)。しかし、場合2において、時間の経過によるクロック分配速度低下および/またはデータパス速度上昇の組み合わせが、0から1への遷移に帰着し、遅延線を最初に設計されたものよりもさらに下降させるとみなされている。
Case 2: Corresponding to Target Timing Margin with Large Surplus Margin FIG. 6 shows the timing of Case 2 corresponding to the target timing margin with a large surplus margin. In case 2, as in case 1, (i) delay from clock CL to output Q of flip-flop 342, (ii) delay by total delay element 344, and (iii) delay by fine delay detector 346 Is selected to be approximately equal to one clock period (ie, the target timing margin is considered minimal so that there is little or no margin). However, in case 2, if the combination of reduced clock distribution speed and / or increased data path speed over time results in a transition from 0 to 1, lowering the delay line further than originally designed. It is regarded.

最初に、図6のタイミング図の時間tで示される、クロックCLの第1の立上りエッジで、論理値1が、フリップ・フロップ332および342内にクロックされる。したがって、フリップ・フロップ332および342のQ出力部は、論理値0から論理値1に遷移する。時間tにおけるクロックCLの第2の立上りエッジの直前で、全総遅延素子344ならびに細密遅延検出回路346内の遅延線の7つの第1のDLE406〜406の出力部にわたって、0から1への遷移が観測される。図6の時間tで、クロックCLの第2の立上りエッジにおいて、入力部TRINに最も近い7つの第1のDLE(すなわち、DLE406〜406)の出力部に、論理値1が観測される。残りのDLE406および406の出力部は、論理値0を示す。クロックCLの第2の立上りエッジは、図3のフリップ・フロップ334および348内にも論理値1を記録し、タイミング・エラー表示器380は、最終的に論理値0を記録し、時間的制約が満足されたことを示す。 Initially, a logical value of 1 is clocked into flip-flops 332 and 342 at the first rising edge of clock CL, indicated by time t 1 in the timing diagram of FIG. Therefore, the Q outputs of flip-flops 332 and 342 transition from a logical value of 0 to a logical value of 1. Just before the second rising edge of the clock CL at time t 2, over a first DLE406 0 ~406 output of 6 7 total total delay element 344 and the delay line of the fine delay detection circuit 346, from 0 to 1 A transition to is observed. At time t 2 in FIG. 6, the second rising edge of the clock CL, the input unit TR IN closest seven first DLE to (i.e., DLE406 0 ~406 6) to the output of logic value 1 is observed Is done. The output of the remaining DLE406 7 and 406 8 illustrates a logic value 0. The second rising edge of clock CL also records a logic value 1 in flip-flops 334 and 348 of FIG. 3, and timing error indicator 380 eventually records a logic value 0, which is a time constraint. Is satisfied.

クロックCLの第2の立上りエッジは、さらに、図4のフリップ・フロップ404〜404内に論理値1を、フリップ・フロップ404内に論理値0を記録する。したがって、XOR出力ビット値B[0:7]は、それぞれ、値{0000 0001}を有する。ここで、論理値1の8番目のビット値Bは、クロックCLの第2の立上りエッジの時間(時間t)において、8番目のDLE(DLE406)内に、0から1への遷移が起こることを示す。言い換えれば、クロックCLの第2の立上りエッジの時間において、DLE406への入力(およびDLE406からの出力)は、論理値1であるが、DLE406からの出力は、論理値0を有する。これらのXOR出力ビット値は、目標タイミング・マージンに最大検出可能余剰マージンで対応したことを示す。この場合、最大検出可能余剰マージンは、ほぼ5DLE遅延である。 The second rising edge of the clock CL is further a logical value 1 to the flip-flop 404 0-404 in 7 of FIG. 4, the logical value 0 is recorded in the flip-flop 404 8. Accordingly, each XOR output bit value B [0: 7] has the value {0000 0001}. Here, the eighth bit value B 7 of the logical value 1 transitions from 0 to 1 within the eighth DLE (DLE406 7 ) at the time of the second rising edge of the clock CL (time t 2 ). Indicates that happens. In other words, in the time of the second rising edge of the clock CL, the input to DLE406 7 (and DLE406 output from 6) is a logical 1, the output from DLE406 7 has a logic value 0. These XOR output bit values indicate that the target timing margin corresponds to the maximum detectable surplus margin. In this case, the maximum detectable surplus margin is approximately 5 DLE delay.

場合3:タイミングがセットアップ異常により満足されない
図7は、目標タイミング・マージンが満足されず、セットアップ異常が検出される、場合3を示す。場合3において、場合1および2と同様に、(i)クロックCLからフリップ・フロップ342の出力部Qまでの遅延と、(ii)総遅延素子344による遅延と、(iii)細密遅延検出器346による遅延との和に関する初期値が、ほぼ1クロック周期に等しくなるように選択される(すなわち、目標タイミング・マージンは、余裕がほとんど、または全く存在しないように最小であるとみなされる)。しかし、場合3において、時間の経過によるクロック分配速度上昇および/またはデータパス速度低下の組み合わせが、0から1への遷移に帰着し、目標タイミング・マージンを超える遅延線をさらに上昇させ、セットアップ異常が起こる。
Case 3: Timing is not satisfied due to setup error FIG. 7 shows Case 3 where the target timing margin is not satisfied and a setup error is detected. In case 3, similar to cases 1 and 2, (i) delay from clock CL to output Q of flip-flop 342, (ii) delay by total delay element 344, and (iii) fine delay detector 346. Is selected to be approximately equal to one clock period (ie, the target timing margin is considered minimal so that there is little or no margin). However, in case 3, the combination of increased clock distribution speed and / or decreased data path speed over time results in a transition from 0 to 1, further increasing the delay line beyond the target timing margin and causing a setup error Happens.

図3において、図7の時間tにおいて、クロックCLの第1の立上りエッジで、論理値1が、フリップ・フロップ332および342内にクロックされる。したがって、フリップ・フロップ332および342のQ出力部は、論理値0から論理値1に遷移する。時間tにおけるクロックCLの第2の立上りエッジの直前で、全総遅延素子344ならびに遅延線の第1のDLE406の出力部にわたって、0から1への遷移が観測される。
時間tで、クロックCLの第2の立上りエッジにおいて、入力部TRINに最も近い第1のDLE(すなわち、DLE406)の出力部に、論理値1が観測される。残りの8つのDLE406〜406の出力部は、すべて論理値0を示す。クロックCLの第2の立上りエッジは、図3の非遅延シフト・レジスタ330内のフリップ・フロップ334内にも論理値1を記録する。しかし、クロックCLの第2の立上りエッジは、図3の遅延シフト・レジスタ340のフリップ・フロップ348内に論理値0を記録する。その結果、タイミング・エラー表示器380は、最終的に論理値1を記録し、タイミング・エラーが起こり、目標タイミング・マージンが満足されなかったことを示す。
In FIG. 3, at time t 1 in FIG. 7, a logical 1 is clocked into flip-flops 332 and 342 on the first rising edge of clock CL. Therefore, the Q outputs of flip-flops 332 and 342 transition from a logical value of 0 to a logical value of 1. Just before the second rising edge of the clock CL at time t 2, over a first DLE406 output of 0 total total delay element 344 and the delay line, the transition is observed from 0 to 1.
At time t 2 , at the second rising edge of the clock CL, a logic value 1 is observed at the output of the first DLE closest to the input TR IN (ie, DLE 406 0 ). The output units of the remaining eight DLEs 406 1 to 406 8 all indicate a logical value of zero. The second rising edge of clock CL also records a logical value of 1 in flip-flop 334 in non-delayed shift register 330 of FIG. However, the second rising edge of clock CL records a logical value of 0 in flip-flop 348 of delay shift register 340 of FIG. As a result, the timing error indicator 380 eventually records a logical value 1, indicating that a timing error has occurred and the target timing margin has not been satisfied.

時間tで、クロックCLの第2の立上りエッジは、さらに、図4のフリップ・フロップ404〜404内に論理値1を、フリップ・フロップ404〜404内に論理値0を記録する。したがって、XOR出力ビット値B[0:7]は、それぞれ、値{0100 0000}を有する。ここで、論理値1の出力ビット値Bは、クロックCLの第2の立上りエッジの時間(時間t)において、第2のDLE406内に、0から1への遷移が起こることを示す。言い換えれば、クロックCLの第2の立上りエッジの時間において、DLE406への入力(およびDLE406からの出力)は、論理値1を有し、DLE406からの出力は、論理値0を有する。これらの出力ビット値B[0:7]は、目標タイミング・マージンが対応されず、ほぼ1DLE遅延量のセットアップ異常が起こったことを示す。 At time t 2 , the second rising edge of clock CL further records a logic value 1 in flip-flops 404 0 -404 1 and a logic value 0 in flip-flops 404 2 -404 8 in FIG. To do. Thus, each XOR output bit value B [0: 7] has the value {0100 0000}. Here, the output bit value B 1 of logic value 1 indicates the second rising edge of the clock CL (time t 2), the second DLE406 1, that the transition from 0 to 1 occurs . In other words, at the time of the second rising edge of clock CL, the input to DLE 406 1 (and the output from DLE 406 0 ) has a logical value of 1, and the output from DLE 406 1 has a logical value of 0. These output bit values B [0: 7] indicate that the target timing margin is not supported and that a setup abnormality of approximately 1 DLE delay amount has occurred.

図2の実施形態と同様に、図3および4の実施形態は、先行技術に優る多くの利点を有する。最初の事項として、図3および4の実施形態は、上述した図2の利点のすべてを共有する。それに加えて、図3および4の実施形態は、タイミング・エラー表示の有/無だけでなく、実タイミング・マージンの数値測定(プラスおよびマイナスの両方)も行う。
さらに、タイミング・マージン測定の範囲が、総遅延部344、ならびに/または遅延線素子およびそれらに対応するフリップ・フロップおよびXOR論理ゲートの数を調整することによって調整することができる。さらに、クロック周波数の範囲は、タイミング監視回路310の動作中に、総パス遅延素子344を調整することによって対応することができる。最後に、プラスからマイナスまでのタイミング・マージン測定能力の相対範囲は、細密遅延検出回路346内のDLEにおける出力部TRoutの位置を変化させることによって管理することができる。
Similar to the embodiment of FIG. 2, the embodiment of FIGS. 3 and 4 has many advantages over the prior art. As a first matter, the embodiments of FIGS. 3 and 4 share all of the advantages of FIG. 2 described above. In addition, the embodiments of FIGS. 3 and 4 make numerical measurements (both positive and negative) of actual timing margin as well as presence / absence of timing error indication.
Further, the timing margin measurement range can be adjusted by adjusting the total delay 344 and / or the number of delay line elements and their corresponding flip-flops and XOR logic gates. Further, the range of clock frequencies can be accommodated by adjusting the total path delay element 344 during operation of the timing monitoring circuit 310. Finally, the relative range of the timing margin measurement capability from plus to minus can be managed by changing the position of the output section TR out in the DLE in the fine delay detection circuit 346.

本発明は、XOR論理ゲート260、360を含む回路に関して説明してきたが、本発明は、非XOR(NXOR)ゲートなどの他のタイプの論理ゲートを使用して実装することもできる。   Although the present invention has been described with respect to circuitry including XOR logic gates 260, 360, the present invention can also be implemented using other types of logic gates, such as non-XOR (NXOR) gates.

それに加えて、図4に示される細密遅延検出回路346は、9つのDLE406〜406、9つのフリップ・フロップ404〜404、および8つのXOR論理ゲート402〜402を含み、実際には、DLE,フリップ・フロップ、およびXOR論理ゲートの数は、特定の適用例で所望される細密遅延分解能の量によって、図4に示される数よりも多く、または少なくすることができる。 In addition, fine delay detection circuit 346 shown in FIG. 4 includes nine DLE406 0 ~406 8, 9 one flip-flop 404 0-404 8 and eight XOR logic gate 402 0-402 7, the actual For example, the number of DLE, flip-flop, and XOR logic gates can be greater or less than the number shown in FIG. 4 depending on the amount of fine delay resolution desired in a particular application.

さらに、以上の図2および3に示される実施形態では、データ信号224、324は、パルス発生器220、320によって生成されるが、パルス発生器220の使用は、任意選択であることを理解されたい。したがって、本発明の一実施形態において、クリティカル・データパス202を横断するデータ信号(たとえば、回路素子204からの出力信号)は、分割され、データ信号224として使用することができ、データ信号224は、フリップ・フロップ232、242および/または332、342に入力される。   Further, in the embodiment shown in FIGS. 2 and 3 above, the data signals 224, 324 are generated by the pulse generators 220, 320, although it is understood that the use of the pulse generator 220 is optional. I want. Thus, in one embodiment of the present invention, the data signal traversing critical data path 202 (eg, the output signal from circuit element 204) can be split and used as data signal 224, where data signal 224 is , Flip-flops 232 and 242 and / or 332 and 342.

本発明は、単一の集積回路(ASICまたはFPGAなど)、マルチチップ・モジュール、単一のカード、またはマルチカード回路パックとしての実装可能性を含む、デジタル(またはアナログおよびデジタルのハイブリッド)回路ベースのプロセスとして実装することができる。当業者には明らかなように、回路素子の様々な機能を、ソフトウェアプログラム中の処理ブロックとして実装することもできる。そうしたソフトウェアは、たとえば、デジタル信号プロセッサ、マイクロコントローラ、または汎用コンピュータ内で使用することができる。   The present invention is based on a digital (or analog and digital hybrid) circuit base that can be implemented as a single integrated circuit (such as an ASIC or FPGA), a multi-chip module, a single card, or a multi-card circuit pack. Can be implemented as a process. As will be apparent to those skilled in the art, the various functions of the circuit elements can also be implemented as processing blocks in the software program. Such software can be used, for example, in a digital signal processor, microcontroller, or general purpose computer.

さらに、この説明において、用語「結合する」、「結合している」、「結合される」、「接続する」、「接続している」、「接続される」は、要求されていないが、2つ以上の素子間でエネルギーを伝達することができ、1つまたは複数の追加の素子の介在を企図する、当技術で既知または後に開発される、いずれかの方法まで言及する。逆に、用語「直接結合される」、「直接接続される」などは、そうした追加の素子が存在しないことを示唆する。   Further, in this description, the terms “coupled”, “coupled”, “coupled”, “connect”, “connected”, “connected” are not required, Reference is made to any method known or later developed in the art that can transfer energy between two or more elements and contemplate the intervention of one or more additional elements. Conversely, the terms “directly coupled”, “directly connected”, and the like, suggest that there are no such additional elements.

ここで、信号および対応するノードまたはポートは、同じ名称で呼ぶことができ、目的に応じて交換可能である。   Here, signals and corresponding nodes or ports can be referred to by the same name and can be interchanged depending on the purpose.

別途、明らかに記述されていなければ、各数値および範囲は、用語「約」または「ほぼ」を値または範囲の前につけているかのように、概略値であると解釈されたい。   Unless expressly stated otherwise, each numerical value and range should be interpreted as being approximate as if the term “about” or “approximately” preceded the value or range.

さらに、本発明の特性を説明するために記載され、かつ図示された部品の詳細、材料、および構成の様々な変更を、本発明の範囲から逸脱することなく、当業者は行うことができることが理解されよう。むしろ、本発明の範囲は、以下の特許請求の範囲に記載される。   In addition, various modifications of the details, materials, and construction of the parts described and illustrated to illustrate the characteristics of the invention can be made by those skilled in the art without departing from the scope of the invention. It will be understood. Rather, the scope of the invention is set forth in the following claims.

本発明は、遅延素子244を含む図2のシフト・レジスタ240と、遅延素子344および346を含む図3のシフト・レジスタ340とに関して説明してきた。一般に、本発明のシフト・レジスタは、シフト・レジスタを伝搬する信号に適当な遅延量を付加する、いずれかの適当な回路で実装することができる。   The present invention has been described with respect to the shift register 240 of FIG. 2 including the delay element 244 and the shift register 340 of FIG. 3 including the delay elements 344 and 346. In general, the shift register of the present invention can be implemented with any suitable circuit that adds an appropriate amount of delay to the signal propagating through the shift register.

特許請求の範囲の図番号および/または図参照符号の使用は、特許請求の範囲の解釈を容易にするために、請求される主題の1つまたは複数の可能な実施形態を特定することを目的とする。そうした使用は、それらの特許請求の範囲の範囲を、対応する図に示される実施形態に限定する必要はないものとして解釈されたい。   Use of the figure numbers and / or figure reference signs in the claims is intended to identify one or more possible embodiments of the claimed subject matter in order to facilitate the interpretation of the claims. And Such use is to be construed as not having to limit the scope of those claims to the embodiments shown in the corresponding figures.

本明細書に記載される例示的な方法のステップは、説明された順番で必ずしも行う必要はなく、そうした方法のステップの順番は、単なる例示的なものと理解すべきであることを理解されたい。同様に、本発明の様々な実施形態と矛盾しない方法において、そうした方法に、追加のステップを含めることができ、いくつかのステップを省略または組み合わせることができる。   It should be understood that the steps of the exemplary methods described herein are not necessarily performed in the order described, and that the order of the steps of such methods should be understood as merely exemplary. . Similarly, in methods consistent with various embodiments of the invention, such methods can include additional steps, and some steps can be omitted or combined.

以下の方法クレーム内の要素は、もしあれば、特定の順番で対応する符号と共に記載されるが、クレーム記載により、別途、それらの要素のいくつかまたはすべてを実装する特定の順番が示唆されなければ、それらの要素は、その特定の順番での実装に限定することを必ずしも目的としない。   Elements in the following method claims, if any, are listed with their corresponding symbols in a particular order, but the claims statement must separately suggest a particular order to implement some or all of those elements. For example, those elements are not necessarily intended to be limited to implementation in that particular order.

本明細書で、「一実施形態」または「実施形態」に言及することは、実施形態に関して説明される特定の特徴、構造、または特性を、本発明の少なくとも一実施形態に含めることができることを意味する。明細書中の様々な場所で、句「一実施形態において」が現れるとき、すべて同じ実施形態に言及する必要はなく、別個または代替の実施形態は、他の実施形態を互いに排除する必要はない。同じことが、用語「実装」に当てはまる。   In this specification, references to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described with respect to the embodiment can be included in at least one embodiment of the invention. means. When the phrase “in one embodiment” appears in various places in the specification, it is not necessary to refer to the same embodiment, and separate or alternative embodiments need not exclude each other from each other. . The same applies to the term “implementation”.

Claims (11)

集積回路におけるクリティカルパス(202)中のタイミングを監視する監視回路(210、310)を含む集積回路であって、前記クリティカルパスは、目標タイミング・マージンを有し、前記監視回路は、
入力部および出力部を有する第1のフリップ・フロップ(242、342)と、
入力部および出力部を有する第2のフリップ・フロップ(232、332)であって、前記第1および第2のフリップ・フロップの前記入力部は、入力信号(224、324)を受領することができる信号入力ノードを形成するために、共に接続される、第2のフリップ・フロップと、
遅延値を前記第1のフリップ・フロップから出力された信号に加えるように構成される遅延回路(244、344/346)と、
出力部および少なくとも第1の入力部および第2の入力部を有する論理回路(260、360)であって、前記第1の入力部は前記遅延回路に接続され、前記第2の入力部は前記第2のフリップ・フロップの出力に接続される、論理回路とを含み、
前記論理回路の前記出力部は、前記目標タイミング・マージンが満足されるか、または満足されないかを示し、
前記遅延回路は、
総遅延値をもたらすように構成される総遅延素子と
前記総遅延値に基づいて、前記目標タイミング・マージンが満足される範囲を示す出力信号を生成するように構成される細密遅延検出回路とを含む、集積回路。
An integrated circuit comprising monitoring circuits (210, 310) for monitoring timing in a critical path (202) in the integrated circuit, wherein the critical path has a target timing margin, and the monitoring circuit includes:
A first flip-flop (242, 342) having an input and an output;
A second flip-flop (232, 332) having an input and an output, the input of the first and second flip-flops receiving an input signal (224, 324); A second flip-flop connected together to form a possible signal input node;
A delay circuit (244, 344/346) configured to add a delay value to the signal output from the first flip-flop;
A logic circuit (260, 360) having an output unit and at least a first input unit and a second input unit, wherein the first input unit is connected to the delay circuit, and the second input unit is A logic circuit connected to the output of the second flip-flop,
The output of the logic circuit indicates whether the target timing margin is satisfied or not satisfied;
The delay circuit is
A total delay element configured to provide a total delay value; and a fine delay detection circuit configured to generate an output signal indicating a range in which the target timing margin is satisfied based on the total delay value. Including integrated circuits.
入力パルスが前記信号入力ノードに挿入されるとき、
(i)前記第1のフリップ・フロップは、その出力部で第1のパルスを生成し、
(ii)前記第2のフリップ・フロップは、その出力部で第2のパルスを生成し
(iii)前記論理回路は、前記第1のパルスが、前記所定の時間周期と前記目標タイミング・マージンとの和よりも大きい時間量だけ前記第2のパルスから時間的に分離されるときのみ、前記目標タイミング・マージンが満足されなかったことを示す出力を生成する、請求項1に記載の集積回路。
When an input pulse is inserted into the signal input node,
(I) the first flip-flop generates a first pulse at its output;
(Ii) the second flip-flop generates a second pulse at its output; (iii) the logic circuit is configured such that the first pulse comprises the predetermined time period and the target timing margin. The integrated circuit of claim 1, generating an output indicating that the target timing margin has not been satisfied only when temporally separated from the second pulse by an amount of time that is greater than the sum of the two.
前記総遅延素子は、調整可能な遅延値を有する、請求項1に記載の集積回路。   The integrated circuit of claim 1, wherein the total delay element has an adjustable delay value. 前記細密遅延検出回路は、
入力部および直列に接続される複数の遅延線素子(406〜406)を有する遅延線であって、各遅延線素子が入力部および出力部を有する、遅延線を含む、請求項1に記載の集積回路。
The fine delay detection circuit includes:
A delay line having an input section and a plurality of delay line elements (406 0 to 406 8 ) connected in series, each delay line element including a delay line having an input section and an output section. An integrated circuit as described.
前記細密遅延検出回路は、
複数のフリップ・フロップ(404〜404)であって、1つまたは複数のフリップ・フロップは、入力部および出力部を有し、それぞれの前記入力部は、対応する遅延線素子の前記入力部に接続される、複数のフリップ・フロップをさらに含み、
前記複数のフリップ・フロップの前記出力部は、前記目標タイミング・マージンが満足される範囲を示す、請求項4に記載の集積回路。
The fine delay detection circuit includes:
A plurality of flip-flops (404 0 -404 8 ), wherein one or more flip-flops have an input and an output, each said input being said input of a corresponding delay line element A plurality of flip-flops connected to the section;
The integrated circuit according to claim 4, wherein the output unit of the plurality of flip-flops indicates a range in which the target timing margin is satisfied.
前記細密遅延検出回路は、
複数の論理ゲート(402〜402)であって、各論理ゲートは、前記遅延線に沿う前記複数のフリップ・フロップ中の隣接するフリップ・フロップの対応する対の出力部にそれぞれ直列に接続される、少なくとも2つの入力部を有する、複数の論理ゲートをさらに含み、
少なくとも1つの論理ゲートの前記出力部は、前記目標タイミング・マージンが満足される範囲を示す、請求項5に記載の集積回路。
The fine delay detection circuit includes:
A plurality of logic gates (402 0 -402 7 ), each logic gate being connected in series with a corresponding pair of outputs of adjacent flip-flops in the plurality of flip-flops along the delay line, respectively. A plurality of logic gates having at least two inputs,
6. The integrated circuit of claim 5, wherein the output of at least one logic gate indicates a range in which the target timing margin is satisfied.
集積回路内のクリティカルパス中のタイミングを監視する方法であって、前記クリティカルパスは、目標タイミング・マージンを有し、
(a)入力信号を、第1のフリップ・フロップ(242、342)を含む第1のパスと、第2のフリップ・フロップ(232、332)を含む第2のパスとに分割することと、
(b)前記第1のパス中の前記入力信号を、第1の遅延量だけ遅延させることと、
(c)前記第2のパス中の前記入力信号を、前記第1のパス中の前記遅延入力信号と比較することと、
(d)前記比較に基づいて、前記目標タイミング・マージンが満足されるか、または満足されないかを示す出力(280、380)を生成することと、
(e)前記目標タイミング・マージンが満足されるか、または満足されない範囲を検出することとを含み、前記検出することは、
前記第1のパス中の前記入力信号を前記第1の遅延量だけ遅延させた後、続いて、遅延線中の複数の遅延素子によって前記入力信号を遅延させることと、
前記遅延線中の各遅延素子に関して、前記遅延素子の前記入力部における信号状態に対応する出力信号を生成することとを含む、方法。
A method for monitoring timing in a critical path in an integrated circuit, the critical path having a target timing margin,
(A) dividing the input signal into a first path including a first flip-flop (242, 342) and a second path including a second flip-flop (232, 332);
(B) delaying the input signal in the first path by a first delay amount;
(C) comparing the input signal in the second path with the delayed input signal in the first path;
(D) generating an output (280, 380) indicating whether the target timing margin is satisfied or not based on the comparison;
(E) detecting a range in which the target timing margin is satisfied or not satisfied, and the detecting includes:
Delaying the input signal in the first path by the first delay amount, and subsequently delaying the input signal by a plurality of delay elements in a delay line;
Generating for each delay element in the delay line an output signal corresponding to a signal state at the input of the delay element.
前記第1の遅延量を調整することをさらに含む、請求項7に記載の方法。   The method of claim 7, further comprising adjusting the first delay amount. 前記第1の遅延量を調整することは、前記集積回路の複数のクロック周波数の1つに基づいて行われる、請求項8に記載の方法。   9. The method of claim 8, wherein adjusting the first amount of delay is performed based on one of a plurality of clock frequencies of the integrated circuit. 前記目標タイミング・マージンが満足されるか、または満足されない範囲を検出することは、
前記遅延線中の遅延素子の連続する対に対応する出力信号の各連続する対に関して、前記入力信号が、クロック信号によって決定される時間に前記遅延線中の対応する遅延素子に到達したかどうかを、出力信号の前記連続する対に基づいて、決定するのに論理演算を行うことと、
出力信号の少なくとも1つの連続する対に対応する前記論理演算の結果を出力することとをさらに含む、請求項7に記載の方法。
Detecting a range where the target timing margin is satisfied or not satisfied,
For each successive pair of output signals corresponding to successive pairs of delay elements in the delay line, whether the input signal has reached the corresponding delay element in the delay line at a time determined by a clock signal Performing a logical operation to determine, based on the successive pairs of output signals,
8. The method of claim 7, further comprising outputting the result of the logical operation corresponding to at least one successive pair of output signals.
集積回路内のクリティカルパス中のタイミングを監視する装置であって、前記クリティカルパスは、目標タイミング・マージンを有し、
(a)入力信号を、第1のフリップ・フロップを含む第1のパスと、第2のフリップ・フロップを含む第2のパスとに分割する手段と、
(b)前記第1のパス中の前記入力信号を第1の遅延量だけ遅延させる手段と、
(c)前記第2のパス中の前記入力信号を前記第1のパス中の前記遅延入力信号と比較する手段と、
(d)前記比較に基づいて、前記目標タイミング・マージンが満足されるか、または満足されないかを示す出力を生成する手段と、
(e)前記目標タイミング・マージンが満足されるか、または満足されない範囲を検出する手段とを含み、前記検出する手段は、
前記第1のパス中の前記入力信号を前記第1の遅延量だけ遅延させた後、続いて、遅延線中の複数の遅延素子によって前記入力信号を遅延させる手段と、
前記遅延線中の各遅延素子に関して、前記遅延素子の前記入力部における信号状態に対応する出力信号を生成する手段とを含む、装置。
An apparatus for monitoring timing in a critical path in an integrated circuit, the critical path having a target timing margin,
(A) means for splitting the input signal into a first path including a first flip-flop and a second path including a second flip-flop;
(B) means for delaying the input signal in the first path by a first delay amount;
(C) means for comparing the input signal in the second path with the delayed input signal in the first path;
(D) means for generating an output indicating whether the target timing margin is satisfied or not based on the comparison;
(E) means for detecting a range where the target timing margin is satisfied or not satisfied, and the detecting means includes:
Means for delaying the input signal in the first path by the first delay amount, and subsequently delaying the input signal by a plurality of delay elements in a delay line;
Means for generating, for each delay element in the delay line, an output signal corresponding to a signal state at the input of the delay element.
JP2013228190A 2013-11-01 2013-11-01 Critical path circuit for performance monitoring Expired - Fee Related JP5731618B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013228190A JP5731618B2 (en) 2013-11-01 2013-11-01 Critical path circuit for performance monitoring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013228190A JP5731618B2 (en) 2013-11-01 2013-11-01 Critical path circuit for performance monitoring

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011547894A Division JP2012516629A (en) 2009-01-27 2009-01-27 Critical path circuit for performance monitoring

Publications (2)

Publication Number Publication Date
JP2014045508A true JP2014045508A (en) 2014-03-13
JP5731618B2 JP5731618B2 (en) 2015-06-10

Family

ID=50396426

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013228190A Expired - Fee Related JP5731618B2 (en) 2013-11-01 2013-11-01 Critical path circuit for performance monitoring

Country Status (1)

Country Link
JP (1) JP5731618B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114021509A (en) * 2021-09-03 2022-02-08 芯华章科技股份有限公司 Dynamic adjustment of root clock frequency in logic design during verification
CN114967807A (en) * 2022-03-28 2022-08-30 清华大学 Timing detection circuit and adaptive voltage regulation circuit

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09218281A (en) * 1996-02-09 1997-08-19 Denso Corp Time-measuring apparatus
JP2005183677A (en) * 2003-12-19 2005-07-07 Seiko Epson Corp Semiconductor integrated circuit
JP2005214732A (en) * 2004-01-28 2005-08-11 Sony Corp Critical path evaluation method and delay-condition measurement circuit, and lsi manufacturing method
JP2008082888A (en) * 2006-09-27 2008-04-10 Toshiba Corp Semiconductor device and its test method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09218281A (en) * 1996-02-09 1997-08-19 Denso Corp Time-measuring apparatus
JP2005183677A (en) * 2003-12-19 2005-07-07 Seiko Epson Corp Semiconductor integrated circuit
JP2005214732A (en) * 2004-01-28 2005-08-11 Sony Corp Critical path evaluation method and delay-condition measurement circuit, and lsi manufacturing method
JP2008082888A (en) * 2006-09-27 2008-04-10 Toshiba Corp Semiconductor device and its test method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114021509A (en) * 2021-09-03 2022-02-08 芯华章科技股份有限公司 Dynamic adjustment of root clock frequency in logic design during verification
US11599139B1 (en) 2021-09-03 2023-03-07 Xepic Corporation Limited Dynamic adjustment of root clock frequency in logic system design during verification
CN114967807A (en) * 2022-03-28 2022-08-30 清华大学 Timing detection circuit and adaptive voltage regulation circuit
CN114967807B (en) * 2022-03-28 2023-06-06 清华大学 Timing detection circuit and adaptive voltage regulation circuit

Also Published As

Publication number Publication date
JP5731618B2 (en) 2015-06-10

Similar Documents

Publication Publication Date Title
JP2012516629A (en) Critical path circuit for performance monitoring
US9448125B2 (en) Determining on-chip voltage and temperature
KR101293445B1 (en) System and device for reducing instantaneous voltage droop during a scan shift operation
TWI548886B (en) Aging detection circuit and method thereof
US11971740B2 (en) Timing error detection and correction circuit
Gomina et al. Power supply glitch attacks: Design and evaluation of detection circuits
US8878616B2 (en) Inverting difference oscillator
JP5731618B2 (en) Critical path circuit for performance monitoring
US20230358806A1 (en) Scan chain circuit and corresponding method
US10354029B2 (en) Method for equipping registers of an integrated circuit to detect timing violations
US20130002297A1 (en) Bias temperature instability-resistant circuits
US7650550B2 (en) Over temperature detection apparatus and method thereof
Wang et al. Diagnosis of hold time defects
Valadimas et al. Effective timing error tolerance in flip-flop based core designs
US20200202062A1 (en) Synchronous device with slack guard circuit
US9960771B2 (en) Hum generation using representative circuitry
US10001523B2 (en) Adjusting latency in a scan cell
US8624649B2 (en) Delay measuring circuit and delay measuring method
Suhag et al. Output gating performance overhead elimination for scan test
US9906355B2 (en) On-die signal measurement circuit and method
Voyiatzis et al. Arithmetic module-based built-in self test architecture for two-pattern testing
Biswas et al. Methodology for low power design of on-line testers for digital circuits
KR20100078891A (en) Measure apparatus for improving efficiency of standard cell library

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140701

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20140729

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140826

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140805

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150206

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150324

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150409

R150 Certificate of patent or registration of utility model

Ref document number: 5731618

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees