JP2013253319A - Gas barrier film and method for producing the same - Google Patents

Gas barrier film and method for producing the same Download PDF

Info

Publication number
JP2013253319A
JP2013253319A JP2013098511A JP2013098511A JP2013253319A JP 2013253319 A JP2013253319 A JP 2013253319A JP 2013098511 A JP2013098511 A JP 2013098511A JP 2013098511 A JP2013098511 A JP 2013098511A JP 2013253319 A JP2013253319 A JP 2013253319A
Authority
JP
Japan
Prior art keywords
inorganic layer
gas barrier
barrier film
film
layer formed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013098511A
Other languages
Japanese (ja)
Inventor
Taketomo Tsutsumi
健智 堤
Hidetaka Amauchi
英隆 天内
Yasutsugu Yamauchi
康嗣 山内
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Plastics Inc
Original Assignee
Mitsubishi Plastics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Plastics Inc filed Critical Mitsubishi Plastics Inc
Priority to JP2013098511A priority Critical patent/JP2013253319A/en
Publication of JP2013253319A publication Critical patent/JP2013253319A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy

Landscapes

  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photovoltaic Devices (AREA)
  • Electroluminescent Light Sources (AREA)
  • Laminated Bodies (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a gas barrier film with good productivity, high transparency, low haze, and high gas barrier properties, having excellent adhesion strength between compositions, and causing no curl, and to provide a method for producing the gas barrier film.SOLUTION: A gas barrier film includes, in order, on at least one surface of a substrate, an inorganic layer formed by means of vacuum deposition, an inorganic layer formed by means of chemical vapor deposition, an inorganic layer formed by means of vacuum deposition. A method for producing the gas barrier film is also provided.

Description

本発明は、食品や医薬品等の包装材料や電子デバイス等のパッケージ材料、太陽電池用、電子ペーパー用、有機エレクトロルミネッセンス(EL)デバイス用の材料や保護フィルム等として主に用いられるガスバリア性フィルム及びその製造方法に関し、更に詳しくは、ガスバリア性に優れたガスバリア性フィルム及びその製造方法に関する。   The present invention is a gas barrier film mainly used as a packaging material for foods and pharmaceuticals, a packaging material such as an electronic device, a solar cell, an electronic paper, a material for an organic electroluminescence (EL) device, a protective film, and the like. More specifically, the present invention relates to a gas barrier film having excellent gas barrier properties and a method for manufacturing the same.

ガスバリア性フィルムは、主に、内容物の品質を変化させる原因となる酸素や水蒸気等の影響を防ぐために、食品や医薬品等の包装材料として用いられたり、液晶表示パネルやEL表示パネル、電子ペーパー、太陽電池等に形成されている素子が、酸素や水蒸気に触れて性能劣化するのを避けるために、電子デバイス等のパッケージ材料やEL表示パネル、電子ペーパー、太陽電池の材料として用いられている。また、近年においては、従来ガラス等を用いていた部分にフレキシブル性や耐衝撃性を持たせる等の理由から、ガスバリア性フィルムが用いられる場合もある。
このようなガスバリア性フィルムは、プラスチックフィルムを基材として、その片面または両面にガスバリア層を形成する構成をとるのが一般的である。そして、当該ガスバリア性フィルムは、化学蒸着法(CVD法)、物理蒸着法(PVD法)等の様々な方法で形成されるが、何れの方法を用いた場合であっても、従来のガスバリア性フィルムは、2cc/m2/day程度の酸素透過率(OTR)や、2g/m2/day程度の水蒸気透過率を有するにすぎず、より高いガスバリア性を必要とする用途に使用される場合には、未だ不十分なものであった。
Gas barrier films are mainly used as packaging materials for foods and pharmaceuticals to prevent the influence of oxygen and water vapor, which cause changes in the quality of contents, liquid crystal display panels, EL display panels, and electronic paper. In order to avoid deterioration of performance of elements formed in solar cells etc. due to contact with oxygen or water vapor, they are used as packaging materials for electronic devices, EL display panels, electronic paper, solar cell materials, etc. . In recent years, a gas barrier film may be used for reasons such as imparting flexibility and impact resistance to a portion where glass or the like has been conventionally used.
In general, such a gas barrier film has a structure in which a gas barrier layer is formed on one or both sides of a plastic film as a base material. The gas barrier film is formed by various methods such as a chemical vapor deposition method (CVD method) and a physical vapor deposition method (PVD method). Even if any method is used, the conventional gas barrier property is used. The film only has an oxygen transmission rate (OTR) of about 2 cc / m 2 / day and a water vapor transmission rate of about 2 g / m 2 / day, and is used for applications that require higher gas barrier properties. It was still inadequate.

以上のようなガスバリア性フィルムとして、特許文献1には、酸化珪素単独からなる第1層と炭素を5〜40at.%含む酸化珪素からなる第2層を、それぞれ真空蒸着、スパッタリング、イオンプレーティング等のPVD法またはプラズマ活性化反応蒸着法により順次形成した積層構成の透明ガスバリア材が開示されている。特許文献2には、基材の片面または両面に、プラズマCVD法によって形成された酸化珪素膜を有するガスバリア性フィルムであって、前記酸化珪素膜が、Si原子数100に対してO原子数170〜200およびC原子数30以下の成分割合からなっているガスバリア性フィルムが開示されている。特許文献3にはプラスチックフィルムと、該プラスチックフィルムの少なくとも一方の面に形成された、酸化物を主成分とする組成物からなる薄膜とを有するガスバリア性フィルムであって、該薄膜中に炭素が0.1〜40モル%含まれている、ガスバリア性フィルムが開示されている。特許文献4にはプラスチック基材の片面または両面に、酸化珪素膜(SiOx)をバリア層として積層してなるバリアフィルムにおいて、前記バリア層が少なくとも2層以上の酸化珪素膜で構成されており、前記酸化珪素膜1層あたりの厚さが10nm以上50nm以下であり、前記2層以上の酸化珪素膜で構成されているバリア層の厚さが20nm以上200nm以下であり、前記バリア層中の炭素原子の割合が10at.%以下である、ガスバリア性フィルムが開示されている。   As a gas barrier film as described above, Patent Document 1 discloses that a first layer made of silicon oxide alone and carbon are contained in an amount of 5 to 40 at. A transparent gas barrier material having a laminated structure in which a second layer made of silicon oxide containing 2% is sequentially formed by a PVD method such as vacuum deposition, sputtering, or ion plating or a plasma activated reactive deposition method is disclosed. Patent Document 2 discloses a gas barrier film having a silicon oxide film formed by plasma CVD on one or both sides of a base material, wherein the silicon oxide film has 170 O atoms with respect to 100 Si atoms. A gas barrier film comprising a component ratio of ˜200 and C atoms of 30 or less is disclosed. Patent Document 3 discloses a gas barrier film having a plastic film and a thin film made of a composition mainly composed of an oxide formed on at least one surface of the plastic film, wherein carbon is contained in the thin film. A gas barrier film containing 0.1 to 40 mol% is disclosed. In Patent Document 4, in a barrier film formed by laminating a silicon oxide film (SiOx) as a barrier layer on one side or both sides of a plastic substrate, the barrier layer is composed of at least two silicon oxide films, The thickness per silicon oxide film is 10 nm or more and 50 nm or less, the thickness of the barrier layer composed of the two or more silicon oxide films is 20 nm or more and 200 nm or less, and the carbon in the barrier layer The atomic ratio is 10 at. % Or less, a gas barrier film is disclosed.

特許文献1に記載のガスバリア材は、実際に炭素を5〜40at.%含む酸化珪素からなる第2層の厚みをバリア層の柔軟性を充分に発揮させる程度に厚くすると、着色が過大となる問題があった。またこのような炭素を含む酸化珪素からなる第2層は、表面エネルギーが低いため密着性が悪く、ある程度厚くしないと層間の剥離を生じる恐れがある。一方で炭素を含む酸化珪素からなる第2層を形成するにはプラズマCVD法によることが好適であるが、一般的にプラズマCVD法は物理蒸着による真空蒸着法よりも成膜レートが低いため、そのような厚膜を形成するためには成膜速度を低くせざるを得ず、生産性に劣るという問題がある。
特許文献2に記載のガスバリア性フィルムは、プラズマCVD法によって形成された炭素を含有する酸化珪素膜自体が主にバリア性を受け持っているので、実際に充分なバリア性を発揮させるにはある程度の厚さが必要となるため、着色および生産性の問題がある。
特許文献3に記載のガスバリア性フィルムも、同様に炭素を含む酸化物を主成分とする組成物からなる薄膜が主にバリア性を受け持っているので、実際に充分なバリア性を発揮させるにはある程度の厚さが必要となるため、着色および生産性の問題がある。
特許文献4に記載のガスバリア性フィルムにおいては、プラズマCVD法によって形成された同種の膜同士を積層することで炭素含有量の低いバリア層を形成しているが、物理蒸着による真空蒸着法と比較すると圧倒的に成膜レートが低く、また実際に充分なバリア性を発揮させるにはある程度の厚さが必要となるため、生産性の問題がある。また、プラズマCVD法で、ある程度の膜厚をもつバリア層を堆積させた場合、プラズマによる基材およびバリア層へのダメージのために、バリア層の表面平坦性は必ずしも良好ではなく、表面凹凸によるヘイズが大きくなるという問題があった。
The gas barrier material described in Patent Document 1 actually contains 5 to 40 at. If the thickness of the second layer made of silicon oxide containing 1% is increased to such an extent that the flexibility of the barrier layer is sufficiently exhibited, there is a problem that coloring becomes excessive. In addition, such a second layer made of silicon oxide containing carbon has low surface energy and thus has poor adhesion, and if it is not thickened to some extent, it may cause delamination between layers. On the other hand, it is preferable to use the plasma CVD method to form the second layer made of silicon oxide containing carbon, but since the plasma CVD method generally has a lower film formation rate than the vacuum evaporation method using physical vapor deposition, In order to form such a thick film, there is a problem that the film forming speed has to be lowered and the productivity is inferior.
In the gas barrier film described in Patent Document 2, the silicon oxide film containing carbon formed by the plasma CVD method is mainly responsible for the barrier property. Since thickness is required, there are coloring and productivity problems.
In the gas barrier film described in Patent Document 3, a thin film composed of a composition mainly composed of an oxide containing carbon is also mainly responsible for barrier properties. Since a certain thickness is required, there are problems of coloring and productivity.
In the gas barrier film described in Patent Document 4, a barrier layer having a low carbon content is formed by laminating the same kind of films formed by the plasma CVD method, but compared with the vacuum vapor deposition method by physical vapor deposition. Then, the film formation rate is overwhelmingly low, and a certain amount of thickness is required to actually exhibit a sufficient barrier property. In addition, when a barrier layer having a certain thickness is deposited by plasma CVD, the surface flatness of the barrier layer is not always good due to damage to the base material and the barrier layer by the plasma, and the surface is uneven. There was a problem that haze increased.

特許第3319164号公報Japanese Patent No. 3319164 特開2006−96046号公報JP 2006-96046 A 特開平6−210790号公報JP-A-6-210790 特開2009−101548号公報JP 2009-101548 A

本発明が解決しようとする課題は、以上の従来技術の問題を解決することにあり、特に、生産性が良好であり、透明性が高く、低ヘイズで、高いガスバリア性を示し、かつ構成層間の優れた密着強度を有し、カールの発生のないガスバリア性フィルム、及び該フィルムの製造方法を提供することにある。   The problem to be solved by the present invention is to solve the above-described problems of the prior art, and in particular, the productivity is good, the transparency is high, the haze is low, the gas barrier property is high, and the constituent layers are It is providing the gas barrier film which has the outstanding adhesion strength of this, and does not generate | occur | produce curl, and the manufacturing method of this film.

本発明は、
(1)基材の少なくとも一方の面に、対向ターゲットスパッタ法により形成した無機層、真空蒸着法により形成した無機層、化学蒸着法により形成した無機層及び真空蒸着法により形成した無機層をこの順で有するガスバリア性フィルム及び
(2)基材の少なくとも一方の面に、対向ターゲットスパッタ法による無機層、真空蒸着法による無機層、化学蒸着法による無機層及び真空蒸着法による無機層をこの順で形成するガスバリア性フィルムの製造方法であって、前記対向ターゲットスパッタ法による層の形成を1×10-2Pa以上10Pa以下の減圧下で行い、前記真空蒸着法による無機層の形成を1×10-7Pa以上1Pa以下の減圧下で行い、前記化学蒸着法による無機層の形成を1×10-2Pa以上10Pa以下の減圧下で行うガスバリア性フィルムの製造方法、
に関する。
The present invention
(1) An inorganic layer formed by facing target sputtering, an inorganic layer formed by vacuum vapor deposition, an inorganic layer formed by chemical vapor deposition, and an inorganic layer formed by vacuum vapor deposition on at least one surface of the substrate In order, the gas barrier film and (2) at least one surface of the substrate are provided with an inorganic layer formed by a counter target sputtering method, an inorganic layer formed by a vacuum deposition method, an inorganic layer formed by a chemical vapor deposition method, and an inorganic layer formed by a vacuum deposition method in this order. The layer formation by the facing target sputtering method is performed under a reduced pressure of 1 × 10 −2 Pa or more and 10 Pa or less, and the inorganic layer formation by the vacuum deposition method is 1 ×. 10 -7 Pa or more 1Pa performed under a reduced pressure of not more than, moth performing formation of an inorganic layer by the chemical vapor deposition at 1 × 10 -2 Pa or 10Pa following vacuo Method for producing a barrier film,
About.

本発明は、生産性が良好であり、透明性が高く、低ヘイズで、高いガスバリア性を示し、かつ構成層間の優れた密着強度を有し、カールの発生のないガスバリア性フィルム、及び該フィルムを製造する方法を提供する。   The present invention provides a gas barrier film having good productivity, high transparency, low haze, high gas barrier properties, excellent adhesion strength between constituent layers, and no curling, and the film A method of manufacturing the same is provided.

対向ターゲットスパッタ法に用いる装置を説明する概略図である。It is the schematic explaining the apparatus used for a counter target sputtering method.

以下、本発明を詳細に説明する。
<ガスバリア性フィルム>
本発明のガスバリア性フィルムは,基材の少なくとも一方の面に、対向ターゲットスパッタ法(FTS法)により形成した無機層(以下、「FTS無機層」ということがある)、真空蒸着法により形成した無機層(以下、「PVD無機層(1)」ということがある)、化学蒸着法により形成した無機層(以下、「CVD無機層」ということがある)及び真空蒸着法により形成した無機層(以下、「PVD無機層(2)」ということがある)をこの順で有するものである。
Hereinafter, the present invention will be described in detail.
<Gas barrier film>
The gas barrier film of the present invention is formed on at least one surface of a base material by an inorganic target layer formed by an opposed target sputtering method (FTS method) (hereinafter sometimes referred to as “FTS inorganic layer”), a vacuum deposition method. An inorganic layer (hereinafter sometimes referred to as “PVD inorganic layer (1)”), an inorganic layer formed by chemical vapor deposition (hereinafter also referred to as “CVD inorganic layer”), and an inorganic layer formed by vacuum deposition ( Hereinafter, it may be referred to as “PVD inorganic layer (2)” in this order.

[基材]
本発明のガスバリア性フィルムの基材としては、通常の包装材料や電子デバイス等のパッケージ材料や、太陽電池用部材、電子ペーパー用部材、有機EL用部材に使用し得るプラスチックフィルムであれば特に制限なく用いることができるが、透明高分子フィルムが好ましい。該プラスチックフィルムを構成する樹脂としては、具体的には、エチレン、プロピレン、イソブテン等の単独重合体又は共重合体等のポリオレフィン、環状ポリオレフィン等の非晶質ポリオレフィン、ポリエチレンテレフタレート、ポリエチレン−2,6−ナフタレート等のポリエステル、ナイロン6、ナイロン66、ナイロン12、共重合ナイロン等のポリアミド、ポリビニルアルコール、エチレン−酢酸ビニル共重合体部分加水分解物(EVOH)、ポリイミド、ポリエーテルイミド、ポリサルホン、ポリエーテルサルホン、ポリエーテルエーテルケトン、ポリカーボネート、ポリアリレート、フッ素樹脂、アクリル樹脂、ポリ乳酸等の生分解性樹脂等が挙げられる。さらに、フィルム強度、コスト等の点から、ポリエステル、ポリアミド、ポリオレフィンが好ましく、表面平滑性、フィルム強度、耐熱性等の点から、ポリエチレンテレフタレート(PET)及びポリエチレン−2,6−ナフタレート(PEN)等のポリエステルが特に好ましい。
プラスチックフィルム中の樹脂の含有量は50〜100質量%であることが好ましい。
また、上記基材は、公知の添加剤、例えば、帯電防止剤、光線遮断剤、紫外線吸収剤、可塑剤、滑剤、フィラー、着色剤、光安定剤等の安定剤、潤滑剤、架橋剤、ブロッキング防止剤、酸化防止剤等を含有することができる。
[Base material]
The base material of the gas barrier film of the present invention is not particularly limited as long as it is a plastic film that can be used for ordinary packaging materials, packaging materials such as electronic devices, solar cell members, electronic paper members, and organic EL members. Although it can be used without any problem, a transparent polymer film is preferred. Specific examples of the resin constituting the plastic film include polyolefins such as homopolymers or copolymers such as ethylene, propylene, and isobutene, amorphous polyolefins such as cyclic polyolefins, polyethylene terephthalate, and polyethylene-2,6. -Polyester such as naphthalate, nylon 6, nylon 66, nylon 12, polyamide such as copolymer nylon, polyvinyl alcohol, ethylene-vinyl acetate copolymer partial hydrolyzate (EVOH), polyimide, polyetherimide, polysulfone, polyether Examples thereof include biodegradable resins such as sulfone, polyether ether ketone, polycarbonate, polyarylate, fluororesin, acrylic resin, and polylactic acid. Furthermore, polyester, polyamide, and polyolefin are preferable from the viewpoint of film strength and cost, and polyethylene terephthalate (PET) and polyethylene-2,6-naphthalate (PEN) are preferable from the viewpoint of surface smoothness, film strength, heat resistance, and the like. Particularly preferred are the polyesters.
The content of the resin in the plastic film is preferably 50 to 100% by mass.
The base material is a known additive, for example, an antistatic agent, a light blocking agent, an ultraviolet absorber, a plasticizer, a lubricant, a filler, a colorant, a stabilizer such as a light stabilizer, a lubricant, a crosslinking agent, An anti-blocking agent, an antioxidant, etc. can be contained.

上記基材としてのプラスチックフィルムは、上記の原料を用いて成形してなるものであるが、未延伸であってもよいし延伸したものであってもよい。また、単層又は多層のいずれでもよい。かかる基材は、従来公知の方法により製造することができ、例えば、原料を押出機により溶融し、環状ダイやTダイにより押出して、急冷することにより実質的に配向していない未延伸フィルムを製造することができる。また、多層ダイを用いることにより、1種の樹脂からなる単層フィルム、多種の樹脂からなる多層フィルム等を製造することができる。
この未延伸フィルムを一軸延伸、テンター式逐次二軸延伸、テンター式同時二軸延伸、チューブラー式同時二軸延伸等の公知の方法により、フィルムの流れ方向(縦軸方向)又はフィルムの流れ方向及びそれに直角な方向(横軸方向)に延伸することにより、一軸方向又は二軸方向に延伸したフィルムを製造することができる。
基材の厚さは、本発明のガスバリア性フィルムの基材としての機械強度、可撓性、透明性等の点から、その用途に応じ、通常5〜500μm、好ましくは10〜200μmの範囲で選択される。基材には厚さが厚いシート状のものも含む。また、フィルムの幅や長さについては特に制限はなく、適宜用途に応じて選択することができる。
The plastic film as the substrate is formed by using the above raw materials, but may be unstretched or stretched. Moreover, either a single layer or a multilayer may be sufficient. Such a substrate can be produced by a conventionally known method. For example, an unstretched film that is not substantially oriented by melting raw materials with an extruder, extruding with an annular die or a T-die, and quenching. Can be manufactured. Further, by using a multilayer die, a single layer film made of one kind of resin, a multilayer film made of various kinds of resins, and the like can be produced.
This unstretched film is subjected to a known method such as uniaxial stretching, tenter sequential biaxial stretching, tenter simultaneous biaxial stretching, tubular simultaneous biaxial stretching, or the like in the film flow direction (vertical direction) or the film flow direction. And the film extended | stretched to the uniaxial direction or the biaxial direction can be manufactured by extending | stretching in the direction (horizontal axis direction) orthogonal to it.
The thickness of the substrate is usually in the range of 5 to 500 μm, preferably 10 to 200 μm, depending on its use from the viewpoint of mechanical strength, flexibility, transparency and the like as the substrate of the gas barrier film of the present invention. Selected. The base material includes a thick sheet. Moreover, there is no restriction | limiting in particular about the width | variety and length of a film, It can select according to a use suitably.

FTS法は、スパッタターゲットが成膜基材と垂直に向かい合う形で配置された装置(例えば、特開2007−23304の[0051]〜[0053]及び図3参照)を用いて成膜する方法である。図1を用いて説明すると、ターゲット2、3を対向させて設置した装置内に導入ガスを入れ、電極(陽極)4と電極(陰極)5により磁場を発生させ、プラズマ雰囲気(破線内)とする。当該雰囲気下でターゲットがスパッタされることで、ターゲットから無機材料を飛散させて、基材1の表面に被着させて無機層を形成する。導入ガスは、成膜圧力により導入量を調整し、その流量割合は、所望の組成になるよう調整する。
FTS法は、ターゲット間に挟まれた領域にプラズマが閉じ込められているため、基材がプラズマや2次電子に直接さらされず、その結果として低ダメージで成膜が可能であると同時に、従来のスパッタ法同様、緻密性の高い薄膜が成膜可能である。このように基材へのダメージを抑え、緻密性の高い無機層を成膜できるため、FTS法はバリア性フィルムの薄膜成膜法として適している。
また、FTS法は両ターゲット間の狭い領域に柱状・高密度のプラズマが形成されるため、高速成膜が可能であり、生産性に優れる。
The FTS method is a method of forming a film using an apparatus (see, for example, [0051] to [0053] of Japanese Patent Application Laid-Open No. 2007-23304 and FIG. 3) in which a sputtering target is disposed so as to face the film forming substrate perpendicularly. is there. Referring to FIG. 1, an introduced gas is put into a device installed with the targets 2 and 3 facing each other, a magnetic field is generated by the electrode (anode) 4 and the electrode (cathode) 5, and a plasma atmosphere (inside the broken line) To do. By sputtering the target in the atmosphere, an inorganic material is scattered from the target and deposited on the surface of the substrate 1 to form an inorganic layer. The amount of the introduced gas is adjusted by the film forming pressure, and the flow rate ratio is adjusted so as to have a desired composition.
In the FTS method, since the plasma is confined in the region sandwiched between the targets, the substrate is not directly exposed to the plasma and secondary electrons, and as a result, the film can be formed with low damage. Like the sputtering method, a highly dense thin film can be formed. Thus, since the damage to a base material can be suppressed and a highly dense inorganic layer can be formed, the FTS method is suitable as a thin film forming method for a barrier film.
In addition, since the FTS method forms columnar and high-density plasma in a narrow region between both targets, high-speed film formation is possible and excellent productivity.

本発明で用いるFTS法の条件としては、状況により適宜選定すれば良いが、電力0.5〜10kW、周波数1〜1000kHz、パルス幅1〜1000μsecであると好ましい。上記範囲内であれば、成膜するバリア性フィルムは十分なガスバリア性が得られ、また、成膜時に亀裂や剥離を発生させることなく、透明性にも優れている。また、成膜圧力としては、プラズマ放電の維持、および成膜速度の点から、通常1×10-2Pa以上10Pa以下、好ましくは1×10-2Pa以上5Pa以下の減圧下で行い、更に好ましくは1×10-1Pa以上3Pa以下、より好ましくは1×10-1Pa以上1Pa以下である。 The conditions of the FTS method used in the present invention may be appropriately selected depending on the situation, but it is preferable that the power is 0.5 to 10 kW, the frequency is 1 to 1000 kHz, and the pulse width is 1 to 1000 μsec. If it is in the said range, the barrier film to form into a film will have sufficient gas-barrier property, and it is excellent also in transparency, without generating a crack and peeling at the time of film-forming. The film formation pressure is usually 1 × 10 −2 Pa or more and 10 Pa or less, preferably 1 × 10 −2 Pa or more and 5 Pa or less from the viewpoint of maintaining plasma discharge and film formation speed. It is preferably 1 × 10 −1 Pa or more and 3 Pa or less, more preferably 1 × 10 −1 Pa or more and 1 Pa or less.

本発明において、FTS無機層を構成する無機物質としては、珪素原子や典型金属、あるいは3d遷移金属と酸素、窒素、炭素を含有する化合物が挙げられ、金属酸化物が好ましい。また、具体的には、In、Ta、W、Nb、Al、Zn、Sn、Si、Ti及びZrから選ばれる少なくとも一種類の元素等、あるいはこれらの酸化物、炭化物、窒化物又はそれらの混合物が挙げられるが、高いガスバリア性が安定に維持できる点で、好ましくは珪素原子や典型金属あるいは3d遷移金属と酸素及び/又は窒素を含有する化合物、より好ましくは酸素及び/又は窒素を含有する珪素化合物、酸化アルミニウム又は酸化亜鉛であり、この中でも酸素及び/又は窒素を含有する珪素化合物、酸化アルミニウムが特に好ましい。   In the present invention, examples of the inorganic substance constituting the FTS inorganic layer include a silicon atom, a typical metal, or a compound containing a 3d transition metal, oxygen, nitrogen, and carbon, and a metal oxide is preferable. Specifically, at least one element selected from In, Ta, W, Nb, Al, Zn, Sn, Si, Ti, and Zr, or the oxide, carbide, nitride, or mixture thereof. However, it is preferable that a high gas barrier property can be stably maintained, and a silicon atom, a typical metal, or a 3d transition metal and a compound containing oxygen and / or nitrogen, more preferably silicon containing oxygen and / or nitrogen. A compound, aluminum oxide or zinc oxide, among which a silicon compound containing oxygen and / or nitrogen and aluminum oxide are particularly preferable.

上記FTS無機層の厚さは、透過型電子顕微鏡(TEM)を用いた断面TEM法によって測定を行う。具体的には、実施例に記載の方法により行うことができる。
上記FTS無機層の厚さは無機層自体に亀裂や剥離が発生することはなく、透明性にも優れているという点で、500nm以下であると好ましく、300nm以下であることがより好ましく、200nm以下であることが更に好ましく、100nm以下であると特に好ましい。
The thickness of the FTS inorganic layer is measured by a cross-sectional TEM method using a transmission electron microscope (TEM). Specifically, it can be carried out by the method described in the examples.
The thickness of the FTS inorganic layer is preferably 500 nm or less, more preferably 300 nm or less, and more preferably 200 nm or less in that the inorganic layer itself does not crack or peel off and is excellent in transparency. More preferably, it is more preferably 100 nm or less.

また、FTS無機層の厚さの下限値は、緻密かつ表面粗さが小さな層が得られる最低限の厚さとして、0.1nmであると好ましく、0.2nmであるとより好ましく、1nmであると更に好ましい。厚さが0.1nm以上であれば、密着性、緻密性、ガスバリア性などが良好であり表面粗さも小さいため好ましい。上記観点から、FTS無機層の厚さは、0.1nm以上500nm以下であることが好ましく、更に0.2nm以上300nm以下であることが好ましく、1nm以上200nm以下であることがより好ましい。   Further, the lower limit of the thickness of the FTS inorganic layer is preferably 0.1 nm, more preferably 0.2 nm, and more preferably 1 nm as the minimum thickness at which a dense and small surface roughness layer can be obtained. More preferably. A thickness of 0.1 nm or more is preferable because adhesion, denseness, gas barrier properties, and the like are good and surface roughness is small. From the above viewpoint, the thickness of the FTS inorganic layer is preferably 0.1 nm to 500 nm, more preferably 0.2 nm to 300 nm, and more preferably 1 nm to 200 nm.

本発明においては、FTS法によって無機層を形成するため、形成表面がプラズマに曝されず、プラズマによるダメージが無いため緻密かつ表面平坦性に優れた無機層が形成される。このため、膜厚が薄くとも高いガスバリア性を示す。
また、FTS法によって形成された緻密かつ表面粗さが小さな無機層上に形成したPVD無機層は、下層の凹凸の影響を殆ど受けることがないため、欠陥が少ない、緻密な無機層になる。またPVD無機層、CVD無機層及びPVD無機層の順で積層構造とすることにより、CVD無機層自体はガスバリア性には直接は殆ど寄与しないが、PVD無機層に対しては、下層には目止め効果および上層にはアンカー効果を発揮するため、単にPVD無機層を厚く成膜した場合やPVD無機層同士あるいはCVD無機層同士を積層した場合と比較して、飛躍的にガスバリア性が向上する。
さらに、FTS法によって形成された無機層は、優れた表面平坦性、膜厚均一性を示すため、上層のPVD無機層を蒸着した際に、表面凹凸による蒸着粒子の表面拡散阻害効果が抑制され、蒸着粒子の表面拡散が良好となり、PVD無機層の表面平坦性も良好となり、また均一な膜厚分布が得られる。さらにその上に形成されるCVD無機層、PVD無機層も同様に表面平坦性が良好で、膜厚分布も均一である。
このように、本発明のガスバリア性フィルムは、積層構造の各層の表面平坦性が良好であるため、表面凹凸による光の拡散が抑制され、低い外部ヘイズ値を示す。
In the present invention, since the inorganic layer is formed by the FTS method, the surface to be formed is not exposed to plasma, and there is no damage caused by plasma, so that an inorganic layer having a dense and excellent surface flatness is formed. For this reason, even if the film thickness is thin, high gas barrier properties are exhibited.
Further, the PVD inorganic layer formed on the dense inorganic layer formed by the FTS method and having a small surface roughness is hardly affected by the unevenness of the lower layer, and thus becomes a dense inorganic layer with few defects. Further, by adopting a laminated structure in the order of the PVD inorganic layer, the CVD inorganic layer, and the PVD inorganic layer, the CVD inorganic layer itself hardly contributes directly to the gas barrier property. Since the anchoring effect is exerted on the stopper effect and the upper layer, the gas barrier property is dramatically improved as compared with the case where the PVD inorganic layer is simply formed thick and the PVD inorganic layers or the CVD inorganic layers are laminated. .
Furthermore, since the inorganic layer formed by the FTS method exhibits excellent surface flatness and film thickness uniformity, when the upper PVD inorganic layer is deposited, the surface diffusion inhibition effect of the deposited particles due to surface irregularities is suppressed. The surface diffusion of the vapor deposition particles becomes good, the surface flatness of the PVD inorganic layer becomes good, and a uniform film thickness distribution is obtained. Furthermore, the CVD inorganic layer and the PVD inorganic layer formed thereon have a similar surface flatness and a uniform film thickness distribution.
Thus, since the gas barrier film of the present invention has good surface flatness of each layer of the laminated structure, light diffusion due to surface irregularities is suppressed and a low external haze value is exhibited.

[真空蒸着法(PVD法)により形成された無機層]
本発明のガスバリア性フィルムにおいて、CVD無機層の上下層に設けられるPVD無機層の各々を構成する無機物質としては、珪素、アルミニウム、マグネシウム、亜鉛、錫、ニッケル、チタン、炭素等、あるいはこれらの酸化物、炭化物、窒化物またはそれらの混合物が挙げられるが、珪素酸化物が好ましい。また、ガスバリア性の点から、好ましくは酸化珪素、酸化アルミニウム、炭素(例えば、ダイアモンドライクカーボンなどの炭素を主体とした物質)である。特に、酸化珪素、酸化アルミニウムは、高いガスバリア性が安定に維持できる点で好ましい。上記無機物質は、1種単独で用いてもよいが、2種以上組み合わせて用いてもよい。
特に本発明のガスバリア性フィルムは、PVDにより形成した無機層(1)又は(2)の少なくとも1層が、酸化珪素からなることが好ましい。
[Inorganic layer formed by vacuum deposition method (PVD method)]
In the gas barrier film of the present invention, the inorganic substance constituting each of the PVD inorganic layers provided in the upper and lower layers of the CVD inorganic layer is silicon, aluminum, magnesium, zinc, tin, nickel, titanium, carbon, or the like. Oxides, carbides, nitrides or mixtures thereof may be mentioned, and silicon oxide is preferred. In view of gas barrier properties, silicon oxide, aluminum oxide, and carbon (for example, a substance mainly composed of carbon such as diamond-like carbon) are preferable. In particular, silicon oxide and aluminum oxide are preferable in that high gas barrier properties can be stably maintained. Although the said inorganic substance may be used individually by 1 type, you may use it in combination of 2 or more type.
In particular, in the gas barrier film of the present invention, at least one of the inorganic layers (1) or (2) formed by PVD is preferably made of silicon oxide.

上記基材上のPVD無機層(1)及び(2)の各々の形成には、ガスバリア性の高い均一な薄膜が得られるという点で、物理蒸着法の中でも真空蒸着法を用いる。
PVD無機層(1)及び(2)の各々の厚さは、その下限値が、一般に0.1nm、好ましくは0.5nm、更に好ましくは1nm、特に好ましくは10nmであり、その上限値が一般に500nm、好ましくは100nm、更に好ましくは50nmである。PVD無機層の厚さは、ガスバリア性、フィルムの生産性の点から、0.1以上、500nm以下が好ましく、10nm以上500nm以下がより好ましく、更に好ましくは10nm以上100nm以下、特に好ましくは10nm以上50nm以下である。PVD無機層の厚さは蛍光X線を用いて測定することができる。具体的には実施例に記載の方法で行うことができる。
For the formation of each of the PVD inorganic layers (1) and (2) on the substrate, a vacuum vapor deposition method is used among physical vapor deposition methods in that a uniform thin film having a high gas barrier property can be obtained.
The thickness of each of the PVD inorganic layers (1) and (2) has a lower limit of generally 0.1 nm, preferably 0.5 nm, more preferably 1 nm, particularly preferably 10 nm, and the upper limit is generally It is 500 nm, preferably 100 nm, more preferably 50 nm. The thickness of the PVD inorganic layer is preferably 0.1 or more and 500 nm or less, more preferably 10 nm or more and 500 nm or less, further preferably 10 nm or more and 100 nm or less, and particularly preferably 10 nm or more from the viewpoint of gas barrier properties and film productivity. 50 nm or less. The thickness of the PVD inorganic layer can be measured using fluorescent X-rays. Specifically, it can be performed by the method described in Examples.

上記PVD無機層(1)及び(2)の各々の形成は、緻密な層を形成するため減圧下で、フィルムを搬送しながら行う。PVD無機層(1)及び(2)の各々を形成する際の圧力は真空排気能力とバリア性の観点から、通常1×10-7Pa以上1Pa以下の範囲であり、好ましくは1×10-6Pa以上1×10-1Pa以下、更に好ましくは1×10-4Pa以上1×10-2Pa以下である。1×10-7Pa以上1Pa以下の範囲内であれば、十分なガスバリア性が得られ、また、PVD無機層に亀裂や剥離を発生させることなく、透明性にも優れている。 Each of the PVD inorganic layers (1) and (2) is formed while conveying the film under reduced pressure in order to form a dense layer. The pressure at the time of forming each of the PVD inorganic layer (1) and (2) in terms of evacuation capability and barrier properties, is usually 1 × 10 -7 1 Pa or less the range of Pa, preferably 1 × 10 - It is 6 Pa or more and 1 × 10 −1 Pa or less, more preferably 1 × 10 −4 Pa or more and 1 × 10 −2 Pa or less. If it is in the range of 1 × 10 −7 Pa or more and 1 Pa or less, a sufficient gas barrier property is obtained, and the PVD inorganic layer is excellent in transparency without causing cracks or peeling.

[化学蒸着法(CVD法)により形成された無機層]
本発明においては、前記PVD無機層(1)上に、CVD無機層を形成する。CVD無機層により、前記PVD無機層に生じた欠陥等の目止めが行われ、ガスバリア性や層間の密着性が向上するものと考えられる。
化学蒸着法としては、成膜速度を高くして高生産性を実現することや、フィルム基材への熱的ダメージを回避する必要があることから、プラズマCVD法が好ましい。プラズマCVD法により形成された層としては、有機物をプラズマ分解して得られる金属、金属酸化物、金属窒化物等から選ばれる少なくとも一種からなる層を挙げることができる。プラズマCVD法の中でもリモートプラズマCVD法でCVD無機層を形成すると好ましい。リモートプラズマCVD法とは、基板と別の場所にプラズマ生成部があるCVD法であって、例えば、三菱重工株式会社製のプラズマCVD装置「MAPLE」、島津製作所株式会社製SWP−CVD装置等が挙げられる。リモートプラズマCVD法によりCVD無機層を形成することにより、より炭素含有量が少なくなる。また、リモートプラズマ法によりCVD無機層を形成することにより、熱やプラズマによるダメージを受けず、緻密かつ表面粗さが小さな無機層を形成することができる。
[Inorganic layer formed by chemical vapor deposition (CVD)]
In the present invention, a CVD inorganic layer is formed on the PVD inorganic layer (1). It is considered that defects such as defects generated in the PVD inorganic layer are sealed by the CVD inorganic layer, and gas barrier properties and interlayer adhesion are improved.
As the chemical vapor deposition method, the plasma CVD method is preferable because it is necessary to increase the film formation rate to achieve high productivity and to avoid thermal damage to the film substrate. Examples of the layer formed by plasma CVD include at least one layer selected from metals, metal oxides, metal nitrides, and the like obtained by plasma decomposition of organic substances. Among plasma CVD methods, it is preferable to form a CVD inorganic layer by remote plasma CVD method. The remote plasma CVD method is a CVD method in which a plasma generation unit is located at a different location from the substrate. For example, a plasma CVD apparatus “MAPLE” manufactured by Mitsubishi Heavy Industries, Ltd., a SWP-CVD apparatus manufactured by Shimadzu Corporation, etc. Can be mentioned. By forming the CVD inorganic layer by the remote plasma CVD method, the carbon content is further reduced. In addition, by forming a CVD inorganic layer by a remote plasma method, it is possible to form a dense inorganic layer having a small surface roughness without being damaged by heat or plasma.

本発明においては、CVD無機層は、X線光電子分光法(XPS法)により測定された炭素含有量が20at.%以下、好ましくは10at.%以下、より好ましくは5at.%以下である。炭素含有量をこのような値とすることにより、該無機層の表面エネルギーが大きくなり、無機層の間の密着性を妨げることがなくなる。そのためバリアフィルムの耐折曲げ性、耐剥離性が向上する。
また、CVD無機層の炭素含有量は0.5at.%以上であることが好ましく、1at.%以上であることがより好ましく、2at.%以上であることが更に好ましい。中間層となるCVD無機層に炭素が僅かながら含まれることで、応力の緩和が効率よくなされ、バリアフィルムのカールが低減される。
以上の点から、上記CVD無機層における炭素含有量は、好ましくは0.5at.%以上20at.%以下の範囲にあり、より好ましくは0.5at.%以上10at.%以下の範囲にあり、より好ましくは0.5at.%以上5at.%以下の範囲にあり、より好ましくは1at.%以上5at.%以下の範囲にあり、さらに好ましくは2at.%以上5at.%以下の範囲にある。ここで、「at.%」とは、原子組成百分率(atomic%)を示す。
In the present invention, the CVD inorganic layer has a carbon content measured by X-ray photoelectron spectroscopy (XPS method) of 20 at. % Or less, preferably 10 at. % Or less, more preferably 5 at. % Or less. By setting the carbon content to such a value, the surface energy of the inorganic layer is increased and the adhesion between the inorganic layers is not hindered. Therefore, the bending resistance and peel resistance of the barrier film are improved.
The carbon content of the CVD inorganic layer is 0.5 at. % Or more, preferably 1 at. % Or more, more preferably 2 at. % Or more is more preferable. A slight amount of carbon is contained in the CVD inorganic layer serving as the intermediate layer, so that the stress is efficiently relaxed and the curl of the barrier film is reduced.
From the above points, the carbon content in the CVD inorganic layer is preferably 0.5 at. % Or more and 20 at. % Or less, and more preferably 0.5 at. % Or more and 10 at. % Or less, and more preferably 0.5 at. % Or more and 5 at. % Or less, and more preferably 1 at. % Or more and 5 at. % Or less, and more preferably 2 at. % Or more and 5 at. % Or less. Here, “at.%” Indicates an atomic composition percentage (atomic%).

本発明における上記X線光電子分光法(XPS法)により測定された炭素含有量を達成する方法としては、特に制限はなく、例えば、CVDにおける原料を選択することにより達成する方法、原料や反応ガス(酸素、窒素等)の流量や比率によって調整する方法、成膜時の圧力や投入電力によって調整する方法等が挙げられる。
X線光電子分光法(XPS法)による炭素含有量の具体的な測定方法は、実施例に記載の通りである。
There is no restriction | limiting in particular as a method of achieving the carbon content measured by the said X-ray photoelectron spectroscopy (XPS method) in this invention, For example, the method achieved by selecting the raw material in CVD, a raw material, and reaction gas Examples thereof include a method of adjusting by the flow rate and ratio of (oxygen, nitrogen, etc.), a method of adjusting by the pressure during film formation and input power, and the like.
The specific method for measuring the carbon content by X-ray photoelectron spectroscopy (XPS method) is as described in the examples.

CVD無機層を構成する無機物質としては、珪素、アルミニウム、マグネシウム、亜鉛、錫、ニッケル、チタン、ダイアモンドライクカーボン等、あるいはこれらの酸化物、炭化物、窒化物又はそれらの混合物等が挙げられるが、ガスバリア性、密着性の点から、好ましくは酸化珪素、窒化珪素、酸化窒化珪素、酸化炭化珪素、酸化炭化窒化珪素、酸化アルミニウム、窒化アルミニウム、酸化窒化アルミニウム、酸化炭化アルミニウム、酸化チタン、ダイアモンドライクカーボン等である。なかでも、酸化珪素、窒化珪素、酸化窒化珪素、酸化炭化窒化珪素及び酸化アルミニウムは、高いガスバリア性が安定に維持できる点でより好ましい。CVD無機層は上記無機物質を1種単独で含んでいてもよく、2種以上含んでいてもよい。   Examples of the inorganic substance constituting the CVD inorganic layer include silicon, aluminum, magnesium, zinc, tin, nickel, titanium, diamond-like carbon, and oxides, carbides, nitrides, or mixtures thereof. In view of gas barrier properties and adhesion, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbide, silicon oxycarbonitride, aluminum oxide, aluminum nitride, aluminum oxynitride, aluminum oxide carbide, titanium oxide, diamond-like carbon Etc. Among these, silicon oxide, silicon nitride, silicon oxynitride, silicon oxycarbonitride, and aluminum oxide are more preferable because high gas barrier properties can be stably maintained. The CVD inorganic layer may contain one kind of the inorganic substance or two or more kinds.

酸化珪素等からなるCVD無機層形成のための原料としては、例えば、珪素化合物が挙げられる。また、酸化チタン等からなるCVD無機層形成のための原料としては、チタン化合物が挙げられる。珪素化合物やチタン化合物等の化合物であれば、常温常圧下で気体、液体、固体いずれの状態であっても使用できる。気体の場合にはそのまま放電空間に導入できるが、液体、固体の場合は、加熱、バブリング、減圧、超音波照射等の手段により気化させて使用する。また、溶媒によって希釈して使用してもよく、溶媒は、メタノール、エタノール、n−ヘキサン等の有機溶媒及びこれらの混合溶媒を使用することができる。
また、本発明においてCVD無機層を形成する場合の原料として好ましくは気体(ガス)であり、該原料ガスが有機金属化合物であることが好適である。
As a raw material for forming a CVD inorganic layer made of silicon oxide or the like, for example, a silicon compound can be cited. Moreover, a titanium compound is mentioned as a raw material for CVD inorganic layer formation which consists of titanium oxide etc. If it is a compound such as a silicon compound or a titanium compound, it can be used in a gas, liquid, or solid state at normal temperature and pressure. In the case of gas, it can be introduced into the discharge space as it is, but in the case of liquid or solid, it is used after being vaporized by means such as heating, bubbling, decompression or ultrasonic irradiation. Moreover, you may dilute and use with a solvent and organic solvents, such as methanol, ethanol, n-hexane, and these mixed solvents can be used for a solvent.
In the present invention, the raw material for forming the CVD inorganic layer is preferably a gas (gas), and the raw material gas is preferably an organometallic compound.

上記珪素化合物としては、例えば、シラン、テトラメトキシシラン、テトラエトキシシラン、テトラn−プロポキシシラン、テトライソプロポキシシラン、テトラn−ブトキシシラン、テトラt−ブトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエチルジメトキシシラン、ジフェニルジメトキシシラン、メチルトリエトキシシラン、エチルトリメトキシシラン、フェニルトリエトキシシラン、(3,3,3−トリフルオロプロピル)トリメトキシシラン、ヘキサメチルジシロキサン、ビス(ジメチルアミノ)ジメチルシラン、ビス(ジメチルアミノ)メチルビニルシラン、ビス(エチルアミノ)ジメチルシラン、N,O−ビス(トリメチルシリル)アセトアミド、ビス(トリメチルシリル)カルボジイミド、ジエチルアミノトリメチルシラン、ジメチルアミノジメチルシラン、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、ノナメチルトリシラザン、オクタメチルシクロテトラシラザン、テトラキスジメチルアミノシラン、テトライソシアナートシラン、テトラメチルジシラザン、トリス(ジメチルアミノ)シラン、トリエトキシフルオロシラン、アリルジメチルシラン、アリルトリメチルシラン、ベンジルトリメチルシラン、ビス(トリメチルシリル)アセチレン、1,4−ビストリメチルシリル−1,3−ブタジイン、ジ−t−ブチルシラン、1,3−ジシラブタン、ビス(トリメチルシリル)メタン、シクロペンタジエニルトリメチルシラン、フェニルジメチルシラン、フェニルトリメチルシラン、プロパルギルトリメチルシラン、テトラメチルシラン、トリメチルシリルアセチレン、1−(トリメチルシリル)−1−プロピン、トリス(トリメチルシリル)メタン、トリス(トリメチルシリル)シラン、ビニルトリメチルシラン、ヘキサメチルジシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、ヘキサメチルジシロキサン、ヘキサメチルシクロテトラシロキサン、Mシリケート51等が挙げられる。   Examples of the silicon compound include silane, tetramethoxysilane, tetraethoxysilane, tetra n-propoxysilane, tetraisopropoxysilane, tetra n-butoxysilane, tetra t-butoxysilane, dimethyldimethoxysilane, dimethyldiethoxysilane, Diethyldimethoxysilane, diphenyldimethoxysilane, methyltriethoxysilane, ethyltrimethoxysilane, phenyltriethoxysilane, (3,3,3-trifluoropropyl) trimethoxysilane, hexamethyldisiloxane, bis (dimethylamino) dimethylsilane Bis (dimethylamino) methylvinylsilane, bis (ethylamino) dimethylsilane, N, O-bis (trimethylsilyl) acetamide, bis (trimethylsilyl) carbodiimide, die Ruaminotrimethylsilane, dimethylaminodimethylsilane, hexamethyldisilazane, hexamethylcyclotrisilazane, heptamethyldisilazane, nonamethyltrisilazane, octamethylcyclotetrasilazane, tetrakisdimethylaminosilane, tetraisocyanatosilane, tetramethyldisilazane , Tris (dimethylamino) silane, triethoxyfluorosilane, allyldimethylsilane, allyltrimethylsilane, benzyltrimethylsilane, bis (trimethylsilyl) acetylene, 1,4-bistrimethylsilyl-1,3-butadiyne, di-t-butylsilane, 1,3-disilabutane, bis (trimethylsilyl) methane, cyclopentadienyltrimethylsilane, phenyldimethylsilane, phenyltrimethylsilane, pro Rugyltrimethylsilane, tetramethylsilane, trimethylsilylacetylene, 1- (trimethylsilyl) -1-propyne, tris (trimethylsilyl) methane, tris (trimethylsilyl) silane, vinyltrimethylsilane, hexamethyldisilane, octamethylcyclotetrasiloxane, tetramethyl Examples thereof include cyclotetrasiloxane, hexamethyldisiloxane, hexamethylcyclotetrasiloxane, M silicate 51, and the like.

チタン化合物としては、例えば、酸化チタン、塩化チタン等のチタン無機化合物や、チタンテトラブトキシド、テトラノルマルブチルチタネート、ブチルチタネートダイマー、テトラ(2−エチルヘキシル)チタネート及びテトラメチルチタネート等のチタンアルコキシド類や、チタンラクテート、チタンアセチルアセトナート、チタンテトラアセチルアセトナート、ポリチタンアセチルアセトナート、チタンオクチレングリコレート、チタンエチルアセトアセテート及びチタントリエタノールアミネート等のチタンキレート類等が挙げられる。   Examples of the titanium compound include titanium inorganic compounds such as titanium oxide and titanium chloride, titanium alkoxides such as titanium tetrabutoxide, tetranormal butyl titanate, butyl titanate dimer, tetra (2-ethylhexyl) titanate and tetramethyl titanate, Examples thereof include titanium chelates such as titanium lactate, titanium acetylacetonate, titanium tetraacetylacetonate, polytitanium acetylacetonate, titanium octylene glycolate, titanium ethylacetoacetate and titanium triethanolaminate.

上記CVD無機層は、PVD無機層への目止め効果を確実とするために、2層以上から構成されることが好ましく、より好ましくは2〜5層から構成されることが好ましい。
上記CVD無機層の厚さは、20nm以下であると好ましい。CVD無機層の厚さは、断面TEM法により測定できる。20nm以下であることにより、PVD無機層同士の分子間力が有効に作用することで、密着性がより向上する。また同時に化学蒸着法による生産速度を真空蒸着法と同等程度に高めることができるため、生産効率が向上すると共に製造設備も小型化、簡素化できるため、安価なバリアフィルムを製造することができる。上記観点から、CVD無機層の厚さは、10nm以下であることが好ましく、5nm以下であることがより好ましく、3nm以下であることが更に好ましい。
In order to ensure the sealing effect on the PVD inorganic layer, the CVD inorganic layer is preferably composed of two or more layers, more preferably 2 to 5 layers.
The thickness of the CVD inorganic layer is preferably 20 nm or less. The thickness of the CVD inorganic layer can be measured by a cross-sectional TEM method. Adhesiveness improves more because the intermolecular force of PVD inorganic layers acts effectively because it is 20 nm or less. At the same time, since the production rate by the chemical vapor deposition method can be increased to the same level as that of the vacuum vapor deposition method, the production efficiency can be improved and the production equipment can be downsized and simplified, so that an inexpensive barrier film can be produced. From the above viewpoint, the thickness of the CVD inorganic layer is preferably 10 nm or less, more preferably 5 nm or less, and further preferably 3 nm or less.

また、CVD無機層の厚さの下限値は、PVD無機層への目止め効果が発現するための最低限の層の厚さとして、0.01nmであると好ましく、0.1nmであるとより好ましく、0.5nmであると更に好ましい。厚さが0.01nm以上であれば、密着性、ガスバリア性などが良好であり好ましい。上記観点から、CVD無機層の厚さは、0.01nm以上20nm以下であることが好ましく、更に0.1nm以上20nm以下であることが好ましく、0.1nm以上10nm以下であることがより好ましく、0.1nm以上5nm以下であることが更に好ましく、0.1nm以上3nm以下であることが更により好ましい。   Further, the lower limit of the thickness of the CVD inorganic layer is preferably 0.01 nm, more preferably 0.1 nm as the minimum layer thickness for exhibiting a sealing effect on the PVD inorganic layer. Preferably, 0.5 nm is more preferable. A thickness of 0.01 nm or more is preferable because of good adhesion and gas barrier properties. From the above viewpoint, the thickness of the CVD inorganic layer is preferably 0.01 nm or more and 20 nm or less, more preferably 0.1 nm or more and 20 nm or less, and more preferably 0.1 nm or more and 10 nm or less, It is still more preferably 0.1 nm or more and 5 nm or less, and even more preferably 0.1 nm or more and 3 nm or less.

また、本発明においては、隣接するCVD無機層とPVD無機層において、その厚さの比(CVD無機層厚さ/PVD無機層厚さ)が、好ましくは0.0001〜1であり、より好ましくは0.0005〜0.5であり、さらに好ましくは0.001〜0.2である。PVD無機層厚さに比してCVD無機層厚さが0.0001以上であれば、全体の無機層に対するCVD無機層の割合が小さくなりすぎず、PVD無機層のみでは得られない、CVD無機層による目止め効果、及び応力緩和等の効果を得ることができる。また、PVD無機層厚さに比してCVD無機層厚さが1以下であれば、CVD法の成膜レートはPVD法に比べ極端に低くなることがなく、Roll to RollプロセスにてPVD無機層とCVD無機層を連続して成膜する場合、CVD無機層の成膜レートに基材の搬送速度を合わせても搬送速度が低下することなく生産性が良好となる。   In the present invention, in the adjacent CVD inorganic layer and PVD inorganic layer, the thickness ratio (CVD inorganic layer thickness / PVD inorganic layer thickness) is preferably 0.0001 to 1, more preferably. Is 0.0005 to 0.5, and more preferably 0.001 to 0.2. If the CVD inorganic layer thickness is 0.0001 or more compared to the PVD inorganic layer thickness, the ratio of the CVD inorganic layer to the entire inorganic layer is not too small, and the CVD inorganic layer cannot be obtained only by the PVD inorganic layer. It is possible to obtain a sealing effect by the layer and effects such as stress relaxation. Further, if the CVD inorganic layer thickness is 1 or less compared to the PVD inorganic layer thickness, the film formation rate of the CVD method does not become extremely low as compared with the PVD method, and the PVD inorganic layer is formed by the Roll to Roll process. When the layer and the CVD inorganic layer are continuously formed, productivity is improved without lowering the transport speed even if the transport speed of the substrate is matched with the film formation rate of the CVD inorganic layer.

PVD無機層の表面粗さ(AFM:原子間力顕微鏡により測定)は概ね5nm以下とすることが、蒸着粒子が密に堆積するため、バリア性発現のためには好ましい。この際にCVD無機層の厚みを上記値以下とすることで、蒸着粒子間の谷間の部分に存在する開放空孔を埋めながらも蒸着粒子の山の部分は極めて薄くしか被覆しない(もしくは部分的に露呈する)ため、PVD無機層間の密着性をさらに高めることが出来る。また、CVD無機層の厚みを0.1nm以上とすることで、上記した下層のPVD無機層の開放空孔の目止め効果が発現すると同時に表面が滑らかになり、上層のPVD無機層を蒸着した際に、蒸着粒子の表面拡散が良好となり、粒子同士がより密に堆積するため、バリア性がさらに向上する。
上記CVD無機層の厚さの断面TEM法による測定は、透過型電子顕微鏡(TEM)を用いて行い、具体的には、実施例に記載の方法により行うことができる。
The surface roughness (AFM: measured with an atomic force microscope) of the PVD inorganic layer is preferably about 5 nm or less, because vapor deposition particles are densely deposited, which is preferable for the development of barrier properties. At this time, by setting the thickness of the CVD inorganic layer to be equal to or less than the above value, the crest portion of the vapor deposition particles is covered only very thinly while filling open vacancies existing in the valleys between the vapor deposition particles (or partially). Therefore, the adhesion between the PVD inorganic layers can be further enhanced. In addition, by setting the thickness of the CVD inorganic layer to 0.1 nm or more, the effect of sealing the open vacancies of the lower PVD inorganic layer described above is exhibited and the surface becomes smooth, and the upper PVD inorganic layer is deposited. At this time, the surface diffusion of the vapor deposition particles becomes good, and the particles are deposited more densely, so that the barrier property is further improved.
The thickness of the CVD inorganic layer can be measured by a cross-sectional TEM method using a transmission electron microscope (TEM), specifically by the method described in the examples.

本発明においては、CVD無機層の形成は、1×10-2Pa以上10Pa以下の減圧環境下でなされる。
すなわち、化学蒸着法(CVD法)により層を形成する際の圧力は、緻密な層を形成するため減圧下で行うことが好ましく、成膜速度とバリア性の観点から、通常1×10-2Pa以上10Pa以下の範囲であり、1×10-1Pa以上1Pa以下が好ましい。このCVD無機層には、耐水性、耐久性を高めるために、電子線照射による架橋処理を行う事もできる。
In the present invention, the CVD inorganic layer is formed under a reduced pressure environment of 1 × 10 −2 Pa or more and 10 Pa or less.
That is, the pressure when forming a layer by chemical vapor deposition (CVD) is preferably performed under reduced pressure in order to form a dense layer, and is usually 1 × 10 −2 from the viewpoint of film formation speed and barrier properties. The range is from Pa to 10 Pa, preferably from 1 × 10 −1 Pa to 1 Pa. This CVD inorganic layer can be subjected to a crosslinking treatment by electron beam irradiation in order to improve water resistance and durability.

上記CVD無機層を形成する方法としては、前記原料化合物を蒸発させ、原料ガスとして真空装置に導入し、直流(DC)プラズマ、低周波プラズマ、高周波(RF)プラズマ、パルス波プラズマ、3極構造プラズマ、マイクロ波プラズマ、ダウンストリームプラズマ、カラムナープラズマ、プラズマアシスッテドエピタキシー等の低温プラズマ発生装置でプラズマ化することにより行うことができる。プラズマの安定性の点から高周波(RF)プラズマ装置がより好ましい。
またプラズマCVD法以外でも、熱CVD法、Cat−CVD法、光CVD法、MOCVD法等の公知の方法を用いることが出来る。このうち量産性や成膜品質に優れる点で熱CVD法、Cat−CVD法が好ましい。
The CVD inorganic layer is formed by evaporating the raw material compound and introducing it into a vacuum apparatus as a raw material gas, and direct current (DC) plasma, low frequency plasma, high frequency (RF) plasma, pulse wave plasma, tripolar structure. It can be performed by converting into plasma with a low-temperature plasma generator such as plasma, microwave plasma, downstream plasma, columnar plasma, plasma assisted epitaxy or the like. From the viewpoint of plasma stability, a radio frequency (RF) plasma apparatus is more preferable.
Besides the plasma CVD method, known methods such as a thermal CVD method, a Cat-CVD method, a photo CVD method, and an MOCVD method can be used. Among these, the thermal CVD method and the Cat-CVD method are preferable because they are excellent in mass productivity and film formation quality.

[成膜方法]
本発明においては、ガスバリア性、生産性の点から、上記FTS無機層、PVD無機層(1)、CVD無機層及びPVD無機層(2)の形成を減圧下、同一真空装置内で連続して行うことが好ましい。すなわち、本発明においては、各層の形成終了後に、真空装置内の圧力を大気圧近傍にまで戻して、再度真空にして後工程を行うものではなく、真空状態のまま連続的に成膜を行うことが好ましい。
また、本発明のフィルムをRoll to Rollプロセスにて製造する場合、上記FTS無機層、PVD無機層(1)、CVD無機層及びPVD無機層(2)形成時の基材の搬送速度は、生産性向上の観点から、20m/分以上であることが好ましく、100m/分以上であることがより好ましい。上記搬送速度については、上限は特にないが、フィルム搬送の安定性の観点から1000m/分以下が好ましい。
[Film formation method]
In the present invention, the FTS inorganic layer, the PVD inorganic layer (1), the CVD inorganic layer, and the PVD inorganic layer (2) are continuously formed in the same vacuum apparatus under reduced pressure from the viewpoint of gas barrier properties and productivity. Preferably it is done. That is, in the present invention, after the formation of each layer is completed, the pressure in the vacuum apparatus is returned to the vicinity of atmospheric pressure, and the vacuum is re-evacuated to perform the post-process, but the film is continuously formed in a vacuum state. It is preferable.
In addition, when the film of the present invention is produced by the Roll to Roll process, the conveyance speed of the base material during the formation of the FTS inorganic layer, PVD inorganic layer (1), CVD inorganic layer and PVD inorganic layer (2) is as follows. From the viewpoint of improving properties, it is preferably 20 m / min or more, and more preferably 100 m / min or more. Although there is no upper limit in particular regarding the said conveyance speed, 1000 m / min or less is preferable from a viewpoint of stability of film conveyance.

このように、FTS無機層、PVD無機層及びCVD無機層の形成を同一真空装置内で行うことにより、極めて良好なガスバリア性を発現することができる。原理は明確ではないが、同一真空装置内で、FTS無機層形成及びPVD無機層形成とCVD無機層を形成することにより、緻密かつ表面平坦性に優れたFTS無機層が得られ、その上に積層することで欠陥の少ない緻密なPVD無機層(1)が得られる。またPVD無機層(1)上にCVD無機層を形成することで、PVD無機層(1)に発生した微小な欠陥が均一に目止めされ、更にPVD無機層(2)のガスバリア性をもさらに向上させることができるものと考えられる。   Thus, by forming the FTS inorganic layer, the PVD inorganic layer, and the CVD inorganic layer in the same vacuum apparatus, extremely good gas barrier properties can be expressed. Although the principle is not clear, by forming the FTS inorganic layer formation and the PVD inorganic layer formation and the CVD inorganic layer in the same vacuum apparatus, a dense and excellent surface flatness FTS inorganic layer is obtained. A dense PVD inorganic layer (1) with few defects is obtained by laminating. Further, by forming a CVD inorganic layer on the PVD inorganic layer (1), minute defects generated in the PVD inorganic layer (1) can be evenly spotted, and further, the gas barrier property of the PVD inorganic layer (2) is further increased. It is thought that it can be improved.

本発明においては、FTS無機層を形成した後に、PVD無機層(1)、CVD無機層及びPVD無機層(2)の形成を行うが、このCVD無機層及びPVD無機層の形成は、更に1回以上繰り返して行ってもよい。すなわち、本発明においては、品質安定性の点からPVD無機層(1)、CVD無機層及びPVD無機層(2)の上に、更にCVD無機層及びPVD無機層からなる構成単位を1あるいは複数有することが好ましく、1〜3単位有することがより好ましく、また、1又は2単位有することが更に好ましい。
なお、上記各無機層の形成を繰り返す場合も、同一装置内にて、減圧下、連続して行うことが好ましい。
In the present invention, the PVD inorganic layer (1), the CVD inorganic layer, and the PVD inorganic layer (2) are formed after the FTS inorganic layer is formed. It may be repeated more than once. That is, in the present invention, from the viewpoint of quality stability, one or a plurality of structural units composed of a CVD inorganic layer and a PVD inorganic layer are further formed on the PVD inorganic layer (1), the CVD inorganic layer and the PVD inorganic layer (2). Preferably, it has 1 to 3 units, more preferably 1 or 2 units.
In addition, when repeating formation of each said inorganic layer, it is preferable to carry out continuously under reduced pressure within the same apparatus.

[アンカーコート層]
本発明においては、前記基材とFTS無機層との密着性を向上させるため、基材とFTS無機層の間に、アンカーコート剤を塗布する等してアンカーコート層を設けることが好ましい。アンカーコート剤としては、生産性の点から、ポリエステル系樹脂、ウレタン系樹脂、アクリル系樹脂、ニトロセルロース系樹脂、シリコーン系樹脂、ポリビニルアルコール系樹脂やエチレンビニルアルコール系樹脂等のビニルアルコール系樹脂、ビニルエステル系樹脂、イソシアネート基含有樹脂、カルボジイミド系樹脂、アルコキシル基含有樹脂、エポキシ系樹脂、オキサゾリン基含有樹脂及びスチレン系樹脂等を単独あるいは2種以上含むものが好ましい。
また、アンカーコート層は必要に応じ、シラン系カップリング剤、チタン系カップリング剤、アルキルチタネート、無機粒子、紫外線吸収剤、耐候安定剤等の安定剤、潤滑剤、ブロッキング防止剤、酸化防止剤等を含有することができる。
[Anchor coat layer]
In this invention, in order to improve the adhesiveness of the said base material and FTS inorganic layer, it is preferable to provide an anchor coat layer by apply | coating an anchor coating agent etc. between a base material and an FTS inorganic layer. As an anchor coat agent, from the point of productivity, polyester resin, urethane resin, acrylic resin, nitrocellulose resin, silicone resin, vinyl alcohol resin such as polyvinyl alcohol resin and ethylene vinyl alcohol resin, A resin containing one or more of vinyl ester resins, isocyanate group-containing resins, carbodiimide resins, alkoxyl group-containing resins, epoxy resins, oxazoline group-containing resins and styrene resins is preferred.
In addition, the anchor coat layer is optionally provided with a silane coupling agent, a titanium coupling agent, an alkyl titanate, inorganic particles, an ultraviolet absorber, a weathering stabilizer and other stabilizers, a lubricant, an anti-blocking agent and an antioxidant. Etc. can be contained.

基材上に設けるアンカーコート層の厚さは通常0.1〜5000nm、好ましくは1〜2000nm、より好ましくは1〜1000nmである。上記範囲内であれば、滑り性が良好であり、アンカーコート層自体の内部応力による基材からの剥離もほとんどなく、また、均一な厚さを保つことができ、更に層間の密着性においても優れている。
また、基材へのアンカーコート剤の塗布性、接着性を改良するため、アンカーコート剤の塗布前に基材に通常の化学処理、放電処理などの表面処理を施してもよい。
The thickness of the anchor coat layer provided on the substrate is usually 0.1 to 5000 nm, preferably 1 to 2000 nm, more preferably 1 to 1000 nm. If it is within the above range, the slipperiness is good, there is almost no peeling from the base material due to the internal stress of the anchor coat layer itself, and a uniform thickness can be maintained, and also in the adhesion between layers Are better.
Moreover, in order to improve the applicability | paintability and adhesiveness of the anchor coating agent to a base material, you may perform surface treatments, such as normal chemical treatment and electrical discharge treatment, before a base material's application | coating.

[保護層]
また、本発明のガスバリア性フィルムは、上記各層を形成した側の最上層に保護層を有することが好ましい。該保護層を形成する樹脂としては、溶剤性及び水性の樹脂をいずれも使用することができ、具体的には、ポリエステル系樹脂、ウレタン系樹脂系、アクリル系樹脂、ポリビニルアルコール系樹脂、エチレン・不飽和カルボン酸共重合樹脂、エチレンビニルアルコール系樹脂、ビニル変性樹脂、ニトロセルロース系樹脂、シリコーン系樹脂、イソシアネート系樹脂、エポキシ系樹脂、オキサゾリン基含有樹脂、変性スチレン系樹脂、変性シリコーン系樹脂、アルキルチタネート等を単独であるいは2種以上組み合わせて使用することができる。また、保護層としては、バリア性、摩耗性、滑り性向上のため、シリカゾル、アルミナゾル、粒子状無機フィラー及び層状無機フィラーから選ばれる1種以上の無機粒子を前記1種以上の樹脂に混合してなる層、又は該無機粒子存在下で前記樹脂の原料を重合させて形成される無機粒子含有樹脂からなる層を用いることが好ましい。
[Protective layer]
Moreover, it is preferable that the gas barrier film of this invention has a protective layer in the uppermost layer in the side in which each said layer was formed. As the resin for forming the protective layer, both solvent-based and water-based resins can be used. Specifically, polyester resins, urethane resins, acrylic resins, polyvinyl alcohol resins, ethylene Unsaturated carboxylic acid copolymer resin, ethylene vinyl alcohol resin, vinyl modified resin, nitrocellulose resin, silicone resin, isocyanate resin, epoxy resin, oxazoline group-containing resin, modified styrene resin, modified silicone resin, Alkyl titanates can be used alone or in combination of two or more. As the protective layer, one or more kinds of inorganic particles selected from silica sol, alumina sol, particulate inorganic filler, and layered inorganic filler are mixed with the one or more kinds of resins in order to improve barrier properties, wearability, and slipperiness. It is preferable to use a layer made of an inorganic particle-containing resin formed by polymerizing the resin raw material in the presence of the inorganic particles.

保護層を形成する樹脂としては、無機層のガスバリア性向上の点から上記水性樹脂が好ましい。さらに水性樹脂として、ポリビニルアルコール系樹脂、エチレンビニルアルコール系樹脂、または、エチレン−不飽和カルボン酸共重合体樹脂が好ましい。
本発明においては、上記保護層は、上記樹脂1種で構成されていてもよいが、2種以上を組み合わせて使用することもできる。
また、上記保護層にはバリア性、密着性向上のため無機粒子を添加することができる。
本発明に使用する無機粒子には特に制限はなく、例えば無機フィラーや無機層状化合物、金属酸化物ゾル等の公知のものをいずれも使用することができる。
As the resin for forming the protective layer, the aqueous resin is preferable from the viewpoint of improving the gas barrier property of the inorganic layer. Further, as the aqueous resin, a polyvinyl alcohol resin, an ethylene vinyl alcohol resin, or an ethylene-unsaturated carboxylic acid copolymer resin is preferable.
In the present invention, the protective layer may be composed of one kind of the resin, but may be used in combination of two or more kinds.
In addition, inorganic particles can be added to the protective layer in order to improve barrier properties and adhesion.
There is no restriction | limiting in particular in the inorganic particle used for this invention, For example, all well-known things, such as an inorganic filler, an inorganic layered compound, a metal oxide sol, can be used.

保護層の厚さについては、印刷性、加工性の点から、好ましくは0.05〜10μm,更に好ましくは0.1〜3μmである。その形成方法としては、公知のコーティング方法が適宜採択される。例えば、リバースロールコーター、グラビアコーター、ロッドコーター、エアドクタコーター、スプレイあるいは刷毛を用いたコーティング方法等の方法がいずれも使用できる。また、蒸着フィルムを保護層用樹脂液に浸漬して行ってもよい。塗布後は、80〜200℃程度の温度での熱風乾燥、熱ロール乾燥などの加熱乾燥や、赤外線乾燥などの公知の乾燥方法を用いて水分を蒸発させることができる。これにより、均一なコーティング層を有する積層フィルムが得られる。   The thickness of the protective layer is preferably 0.05 to 10 μm, more preferably 0.1 to 3 μm, from the viewpoints of printability and processability. A known coating method is appropriately adopted as the formation method. For example, any method such as a reverse roll coater, a gravure coater, a rod coater, an air doctor coater, a spray or a coating method using a brush can be used. Moreover, you may immerse a vapor deposition film in the resin liquid for protective layers. After coating, moisture can be evaporated using a known drying method such as hot drying such as hot air drying or hot roll drying at a temperature of about 80 to 200 ° C. or infrared drying. Thereby, a laminated film having a uniform coating layer is obtained.

[ガスバリア性フィルムの構成]
本発明のガスバリア性フィルムとしては、ガスバリア性、密着性の点から、以下のような態様を好ましく用いることができる。
下記で、例えば、A/B/Cの表記は、下から(あるいは上から)A、B、Cの順に積層していることを示す。
(1)基材/AC/FTS無機層/PVD無機層/CVD無機層/PVD無機層
(2)基材/AC/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層
(3)基材/AC/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層
(4)基材/AC/FTS無機層/PVD無機層/CVD無機層/PVD無機層/保護層
(5)基材/AC/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/保護層
(6)基材/AC/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/保護層
[Configuration of gas barrier film]
As the gas barrier film of the present invention, the following embodiments can be preferably used from the viewpoint of gas barrier properties and adhesion.
In the following, for example, the notation of A / B / C indicates that layers are stacked in the order of A, B, and C from the bottom (or from the top).
(1) Base material / AC / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer (2) Base material / AC / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer (3) substrate / AC / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer (4) substrate / AC / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / protective layer (5) Base material / AC / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / Protective layer (6) Base material / AC / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / protective layer

(7)基材/FTS無機層/PVD無機層/CVD無機層/PVD無機層
(8)基材/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層
(9)基材/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層
(10)基材/FTS無機層/PVD無機層/CVD無機層/PVD無機層/保護層
(11)基材/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/保護層
(12)基材/FTS無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/CVD無機層/PVD無機層/保護層
(なお、上記態様中、ACはアンカーコート層を指す。)
(7) Base material / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer (8) Base material / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer (9) Substrate / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer (10) Substrate / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / protective layer (11) substrate / FTS inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / protective layer (12) substrate / FTS Inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / CVD inorganic layer / PVD inorganic layer / protective layer (in the above embodiment, AC represents an anchor coat layer)

本発明においては、アンカーコート層を形成した後、あるいはFTS無機層,PVD無機層又はCVD無機層を形成した後、あるいは保護層を形成した後に、ガスバリア性、密着性、構成層の安定化等の点から加熱処理を施すことが好ましい。
ただし、基材上にアンカーコート層がある場合の積層で、保護層が無い場合には基材の上から最上層のPVD無機層までの構成単位層、又は保護層がある場合には基材の上から保護層の下のPVD無機層までの構成単位層を2つ以上設ける場合、FTS無機層とその下に形成されたアンカーコート層の密着性の点から、後処理としての加熱処理はガスバリア性フィルムを構成する全ての層を形成後に行うことが好ましい。
In the present invention, after forming an anchor coat layer, or after forming an FTS inorganic layer, PVD inorganic layer, or CVD inorganic layer, or after forming a protective layer, gas barrier properties, adhesion, stabilization of constituent layers, etc. From this point, it is preferable to perform heat treatment.
However, in the case where there is an anchor coat layer on the substrate, if there is no protective layer, the structural unit layer from the top of the substrate to the uppermost PVD inorganic layer, or if there is a protective layer, the substrate When two or more structural unit layers from the top to the PVD inorganic layer below the protective layer are provided, the heat treatment as a post-treatment is performed from the point of adhesion between the FTS inorganic layer and the anchor coat layer formed thereunder. It is preferable to carry out after forming all the layers constituting the gas barrier film.

加熱処理は、ガスバリア性フィルムの各構成層を構成する成分の種類や層の厚さ等によりその条件が異なるが、必要な温度、時間を維持できる方法であれば方法は特に限定されない。例えば、必要な温度に設定したオーブンや恒温室で保管する方法、熱風を吹き付ける方法、赤外線ヒーターで加熱する方法、ランプで光を照射する方法、熱ロールや熱版と接触させて直接的に熱を付与する方法、マイクロ波を照射する方法等が使用できる。また、取り扱いが容易な大きさにフィルムを切断してから加熱処理しても、フィルムロールのままで加熱処理してもよい。さらに必要な時間と温度が得られる限りにおいては、コーター、スリッター等のフィルム製造装置の一部分に加熱装置を組み込み、製造過程で加熱を行うこともできる。   The conditions for the heat treatment vary depending on the types of components constituting the constituent layers of the gas barrier film, the thickness of the layers, and the like, but the method is not particularly limited as long as the necessary temperature and time can be maintained. For example, a method of storing in an oven or temperature-controlled room set to the required temperature, a method of blowing hot air, a method of heating with an infrared heater, a method of irradiating light with a lamp, or heating directly by contact with a hot roll or hot plate A method for imparting a microwave, a method for irradiating with a microwave, and the like can be used. Moreover, even if it heat-processes, after cutting a film into the magnitude | size which is easy to handle, it may heat-process with a film roll. Furthermore, as long as necessary time and temperature can be obtained, a heating device can be incorporated in a part of a film production apparatus such as a coater or a slitter, and heating can be performed in the production process.

加熱処理の温度は、使用する基材、プラスチックフィルム等の耐熱温度以下の温度であれば特に限定されないが、熱処理の効果が発現するために必要な処理時間を適度に設定できることから60℃以上であることが好ましく、70℃以上で行うことがより好ましい。加熱処理温度の上限は、ガスバリア性フィルムを構成する成分の熱分解によるガスバリア性の低下を防止する観点から、通常200℃以下、好ましくは160℃以下である。処理時間は、加熱処理温度に依存し、処理温度が高い程、短くすることが好ましい。例えば、加熱処理温度が60℃の場合、処理時間は3日〜6ヶ月程度、80℃の場合、処理時間は3時間〜10日程度、120℃の場合、処理時間は1時間から1日程度、150℃の場合、処理時間は3〜60分程度であるが、これらは単なる目安であって、ガスバリア性フィルムを構成する成分の種類や構成層の厚さ等により適宜調整することができる。   The temperature of the heat treatment is not particularly limited as long as it is a temperature not higher than the heat resistance temperature of the base material, plastic film, etc. used, but it is 60 ° C. or higher because the treatment time required for the effect of heat treatment can be set appropriately. It is preferable that the temperature is 70 ° C. or higher. The upper limit of the heat treatment temperature is usually 200 ° C. or less, preferably 160 ° C. or less, from the viewpoint of preventing the gas barrier property from being lowered due to thermal decomposition of the components constituting the gas barrier film. The treatment time depends on the heat treatment temperature, and is preferably shorter as the treatment temperature is higher. For example, when the heat treatment temperature is 60 ° C., the treatment time is about 3 days to 6 months, when it is 80 ° C., the treatment time is about 3 hours to 10 days, and when it is 120 ° C., the treatment time is about 1 hour to 1 day. In the case of 150 ° C., the treatment time is about 3 to 60 minutes, but these are merely guidelines and can be appropriately adjusted depending on the type of components constituting the gas barrier film, the thickness of the constituent layers, and the like.

本発明においては、上記構成層に必要に応じ更に追加の構成層を積層した各種ガスバリア性積層フィルムが用途に応じて使用できる。
通常の実施態様としては、上記無機層あるいは保護層の上にプラスチックフィルムを設けたガスバリア性フィルムが各種用途に使用される。上記プラスチックフィルムの厚さは、積層構造体の基材としての機械強度、可撓性、透明性等の点から、通常5〜500μm、好ましくは10〜200μmの範囲で用途に応じて選択される。また、フィルムの幅や長さは特に制限はなく、適宜用途に応じて選択することができるが、バリア性フィルムを用いて工業製品を製造する上では、長尺の製品を製造可能であること、一度のプロセスで多数の製品を製造可能であることなど、生産性、コスト優位性の点から、フィルムの幅、長さは長い方が望ましい。フィルム幅は0.6m以上が好ましく、さらに好ましくは0.8m以上、より好ましくは1.0m以上、フィルムの長さは1000m以上が好ましく、さらに好ましくは3000m以上、より好ましくは5000m以上である。また、例えば、無機層あるいは保護層の面上にヒートシールが可能な樹脂を使用することにより、ヒートシールが可能となり、種々の容器として使用できる。ヒートシールが可能な樹脂としては、ポリエチレン樹脂、ポリプロピレン樹脂、エチレン−酢酸ビニル共重合体、アイオノマー樹脂、アクリル系樹脂、生分解性樹脂等の公知の樹脂が例示される。
In the present invention, various gas barrier laminate films in which additional constituent layers are further laminated on the above constituent layers as necessary can be used according to applications.
As a normal embodiment, a gas barrier film in which a plastic film is provided on the inorganic layer or the protective layer is used for various applications. The thickness of the plastic film is usually 5 to 500 μm, preferably 10 to 200 μm, depending on the application, from the viewpoints of mechanical strength, flexibility, transparency as a substrate of the laminated structure. . In addition, the width and length of the film are not particularly limited and can be appropriately selected according to the application. However, when manufacturing an industrial product using a barrier film, a long product can be manufactured. From the viewpoint of productivity and cost advantages, such as the ability to produce a large number of products in a single process, it is desirable that the width and length of the film be long. The film width is preferably 0.6 m or more, more preferably 0.8 m or more, more preferably 1.0 m or more, and the film length is preferably 1000 m or more, more preferably 3000 m or more, more preferably 5000 m or more. Further, for example, by using a resin capable of heat sealing on the surface of the inorganic layer or the protective layer, heat sealing becomes possible, and it can be used as various containers. Examples of the resin that can be heat sealed include known resins such as polyethylene resin, polypropylene resin, ethylene-vinyl acetate copolymer, ionomer resin, acrylic resin, and biodegradable resin.

また、別のガスバリア性フィルムの実施態様としては、無機層あるいは保護層の塗布面上に印刷層を形成し、更にその上にヒートシール層を積層するものが挙げられる。印刷層を形成する印刷インクとしては、水性及び溶媒系の樹脂含有印刷インクが使用できる。ここで、印刷インクに使用される樹脂としては、アクリル系樹脂、ウレタン系樹脂、ポリエステル系樹脂、塩化ビニル系樹脂、酢酸ビニル共重合樹脂又はこれらの混合物が例示される。更に、印刷インクには、帯電防止剤、光線遮光剤、紫外線吸収剤、可塑剤、滑剤、フィラー、着色剤、安定剤、潤滑剤、消泡剤、架橋剤、耐ブロッキング剤、酸化防止剤等の公知の添加剤を添加してもよい。   Another embodiment of the gas barrier film is one in which a printing layer is formed on the coated surface of the inorganic layer or the protective layer, and a heat seal layer is further laminated thereon. As the printing ink for forming the printing layer, aqueous and solvent-based resin-containing printing inks can be used. Here, examples of the resin used in the printing ink include acrylic resins, urethane resins, polyester resins, vinyl chloride resins, vinyl acetate copolymer resins, and mixtures thereof. Furthermore, for printing inks, antistatic agents, light shielding agents, ultraviolet absorbers, plasticizers, lubricants, fillers, colorants, stabilizers, lubricants, antifoaming agents, crosslinking agents, antiblocking agents, antioxidants, etc. These known additives may be added.

印刷層を設けるための印刷方法としては特に限定されないが、オフセット印刷法、グラビア印刷法、スクリーン印刷法等の公知の印刷方法が使用できる。印刷後の溶媒の乾燥には、熱風乾燥、熱ロール乾燥、赤外線乾燥等の公知の乾燥方法が使用できる。
また、印刷層とヒートシール層との間に紙又はプラスチックフィルムを少なくとも1層積層することが可能である。プラスチックフィルムとしては、本発明のガスバリア性フィルムに用いられる基材としてのプラスチックフィルムと同様のものが使用できる。中でも、十分な積層体の剛性及び強度を得る観点から、紙、ポリエステル樹脂、ポリアミド樹脂又は生分解性樹脂が好ましい。
Although it does not specifically limit as a printing method for providing a printing layer, Well-known printing methods, such as an offset printing method, a gravure printing method, and a screen printing method, can be used. For drying the solvent after printing, a known drying method such as hot air drying, hot roll drying, or infrared drying can be used.
It is also possible to laminate at least one paper or plastic film between the printing layer and the heat seal layer. As a plastic film, the thing similar to the plastic film as a base material used for the gas barrier film of this invention can be used. Among these, paper, polyester resin, polyamide resin or biodegradable resin is preferable from the viewpoint of obtaining sufficient rigidity and strength of the laminate.

本発明のガスバリア性フィルムにおいては、高度なガスバリア性を発揮し、水蒸気透過率で5×10-3g/m2/day以下、好ましくは2×10-3g/m2/day以下のガスバリア性が得られる。 The gas barrier film of the present invention exhibits high gas barrier properties and has a water vapor transmission rate of 5 × 10 −3 g / m 2 / day or less, preferably 2 × 10 −3 g / m 2 / day or less. Sex is obtained.

<用語の説明>
本明細書において、「X〜Y」(X,Yは任意の数字)と表現する場合は、特にことわらない限り「X以上Y以下」を意味する。
<Explanation of terms>
In the present specification, the expression “X to Y” (X and Y are arbitrary numbers) means “X or more and Y or less” unless otherwise specified.

以下、本発明を実施例により具体的に説明するが、本発明は以下の例に限定されるものではない。なお、以下の実施例におけるフィルムの評価方法は、次の通りである。
なお、上記無機層等の「層」について、以下では「膜」と表記している場合がある。
<水蒸気透過率>
JIS Z0222「防湿包装容器の透湿度試験方法」、JIS Z0208「防湿包装材量の透湿度試験方法(カップ法)」の諸条件に準じ、次の手法で評価した。
透湿面積10.0cm×10.0cm角の各ガスバリア性フィルムを2枚用い、吸湿剤として無水塩化カルシウム約20gを入れ四辺を封じた袋を作製し、その袋を温度40℃相対湿度90%の恒温恒湿装置に入れ、48時間以上間隔で重量増加がほぼ一定になる目安として14日間まで、質量測定(0.1mg単位)し、水蒸気透過率を下記式から算出した。
水蒸気透過率[g/m2/day]=(m/s)/t
m; 試験期間最後2回の秤量間隔の増加質量(g)
s; 透湿面積(m2
t; 試験期間最後2回の秤量間隔の時間(h)/24(h)
EXAMPLES Hereinafter, although an Example demonstrates this invention concretely, this invention is not limited to the following examples. In addition, the evaluation method of the film in the following examples is as follows.
The “layer” such as the inorganic layer may be referred to as “film” below.
<Water vapor transmission rate>
According to the conditions of JIS Z0222 “moisture-proof packaging container moisture permeability test method” and JIS Z0208 “moisture-proof packaging material moisture permeability test method (cup method)”, the following methods were used for evaluation.
Using two gas barrier films each having a moisture permeable area of 10.0 cm × 10.0 cm square, a bag was prepared in which about 20 g of anhydrous calcium chloride was added as a hygroscopic agent and sealed on all sides, and the bag was kept at a temperature of 40 ° C. and a relative humidity of 90%. In a constant temperature and humidity apparatus, mass measurement (in units of 0.1 mg) was performed for up to 14 days as a guideline for the weight increase to be almost constant at intervals of 48 hours or more, and the water vapor transmission rate was calculated from the following formula.
Water vapor transmission rate [g / m 2 / day] = (m / s) / t
m: Mass increase in the last two weighing intervals (g)
s; Moisture permeable area (m 2 )
t: Time of last two weighing intervals (h) / 24 (h)

<ヘイズ値および全光線透過率の測定>
ヘイズメーター(日本電色工業製 HDH2000)を使用し、透過法にてヘイズ値および全光線透過率を求めた。
<Measurement of haze value and total light transmittance>
Using a haze meter (Nippon Denshoku Kogyo HDH2000), the haze value and total light transmittance were determined by the transmission method.

<FTS法により形成された無機層の膜厚の測定及び膜厚の調整>
エポキシ樹脂包埋超薄切片法で試料を調整し、日本電子(株)社製の断面TEM装置(JEM−1200EXII)により加速電圧120KVの条件で測定した。なお、10nm以下のFTS無機層の厚みについては、断面TEM法による測定においても正確な値を得ることは難しいため、同様の成膜条件にて成膜した20nm以上の比較的厚いFTS無機層を、その成膜時間と膜厚から、成膜速度を算出した。以後、その成膜条件での成膜速度から、成膜時間を調整して成膜することにより、無機層の膜厚を調整した。
<Measurement of film thickness of inorganic layer formed by FTS method and adjustment of film thickness>
A sample was prepared by an epoxy resin-embedded ultrathin section method, and measured with a cross-sectional TEM apparatus (JEM-1200EXII) manufactured by JEOL Ltd. under an acceleration voltage of 120 KV. As for the thickness of the FTS inorganic layer of 10 nm or less, it is difficult to obtain an accurate value even in the measurement by the cross-sectional TEM method. Therefore, a relatively thick FTS inorganic layer of 20 nm or more formed under the same film formation conditions is used. The film formation rate was calculated from the film formation time and film thickness. Thereafter, the film thickness of the inorganic layer was adjusted by adjusting the film formation time from the film formation speed under the film formation conditions.

<真空蒸着法(PVD法)により形成された無機層の膜厚の測定>
無機層の膜厚の測定は蛍光X線を用いて行った。この方法は、原子にX線を照射すると、その原子特有の蛍光X線を放射する現象を利用した方法で、放射される蛍光X線強度を測定することにより原子の数(量)を知ることが出来る。具体的には、フィルム上に既知の2種の厚みの薄膜を形成し、それぞれについて放射される特定の蛍光X線強度を測定し、この情報より検量線を作成した。測定試料について同様に蛍光X線強度を測定し、検量線からその膜厚を測定した。
<Measurement of film thickness of inorganic layer formed by vacuum deposition method (PVD method)>
Measurement of the film thickness of the inorganic layer was performed using fluorescent X-rays. This method uses the phenomenon of emitting fluorescent X-rays peculiar to atoms when they are irradiated with X-rays, and knowing the number (amount) of atoms by measuring the intensity of emitted fluorescent X-rays. I can do it. Specifically, a thin film having two known thicknesses was formed on the film, the specific fluorescent X-ray intensity emitted for each was measured, and a calibration curve was created from this information. Similarly, the fluorescent X-ray intensity was measured for the measurement sample, and the film thickness was measured from the calibration curve.

<化学蒸着法(CVD法)により形成された無機層の膜厚の測定>
エポキシ樹脂包埋超薄切片法で試料を調整し、日本電子(株)社製の断面TEM装置(JEM−1200EXII)により加速電圧120KVの条件で測定した。なお、10nm以下のCVD無機層の厚みについては、断面TEM法による測定においても正確な値を得ることは難しいため、同様の成膜条件にて成膜した20nm以上の比較的厚いCVD無機層を、その成膜時間と膜厚から、成膜速度を算出する。以後、その成膜条件での成膜速度から、成膜時間を調整して成膜することにより、無機層の膜厚を調整した。
<Measurement of film thickness of inorganic layer formed by chemical vapor deposition (CVD)>
A sample was prepared by an epoxy resin-embedded ultrathin section method, and measured with a cross-sectional TEM apparatus (JEM-1200EXII) manufactured by JEOL Ltd. under an acceleration voltage of 120 KV. As for the thickness of the CVD inorganic layer of 10 nm or less, since it is difficult to obtain an accurate value even in the measurement by the cross-sectional TEM method, a relatively thick CVD inorganic layer of 20 nm or more formed under the same film formation conditions is used. The film formation speed is calculated from the film formation time and the film thickness. Thereafter, the film thickness of the inorganic layer was adjusted by adjusting the film formation time from the film formation speed under the film formation conditions.

<CVD無機層の炭素含有量の測定>
サーモフィッシャーサイエンティフィック株式会社製のXPS分析装置K−Alphaを使用し、XPS(X線光電子分光法)により結合エネルギーを測定し、Si2P、C1S、N1S、O1S等に対応するピークの面積から換算することによって元素組成(at.%)を算出した。なお、CVD無機層の炭素含有量は、XPSチャートのCVD無機層の部分の値を読み取ることで評価した。
<Measurement of carbon content of CVD inorganic layer>
Using an XPS analyzer K-Alpha manufactured by Thermo Fisher Scientific Co., Ltd., the binding energy is measured by XPS (X-ray photoelectron spectroscopy), and converted from the peak area corresponding to Si2P, C1S, N1S, O1S, etc. Thus, the elemental composition (at.%) Was calculated. The carbon content of the CVD inorganic layer was evaluated by reading the value of the CVD inorganic layer portion of the XPS chart.

実施例1
基材として、厚さ12μmの二軸延伸ポリエチレンナフタレートフィルム(帝人デュポン製、「Q51C12」)を用い、そのコロナ処理面に、イソシアネート化合物(日本ポリウレタン工業(株)製「コロネートL」)と飽和ポリエステル(東洋紡績(株)製「バイロン300」、数平均分子量23000)とを1:1質量比で配合した混合物を塗布乾燥して厚さ100nmのアンカーコート層を形成した。
次いで、FTS法により、ターゲット Al金属、成膜圧力0.5Pa、電力2200W、周波数100kHz、パルス幅2μsec、Ar流量20sccm、O2流量14sccmの条件で、アンカーコート層上に厚さ100nmのAlOyからなるFTS無機層を形成した。
次いで、同一真空蒸着装置において、真空蒸着装置を使用して2×10-3Paの真空下でSiOを高周波加熱方式で蒸発させ、FTS無機層上に厚さ40nmのSiOxのPVD無機層を形成した。次いで、同一真空蒸着装置において、圧力を大気圧に戻すことなく、HMDSN(ヘキサメチルジシラザン)と窒素およびArガスをモル比1:7:7の比率で導入し、0.4Paの真空下でプラズマとし無機層面上にCVD無機層(SiOCN(酸化炭化窒化珪素))を形成した(厚さ17nm)。なお、CVD無機層の炭素含有量は、15at.%であった。
Example 1
A biaxially stretched polyethylene naphthalate film (made by Teijin DuPont, “Q51C12”) having a thickness of 12 μm is used as a base material, and the corona-treated surface is saturated with an isocyanate compound (“Coronate L” manufactured by Nippon Polyurethane Industry Co., Ltd.). An anchor coat layer having a thickness of 100 nm was formed by applying and drying a mixture of polyester (“Byron 300” manufactured by Toyobo Co., Ltd., number average molecular weight 23000) in a 1: 1 mass ratio.
Next, from the target Al metal, film forming pressure 0.5 Pa, power 2200 W, frequency 100 kHz, pulse width 2 μsec, Ar flow rate 20 sccm, O 2 flow rate 14 sccm, the anchor coating layer is formed of 100 nm thick AlOy by FTS method. An FTS inorganic layer was formed.
Next, in the same vacuum deposition apparatus, SiO is evaporated by a high frequency heating method under a vacuum of 2 × 10 −3 Pa using the vacuum deposition apparatus to form a 40 nm thick SiOx PVD inorganic layer on the FTS inorganic layer. did. Next, in the same vacuum deposition apparatus, without returning the pressure to atmospheric pressure, HMDSN (hexamethyldisilazane), nitrogen and Ar gas were introduced at a molar ratio of 1: 7: 7, and under a vacuum of 0.4 Pa. A CVD inorganic layer (SiOCN (silicon oxycarbonitride)) was formed on the surface of the inorganic layer as plasma (thickness 17 nm). The carbon content of the CVD inorganic layer is 15 at. %Met.

次いで、同一真空蒸着装置において、圧力を大気圧に戻すことなく、2×10-3Paの真空下でSiOを高周波加熱方式で蒸発させ、CVD無機層上に厚さ40nmのSiOxのPVD無機層を形成した。更に、得られたフィルムのPVD無機層側に、ウレタン系接着剤(東洋モートン社製「AD900」と「CAT−RT85」とを10:1.5の割合で配合)を塗布、乾燥し、厚さ約3μmの接着樹脂層を形成し、この接着樹脂層上に、厚さ60μmの未延伸ポリプロピレンフィルム(東洋紡績(株)製「パイレンフィルム−CT P1146」)をラミネートし、積層フィルムを得た。得られた積層フィルムについて、前記の評価を行った。結果を表1に示す。 Next, in the same vacuum deposition apparatus, SiO is evaporated by a high-frequency heating method under a vacuum of 2 × 10 −3 Pa without returning the pressure to atmospheric pressure, and a SiOD PVD inorganic layer having a thickness of 40 nm is formed on the CVD inorganic layer. Formed. Further, a urethane-based adhesive ("AD900" and "CAT-RT85" manufactured by Toyo Morton Co., Ltd. in a ratio of 10: 1.5) was applied to the PVD inorganic layer side of the obtained film, dried, and thickened. An adhesive resin layer having a thickness of about 3 μm was formed, and an unstretched polypropylene film having a thickness of 60 μm (“Pyrene Film-CTP 1146” manufactured by Toyobo Co., Ltd.) was laminated on the adhesive resin layer to obtain a laminated film. . Said evaluation was performed about the obtained laminated | multilayer film. The results are shown in Table 1.

実施例2
実施例1において、FTS無機層を、ターゲット Si金属、成膜圧力0.5Pa、電力1500W、周波数100kHz、パルス幅4μsec、Ar流量100sccm、O2流量2sccm、N2流量100scmの条件で形成した、厚さ10nmのSiONからなるFTS無機層としたこと、及び、CVD無機層の厚さを1nmとしたこと以外は、実施例1と同様にして積層フィルムを作製した。得られた積層フィルムについて、前記の評価を行った。結果を表1に示す。
Example 2
In Example 1, the FTS inorganic layer was formed under the conditions of target Si metal, film forming pressure 0.5 Pa, power 1500 W, frequency 100 kHz, pulse width 4 μsec, Ar flow rate 100 sccm, O 2 flow rate 2 sccm, N 2 flow rate 100 scm. A laminated film was produced in the same manner as in Example 1 except that the FTS inorganic layer was made of SiON having a thickness of 10 nm and the thickness of the CVD inorganic layer was 1 nm. Said evaluation was performed about the obtained laminated | multilayer film. The results are shown in Table 1.

比較例1
実施例1において、FTS無機層を形成しないこと以外は同様にして積層フィルムを作製した。得られた積層フィルムについて、前記の評価を行った。結果を表1に示す。
Comparative Example 1
A laminated film was produced in the same manner as in Example 1 except that the FTS inorganic layer was not formed. Said evaluation was performed about the obtained laminated | multilayer film. The results are shown in Table 1.

比較例2
比較例1において、CVD無機層の厚さを1nmとしたこと以外は、比較例1と同様にして積層フィルムを作製した。得られた積層フィルムについて、前記の評価を行った。結果を表1に示す。
Comparative Example 2
In Comparative Example 1, a laminated film was produced in the same manner as Comparative Example 1 except that the thickness of the CVD inorganic layer was 1 nm. Said evaluation was performed about the obtained laminated | multilayer film. The results are shown in Table 1.

比較例3
比較例2において、CVD無機層上に形成したSiOxのPVD無機層上に、更に同一真空蒸着装置にて2×10-3Paの真空下でSiOを高周波加熱方式で蒸発させ、厚さ40nmのSiOxのPVD無機層を形成した以外は、比較例2と同様にして積層フィルムを作製した。得られた積層フィルムについて、前記の評価を行った。結果を表1に示す。
Comparative Example 3
In Comparative Example 2, on the SiOx PVD inorganic layer formed on the CVD inorganic layer, SiO was further evaporated by a high-frequency heating method under a vacuum of 2 × 10 −3 Pa using the same vacuum deposition apparatus, and the thickness was 40 nm. A laminated film was produced in the same manner as in Comparative Example 2 except that a PVD inorganic layer of SiOx was formed. Said evaluation was performed about the obtained laminated | multilayer film. The results are shown in Table 1.

比較例4
比較例2において、CVD無機層の代わりに、FTS法にて、ターゲット Si金属、成膜圧力0.5Pa、電力1500W、周波数100kHz、パルス幅4μsec、Ar流量100sccm、O2流量2sccm、N2流量100scmの条件で厚さ10nmのSiONからなるFTS無機層を形成した以外は、比較例2と同様にして積層フィルムを作製した。得られた積層フィルムについて、前記の評価を行った。結果を表1に示す。
Comparative Example 4
In Comparative Example 2, instead of the CVD inorganic layer, the target Si metal, the film forming pressure 0.5 Pa, the power 1500 W, the frequency 100 kHz, the pulse width 4 μsec, the Ar flow rate 100 sccm, the O 2 flow rate 2 sccm, and the N 2 flow rate are used. A laminated film was produced in the same manner as in Comparative Example 2 except that an FTS inorganic layer made of SiON having a thickness of 10 nm was formed under the condition of 100 scm. Said evaluation was performed about the obtained laminated | multilayer film. The results are shown in Table 1.

Figure 2013253319
Figure 2013253319

本発明のガスバリア性フィルムは、水蒸気や酸素等の各種ガスの遮断を必要とする物品の包装、例えば、食品や医薬品等の包装材料や、電子デバイス等のパッケージ材料、太陽電池や電子ペーパー、有機ELデバイス等の材料や保護フィルムとして好適に使用できる。また、本発明のガスバリア性フィルムは、生産性がよく、工業生産が可能である。   The gas barrier film of the present invention can be used for packaging articles that require blocking of various gases such as water vapor and oxygen, for example, packaging materials for foods and pharmaceuticals, packaging materials for electronic devices, solar cells, electronic paper, organic It can be suitably used as a material for an EL device or a protective film. Moreover, the gas barrier film of the present invention has good productivity and can be industrially produced.

1.基材
2,3.ターゲット
4.電極(陽極)
5.電極(陰極)
1. Base materials 2,3. Target 4. Electrode (Anode)
5. Electrode (cathode)

Claims (17)

基材の少なくとも一方の面に、対向ターゲットスパッタ法により形成した無機層、真空蒸着法により形成した無機層、化学蒸着法により形成した無機層及び真空蒸着法により形成した無機層をこの順で有するガスバリア性フィルム。   On at least one surface of the substrate, an inorganic layer formed by a counter target sputtering method, an inorganic layer formed by a vacuum deposition method, an inorganic layer formed by a chemical vapor deposition method, and an inorganic layer formed by a vacuum deposition method are provided in this order. Gas barrier film. 前記化学蒸着法がプラズマCVD法である請求項1に記載のガスバリア性フィルム。   The gas barrier film according to claim 1, wherein the chemical vapor deposition method is a plasma CVD method. 前記真空蒸着法により形成した無機層の膜厚が0.1nm以上、500nm以下である請求項1又は2に記載のガスバリア性フィルム。   The gas barrier film according to claim 1 or 2, wherein the inorganic layer formed by the vacuum deposition method has a thickness of 0.1 nm or more and 500 nm or less. 前記化学蒸着法により形成した無機層の炭素含有量が0.5at.%以上、20at.%以下であり、かつ前記化学蒸着法により形成した膜厚が20nm以下である請求項1〜3のいずれかに記載のガスバリア性フィルム。   The carbon content of the inorganic layer formed by the chemical vapor deposition method is 0.5 at. % Or more, 20 at. The gas barrier film according to any one of claims 1 to 3, wherein the film thickness is 20 nm or less formed by the chemical vapor deposition method. 前記対向ターゲットスパッタ法により形成した無機層の厚さが0.1nm以上、500nm以下である請求項1〜4のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 4, wherein the inorganic layer formed by the facing target sputtering method has a thickness of 0.1 nm to 500 nm. 前記化学蒸着法により形成した無機層が2層以上からなる、請求項1〜5のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 5, wherein the inorganic layer formed by the chemical vapor deposition method comprises two or more layers. 前記対向ターゲットスパッタ法により形成された無機層が、典型金属あるいは3d遷移金属と酸素及び/又は窒素を含有する化合物からなる、請求項1〜6のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 6, wherein the inorganic layer formed by the facing target sputtering method is made of a compound containing a typical metal or a 3d transition metal and oxygen and / or nitrogen. 前記対向ターゲットスパッタ法により形成された無機層が、酸素及び/又は窒素を含有する珪素化合物、酸化アルミニウム又は酸化亜鉛からなる、請求項1〜6のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 6, wherein the inorganic layer formed by the facing target sputtering method is made of a silicon compound containing oxygen and / or nitrogen, aluminum oxide, or zinc oxide. 真空蒸着法により形成した無機層の少なくとも1層が酸化珪素からなる、請求項1〜8のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 8, wherein at least one of the inorganic layers formed by vacuum deposition is made of silicon oxide. 基材と対向ターゲットスパッタ法により形成した無機層との間に、アンカーコート層が形成されている、請求項1〜9のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 9, wherein an anchor coat layer is formed between the substrate and the inorganic layer formed by a counter target sputtering method. 基材が透明高分子フィルムである、請求項1〜10のいずれかに記載のガスバリア性フィルム。   The gas barrier film according to any one of claims 1 to 10, wherein the substrate is a transparent polymer film. 請求項1〜11のいずれかに記載のガスバリア性フィルムから構成される電子ペーパー用保護フィルム。   The protective film for electronic paper comprised from the gas barrier film in any one of Claims 1-11. 請求項1〜11のいずれかに記載のガスバリア性フィルムから構成される太陽電池用保護フィルム。   The protective film for solar cells comprised from the gas barrier film in any one of Claims 1-11. 請求項1〜11のいずれかに記載のガスバリア性フィルムから構成される有機ELデバイス用保護フィルム   The protective film for organic EL devices comprised from the gas barrier film in any one of Claims 1-11 基材の少なくとも一方の面に、対向ターゲットスパッタ法による無機層、真空蒸着法による無機層、化学蒸着法による無機層及び真空蒸着法による無機層をこの順で形成するガスバリア性フィルムの製造方法であって、前記対向ターゲットスパッタ法による層の形成を1×10-2Pa以上10Pa以下の減圧下で行い、前記真空蒸着法による無機層の形成を1×10-7Pa以上1Pa以下の減圧下で行い、前記化学蒸着法による無機層の形成を1×10-2Pa以上10Pa以下の減圧下で行う、ガスバリア性フィルムの製造方法。 In a gas barrier film manufacturing method, an inorganic layer formed by facing target sputtering, an inorganic layer formed by vacuum deposition, an inorganic layer formed by chemical vapor deposition, and an inorganic layer formed by vacuum deposition are formed in this order on at least one surface of the substrate. Then, the layer formation by the facing target sputtering method is performed under a reduced pressure of 1 × 10 −2 Pa to 10 Pa and the inorganic layer is formed by the vacuum deposition method under a reduced pressure of 1 × 10 −7 Pa and 1 Pa or less. The method for producing a gas barrier film, wherein the formation of the inorganic layer by chemical vapor deposition is performed under reduced pressure of 1 × 10 −2 Pa to 10 Pa. 対向ターゲットスパッタ法による無機層の形成、真空蒸着法による無機層の形成、化学蒸着法による無機層の形成及び真空蒸着法による無機層の形成を、減圧下同一真空装置内で連続して行う請求項15に記載のガスバリア性フィルムの製造方法。   Forming an inorganic layer by facing target sputtering, forming an inorganic layer by vacuum vapor deposition, forming an inorganic layer by chemical vapor deposition, and forming an inorganic layer by vacuum vapor deposition in a single vacuum apparatus under reduced pressure. Item 16. A method for producing a gas barrier film according to Item 15. 前記ガスバリア性フィルムが、請求項2〜11のいずれかに記載のものである、請求項15又は16に記載のガスバリア性フィルムの製造方法。   The method for producing a gas barrier film according to claim 15 or 16, wherein the gas barrier film is one according to any one of claims 2 to 11.
JP2013098511A 2012-05-09 2013-05-08 Gas barrier film and method for producing the same Pending JP2013253319A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2013098511A JP2013253319A (en) 2012-05-09 2013-05-08 Gas barrier film and method for producing the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012108049 2012-05-09
JP2012108049 2012-05-09
JP2013098511A JP2013253319A (en) 2012-05-09 2013-05-08 Gas barrier film and method for producing the same

Publications (1)

Publication Number Publication Date
JP2013253319A true JP2013253319A (en) 2013-12-19

Family

ID=49951070

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013098511A Pending JP2013253319A (en) 2012-05-09 2013-05-08 Gas barrier film and method for producing the same

Country Status (1)

Country Link
JP (1) JP2013253319A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015160404A (en) * 2014-02-28 2015-09-07 大日本印刷株式会社 Gas barrier film and production method of the same
KR20170038824A (en) 2014-08-04 2017-04-07 제이엑스 에네루기 가부시키가이샤 Method for manufacturing member having irregular pattern
JP2017103221A (en) * 2015-11-19 2017-06-08 大日本印刷株式会社 Battery-packaging material, and battery
KR20190028000A (en) * 2017-09-08 2019-03-18 (주) 유니플라텍 Barrier film of multi-layer thin nano composite using cesium tungsten oxide
WO2020203279A1 (en) * 2019-04-03 2020-10-08 竹本容器株式会社 Resin-made packaging container having composite silicon oxide film or composite metal oxide film, and method for manufacturing same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015160404A (en) * 2014-02-28 2015-09-07 大日本印刷株式会社 Gas barrier film and production method of the same
KR20170038824A (en) 2014-08-04 2017-04-07 제이엑스 에네루기 가부시키가이샤 Method for manufacturing member having irregular pattern
JP2017103221A (en) * 2015-11-19 2017-06-08 大日本印刷株式会社 Battery-packaging material, and battery
KR20190028000A (en) * 2017-09-08 2019-03-18 (주) 유니플라텍 Barrier film of multi-layer thin nano composite using cesium tungsten oxide
KR102014756B1 (en) * 2017-09-08 2019-08-27 (주) 유니플라텍 Barrier film of multi-layer thin nano composite using cesium tungsten oxide
WO2020203279A1 (en) * 2019-04-03 2020-10-08 竹本容器株式会社 Resin-made packaging container having composite silicon oxide film or composite metal oxide film, and method for manufacturing same

Similar Documents

Publication Publication Date Title
JP5889281B2 (en) Barrier vapor deposition film
JP5899044B2 (en) Gas barrier film
WO2013147119A1 (en) Gas-barrier film and process for producing same, and gas-barrier laminate
JP5919260B2 (en) Gas barrier laminated film and method for producing the same
JP2013253319A (en) Gas barrier film and method for producing the same
JP2013234365A (en) Method for producing gas barrier film
TWI691412B (en) Air-resistive laminate, method for manufacturing the same, element for electronic device, and electronic device
JP5919259B2 (en) Gas barrier laminated film and method for producing the same
JPWO2012060424A1 (en) Gas barrier laminated film
WO2013168739A1 (en) Gas barrier film and method for producing same
JP2013234364A (en) Method for producing gas barrier film
JP2013226773A (en) Gas barrier film
JP6171542B2 (en) Gas barrier film and method for producing gas barrier film
JP2013233744A (en) Gas barrier film and method of manufacturing the same
JP2013233746A (en) Gas barrier film and method for producing the same
JP2013234366A (en) Method for producing gas barrier film
JP2013233705A (en) Gas barrier film
JP2013252701A (en) Gas barrier film and method for manufacturing the same
JP2015226995A (en) Gas barrier laminated film
JP2013233658A (en) Gas barrier film
JP2013176957A (en) Gas barrier film
JP5982904B2 (en) Gas barrier laminate film and method for producing gas barrier laminate film
JP2013233745A (en) Gas barrier film and method for producing the same
JP2013233743A (en) Method of producing gas barrier film
JP2013233747A (en) Gas barrier type film