JP2013003167A - Method for forming pattern - Google Patents

Method for forming pattern Download PDF

Info

Publication number
JP2013003167A
JP2013003167A JP2011130597A JP2011130597A JP2013003167A JP 2013003167 A JP2013003167 A JP 2013003167A JP 2011130597 A JP2011130597 A JP 2011130597A JP 2011130597 A JP2011130597 A JP 2011130597A JP 2013003167 A JP2013003167 A JP 2013003167A
Authority
JP
Japan
Prior art keywords
group
forming method
pattern forming
acid
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011130597A
Other languages
Japanese (ja)
Inventor
Jun Hatakeyama
畠山  潤
Masaki Ohashi
正樹 大橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2011130597A priority Critical patent/JP2013003167A/en
Publication of JP2013003167A publication Critical patent/JP2013003167A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a method for forming a pattern, by which PEB can be carried out in a short time, resulting in improvement in throughput and reduction in an acid diffusion distance, and thereby, improvement in resolution can be achieved.SOLUTION: The method for forming a pattern comprises: forming an underlay film that absorbs light in a wavelength range from 600 to 2000 nm on a substrate to be processed; forming a photoresist film on the underlay film; exposing the photoresist film; then carrying out poste exposure baking (PEB) by irradiating the film with light at a wavelength of 600 to 2000 nm to heat; and then developing the film to form a pattern.

Description

本発明は、フォトレジスト膜を用いたパターン形成方法に関する。   The present invention relates to a pattern forming method using a photoresist film.

半導体およびフォトマスクパターンの微細加工用として化学増幅型レジスト膜が用いられている。化学増幅型レジスト膜は、露光によって酸を発生し、酸触媒による脱保護反応あるいは架橋反応によって現像後にポジ型あるいはネガ型パターンを与えることができる。この酸触媒反応を進行させるためには加熱が必要であり、加熱の方法としてはホットプレート上で被加工基板を加熱する方法が最も簡便で広く用いられている。   Chemically amplified resist films are used for fine processing of semiconductors and photomask patterns. The chemically amplified resist film generates an acid upon exposure, and can give a positive or negative pattern after development by deprotection reaction or crosslinking reaction with an acid catalyst. Heating is necessary to advance the acid-catalyzed reaction, and the heating method is the simplest and widely used method of heating the substrate to be processed on a hot plate.

しかしながら、パターンの微細化の進行と共に、酸の拡散による解像性の低下が問題になっている。酸の拡散を抑えるために、COレーザーから発せられる赤外線をレジスト膜に当てて短時間で加熱する方法が提案されている(非特許文献1)。この方法では加熱が短時間で済むために、反応に寄与する酸の拡散距離を短くすることができる。また、マスク用基板のような厚い基板を加熱しようとすると長時間のベークが必要となる。そこで、ハロゲンランプを用いてレジスト膜を加熱することが提案されている(特許文献1)。ここでは、ハロゲンランプによる加熱温度を赤外センサーで感知し、温度のコントロールを行っている。 However, with the progress of pattern miniaturization, degradation of resolution due to acid diffusion has become a problem. In order to suppress the diffusion of the acid, a method has been proposed in which an infrared ray emitted from a CO 2 laser is applied to the resist film and heated in a short time (Non-Patent Document 1). In this method, since heating can be completed in a short time, the diffusion distance of the acid contributing to the reaction can be shortened. Further, if a thick substrate such as a mask substrate is to be heated, a long baking time is required. Thus, it has been proposed to heat the resist film using a halogen lamp (Patent Document 1). Here, the heating temperature by the halogen lamp is sensed by an infrared sensor to control the temperature.

また、近赤外、赤外線吸収剤を添加したフォトレジスト膜に赤外線を照射してベークを行うネガレジストが提案されている(特許文献2)。   In addition, a negative resist is proposed that performs baking by irradiating infrared rays onto a photoresist film to which a near-infrared or infrared absorber is added (Patent Document 2).

特開2001−274109号JP 2001-274109 A 特開2001−133969号JP 2001-133969 A Proc. SPIE Vol. 7639 p76390L−1 (2010)Proc. SPIE Vol. 7639 p76390L-1 (2010)

しかしながら、近赤外、赤外線の照射によって短時間でベークを行う場合、近赤外、赤外線吸収剤をレジスト膜材料に添加すると解像性が劣化する問題が生じる。また、レジスト膜材料に近赤外、赤外線吸収剤を添加しない場合は、光照射によるレジスト膜温度の上昇速度が遅く酸拡散による解像性の低下が問題となる。膜温度の上昇速度を速くするために光照射エネルギーを高くすることも考えられるが、光照射エネルギーが高すぎると膜内の温度の制御が困難になる。そのため、ポストエクスポジュアーベーク(PEB)において短時間で加熱することのできるパターン形成方法が望まれていた。   However, when baking is performed in a short time by irradiation with near infrared rays or infrared rays, there is a problem that the resolution is deteriorated when a near infrared ray or infrared absorber is added to the resist film material. Further, when no near-infrared and infrared absorbers are added to the resist film material, the rate of increase in the resist film temperature due to light irradiation is slow and there is a problem that the resolution is lowered due to acid diffusion. Although it is conceivable to increase the light irradiation energy in order to increase the film temperature rising speed, if the light irradiation energy is too high, it becomes difficult to control the temperature in the film. Therefore, a pattern forming method that can be heated in a short time in post-exposure baking (PEB) has been desired.

本発明はこのような問題点に鑑みてなされたものであり、波長600〜2000nmの範囲の光を吸収する下層膜を形成し、波長600〜2000nmの光照射を行うことによって下層膜を発熱させ、レジスト膜を短時間で加熱することでPEBを行うことができ、それによりスループットの向上や、酸拡散距離を短くすることができることによる解像性の向上が達成できるパターン形成方法を提供することを目的とする。   The present invention has been made in view of such problems, and forms a lower layer film that absorbs light in the wavelength range of 600 to 2000 nm, and heats the lower layer film by performing light irradiation at a wavelength of 600 to 2000 nm. To provide a pattern formation method that can perform PEB by heating a resist film in a short time, thereby improving throughput and improving resolution by shortening an acid diffusion distance. With the goal.

上記課題を解決するため、本発明では、被加工基板上に波長600〜2000nmの範囲の光を吸収する下層膜を形成し、該下層膜上にフォトレジスト膜を形成し、該フォトレジスト膜を露光した後、波長600〜2000nmの光を照射して加熱することでポストエクスポジュアーベーク(PEB)を行い、その後現像によってパターンを形成することを特徴とするパターン形成方法を提供する。   In order to solve the above problems, in the present invention, a lower layer film that absorbs light in the wavelength range of 600 to 2000 nm is formed on a substrate to be processed, a photoresist film is formed on the lower layer film, and the photoresist film is formed. Provided is a pattern forming method characterized in that after exposure, post-exposure baking (PEB) is performed by irradiating and heating light having a wavelength of 600 to 2000 nm, followed by development to form a pattern.

このように波長600〜2000nmの範囲の光を吸収する下層膜を形成し、波長600〜2000nmの光を照射してPEBを行うことで、光を吸収する下層膜が発熱してフォトレジスト膜の加熱を短時間で行うことができる。これによってスループットの向上や、酸拡散距離を短くすることができることによる解像性の向上が達成できるパターン形成方法となる。また、本発明のパターン形成方法によれば、マスク基板のような厚い被加工基板上に形成されたフォトレジスト膜であっても短時間で加熱を行うことが可能であり、さらに、通常のレジスト膜材料を用いることができるため特許文献2のようなレジスト膜材料の変更による解像性の劣化も生じない。   In this way, by forming a lower layer film that absorbs light in the wavelength range of 600 to 2000 nm, and performing PEB by irradiating light with a wavelength of 600 to 2000 nm, the lower layer film that absorbs light generates heat and the photoresist film Heating can be performed in a short time. As a result, the pattern formation method can achieve an improvement in throughput and an improvement in resolution due to a reduction in the acid diffusion distance. Further, according to the pattern forming method of the present invention, even a photoresist film formed on a thick substrate to be processed such as a mask substrate can be heated in a short time. Since a film material can be used, resolution degradation due to a change in the resist film material as in Patent Document 2 does not occur.

また、前記下層膜として、シアニン系化合物、フタロシアニン系化合物、ジイモニウム塩系化合物、及びアミニウム塩系化合物のうち少なくとも一つを含む下層膜を形成することが好ましい。   Moreover, it is preferable to form a lower layer film including at least one of a cyanine compound, a phthalocyanine compound, a diimonium salt compound, and an aminium salt compound as the lower layer film.

このような化合物を含むことで波長600〜2000nmの範囲の光を一層吸収しやすい下層膜となるため、波長600〜2000nmの光を照射して加熱することで、より短時間でPEBを行うことができる。   By including such a compound, it becomes a lower layer film that more easily absorbs light in the wavelength range of 600 to 2000 nm. Therefore, by performing irradiation with light of wavelength 600 to 2000 nm and heating, PEB can be performed in a shorter time. Can do.

さらに、前記被加工基板上に下層膜材料をスピンコートによって成膜し、その後ベーク中の架橋により固化させて前記下層膜を形成することが好ましい。   Furthermore, it is preferable to form a lower layer film by forming a lower layer film material on the substrate to be processed by spin coating and then solidifying by cross-linking during baking.

これにより、短時間で容易に下層膜を形成することができる。   Thereby, the lower layer film can be easily formed in a short time.

また、前記ポストエクスポジュアーベークは、キセノンランプ、ハロゲンランプを用いて前記波長600〜2000nmの光を照射して加熱することが好ましい。   The post-exposure bake is preferably heated by irradiating with the light having a wavelength of 600 to 2000 nm using a xenon lamp or a halogen lamp.

このような光源を用いることで、波長600〜2000nmの範囲の光を効率よく発生させることができる。   By using such a light source, light in the wavelength range of 600 to 2000 nm can be efficiently generated.

さらに、前記下層膜上に珪素原子を含有する中間層を形成し、該中間層上に前記フォトレジスト膜を形成することが好ましい。   Furthermore, it is preferable that an intermediate layer containing silicon atoms is formed on the lower layer film, and the photoresist film is formed on the intermediate layer.

このように中間層を設けることで、3層レジスト法を行うことも可能となる。   By providing the intermediate layer in this manner, a three-layer resist method can be performed.

また、前記フォトレジスト膜の形成は、露光によって酸を発生させる光酸発生剤を含有している化学増幅型レジスト膜材料、露光によって酸発生剤からスルホン酸、イミド酸、メチド酸から選ばれる1種以上の酸を発生させ、保護基の脱保護反応によって現像液への溶解性が変化する化学増幅型レジスト膜材料、または、ベースポリマーの主鎖に結合した酸発生剤から露光によってスルホン酸を発生させ、保護基の脱保護反応によって現像液への溶解性が変化する化学増幅型レジスト膜材料を用いて行うことが好ましい。   In addition, the formation of the photoresist film is a chemically amplified resist film material containing a photoacid generator that generates an acid by exposure, 1 selected from an acid generator by exposure to sulfonic acid, imide acid, or methide acid. Chemically amplified resist film material that generates more than one kind of acid and changes its solubility in the developer due to the deprotection reaction of the protecting group, or sulfonic acid by exposure from an acid generator bonded to the main chain of the base polymer It is preferable to use a chemically amplified resist film material that is generated and changes in solubility in a developer due to the deprotection reaction of the protecting group.

このような化学増幅型レジスト膜材料を用いたフォトレジスト膜であれば、露光によって酸を発生し、その後、PEBにおける短時間のフォトレジスト膜の加熱により酸触媒反応が進行するので、スループットや、解像性の向上が達成できる。   In the case of a photoresist film using such a chemically amplified resist film material, an acid is generated by exposure, and then an acid-catalyzed reaction proceeds by heating the photoresist film for a short time in PEB. Improvement in resolution can be achieved.

さらに、前記フォトレジスト膜の形成は、下記酸不安定基を有する繰り返し単位(a1)及び(a2)のうち少なくとも1以上の繰り返し単位を有し、重量平均分子量が1,000〜500,000の範囲であり、前記繰返し単位(a1)及び(a2)の共重合比率が0≦a1<1.0、0≦a2<1.0、かつ0.1≦a1+a2<1.0である高分子化合物をベース樹脂とするレジスト膜材料を用いて行うことが好ましい。

Figure 2013003167
(式中、R、Rは水素原子又はメチル基、R、Rは酸不安定基を表す。Rは単結合、又は炭素数1〜6の直鎖状若しくは分岐状のアルキレン基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、Rは水素原子、フッ素原子、トリフルオロメチル基、シアノ基、又は炭素数1〜6の直鎖状、分岐状若しくは環状のアルキル基であり、pは1又は2であり、qは0〜4の整数である。Xは単結合、エステル基、エーテル基若しくはラクトン環を有する炭素数1〜12の連結基、フェニレン基、又はナフチレン基である。Xは単結合、−C(=O)−O−、又は−C(=O)−NH−である。Arは水素原子がR、R及びORにより置換されたフェニル基又はナフチル基である。) Furthermore, the formation of the photoresist film has at least one repeating unit among the repeating units (a1) and (a2) having the following acid labile groups, and has a weight average molecular weight of 1,000 to 500,000. Range, and the copolymerization ratio of the repeating units (a1) and (a2) is 0 ≦ a1 <1.0, 0 ≦ a2 <1.0, and 0.1 ≦ a1 + a2 <1.0 It is preferable to use a resist film material having a base resin.
Figure 2013003167
(In the formula, R 1 and R 3 represent a hydrogen atom or a methyl group, R 2 and R 6 represent an acid labile group. R 4 represents a single bond, or a linear or branched alkylene having 1 to 6 carbon atoms. R 5 may be a carbonyl group, an ester group or an ether group, and R 5 is a hydrogen atom, a fluorine atom, a trifluoromethyl group, a cyano group, or a straight chain, branched or A cyclic alkyl group, p is 1 or 2, and q is an integer of 0 to 4. X 1 is a single bond, an ester group, an ether group, or a linking group having 1 to 12 carbon atoms having a lactone ring, A phenylene group or a naphthylene group, X 2 is a single bond, —C (═O) —O—, or —C (═O) —NH—, wherein Ar is a hydrogen atom represented by R 4 , R 5 and OR; 6 is a phenyl group or a naphthyl group substituted by 6 .

このような酸不安定基を有するレジスト膜材料を用いたフォトレジスト膜であれば、PEBにおける短時間のフォトレジスト膜の加熱により酸触媒反応が好ましく進行するので、スループットや、解像性の向上が達成できる。   In the case of a photoresist film using a resist film material having such an acid labile group, an acid-catalyzed reaction preferably proceeds by heating the photoresist film for a short time in PEB, so that throughput and resolution are improved. Can be achieved.

また、前記フォトレジスト膜の形成は、前記高分子化合物であって、下記スルホニウム塩の繰り返し単位(b1)、(b2)のうち少なくとも1以上の繰り返し単位を有する高分子化合物をベース樹脂とするレジスト膜材料を用いて行うことが好ましい。

Figure 2013003167
(式中、R、R11は水素原子又はメチル基、R、R、R10、R12、R13、R14は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表し、RとR、RとR10、RとR10がそれぞれ結合して環を形成していても良く、R12とR13、R12とR14、R13とR14がそれぞれ結合して環を形成していても良い。Yは単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R15−、又は−C(=O)−Z−R15−である。前記Zは酸素原子又はNH、前記R15は炭素数1〜6の直鎖状、分岐状若しくは環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基、ハロゲン原子又はヒドロキシ基を含んでいてもよい。) In addition, the formation of the photoresist film is a resist using the polymer compound as a base resin, the polymer compound having at least one repeating unit of the following sulfonium salt repeating units (b1) and (b2). It is preferable to use a film material.
Figure 2013003167
(Wherein R 7 and R 11 are a hydrogen atom or a methyl group, R 8 , R 9 , R 10 , R 12 , R 13 , and R 14 are the same or different, linear or branched, having 1 to 12 carbon atoms. Or a cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms or a thiophenyl group, and R 8 R 9 , R 9 and R 10 , R 8 and R 10 may be bonded to form a ring, R 12 and R 13 , R 12 and R 14 , R 13 and R 14 are bonded Y may form a ring, Y is a single bond, methylene group, ethylene group, phenylene group, fluorinated phenylene group, —O—R 15 —, or —C (═O) —Z—R 15 —. in it. the Z is oxygen atom or NH, wherein R 15 is Prime 1-6 linear, branched or cyclic alkylene group, an alkenylene group or a phenylene group, a carbonyl group, an ester group, an ether group, may contain a halogen atom or a hydroxy group.)

このように酸発生剤のスルホン酸がベースポリマーの主鎖に結合している繰り返し単位を有する高分子化合物であれば、酸拡散距離を短くする効果を最大限に発揮でき、解像性が一層向上する。   Thus, a polymer compound having a repeating unit in which the sulfonic acid as the acid generator is bonded to the main chain of the base polymer can maximize the effect of shortening the acid diffusion distance and further improve the resolution. improves.

さらに、前記フォトレジスト膜の露光は、電子ビーム、又は波長3〜15nmの範囲の軟X線を用いて行うことが好ましい。   Further, the exposure of the photoresist film is preferably performed using an electron beam or soft X-rays having a wavelength in the range of 3 to 15 nm.

このような光で露光することにより、高精度のパターンを形成することができる。   By exposing with such light, a highly accurate pattern can be formed.

また、前記フォトレジスト膜の露光は電子ビームを用いて行い、かつ前記被加工基板としてマスクブランクを用いることが好ましい。   The photoresist film is preferably exposed using an electron beam and a mask blank is used as the substrate to be processed.

このような、光で比較的厚いマスクブランクを露光したとしても、本発明により短時間でPEBを行うことができ高精度のパターンを形成することができる。   Even if such a relatively thick mask blank is exposed to light, PEB can be performed in a short time according to the present invention, and a highly accurate pattern can be formed.

以上説明したように、本発明のパターン形成方法であれば、波長600〜2000nmの範囲の光を吸収する下層膜を形成し、波長600〜2000nmの光を照射してPEBを行うことで、光を吸収する下層膜が発熱してフォトレジスト膜の加熱を短時間で行うことができ、短時間でPEBを行うことができる。これによってスループットの向上や、酸拡散距離を短くすることができることによる解像性の向上が達成できるパターン形成方法となる。また、本発明のパターン形成方法によれば、マスク基板のような厚い被加工基板上に形成されたフォトレジスト膜であっても短時間で加熱を行うことが可能であり、さらに、通常のレジスト膜材料を用いることができるためレジスト膜材料中に赤外線吸収剤を添加する場合のようなレジスト膜材料の変更による解像性の劣化も生じないパターン形成方法となる。   As described above, according to the pattern forming method of the present invention, a lower layer film that absorbs light having a wavelength in the range of 600 to 2000 nm is formed, and PEB is performed by irradiating with light having a wavelength of 600 to 2000 nm. The underlayer film that absorbs heat is generated and the photoresist film can be heated in a short time, and PEB can be performed in a short time. As a result, the pattern formation method can achieve an improvement in throughput and an improvement in resolution due to a reduction in the acid diffusion distance. Further, according to the pattern forming method of the present invention, even a photoresist film formed on a thick substrate to be processed such as a mask substrate can be heated in a short time. Since a film material can be used, the pattern forming method does not cause degradation in resolution due to a change in the resist film material, such as when an infrared absorber is added to the resist film material.

本発明のパターン形成方法を示すフロー図である。It is a flowchart which shows the pattern formation method of this invention.

以下、添付の図面を参照しながら本発明のパターン形成方法について詳細に説明するが、本発明はこれらに限定されるものではない。   Hereinafter, the pattern forming method of the present invention will be described in detail with reference to the accompanying drawings, but the present invention is not limited thereto.

前述のように、ポストエクスポジュアーベーク(PEB)において短時間で効率的に加熱することのできるパターン形成方法の開発が望まれていた。   As described above, it has been desired to develop a pattern forming method capable of efficiently heating in a short time in a post-exposure bake (PEB).

本発明者らは、上記課題を達成するため鋭意検討を重ねた結果、波長600〜2000nmの範囲の光を吸収する下層膜を形成し、波長600〜2000nmの光を照射することで、光を吸収する下層膜が発熱してフォトレジスト膜の加熱を短時間で行うことができ、マスク基板のような厚い被加工基板上に形成されたレジスト膜においても短時間でPEBを行うことができることを見出し、これによってスループットの向上や、酸拡散距離を短くすることができることによる解像性の向上が達成できることを見出して、本発明を完成させた。以下、本発明について詳細に説明する。   As a result of intensive studies to achieve the above-mentioned problems, the present inventors formed a lower layer film that absorbs light in the wavelength range of 600 to 2000 nm, and irradiated light with a wavelength of 600 to 2000 nm. The absorption lower layer film generates heat and the photoresist film can be heated in a short time, and PEB can be performed in a short time even in a resist film formed on a thick substrate to be processed such as a mask substrate. The present invention has been completed by finding the headline, and thereby improving the throughput and improving the resolution by shortening the acid diffusion distance. Hereinafter, the present invention will be described in detail.

すなわち、本発明は、被加工基板上に波長600〜2000nmの範囲の光を吸収する下層膜を形成し、該下層膜上にフォトレジスト膜を形成し、該フォトレジスト膜を露光した後、波長600〜2000nmの光を照射して加熱することでポストエクスポジュアーベーク(PEB)を行い、その後現像によってパターンを形成することを特徴とするパターン形成方法である。従って、フォトレジスト膜自体には光吸収剤を添加する必要はない。   That is, the present invention forms a lower layer film that absorbs light in the wavelength range of 600 to 2000 nm on a substrate to be processed, forms a photoresist film on the lower layer film, exposes the photoresist film, In this pattern forming method, post-exposure baking (PEB) is performed by irradiating and heating light of 600 to 2000 nm, followed by development. Therefore, it is not necessary to add a light absorber to the photoresist film itself.

〔下層膜の形成(図1A)〕
本発明では、被加工基板10上に波長600〜2000nmの範囲の光を吸収する下層膜31を形成する。この際に、被加工基板10が被加工層20を有し、該被加工層20上に下層膜31を形成することもできる(図1A)。下層膜の厚さは5〜500nmの範囲が好ましく用いられる。
[Formation of lower layer film (FIG. 1A)]
In the present invention, the lower layer film 31 that absorbs light in the wavelength range of 600 to 2000 nm is formed on the substrate 10 to be processed. At this time, the substrate 10 to be processed has the layer 20 to be processed, and the lower layer film 31 can be formed on the layer 20 to be processed (FIG. 1A). The thickness of the lower layer film is preferably in the range of 5 to 500 nm.

被加工基板上に下層膜材料をスピンコートによって成膜し、その後ベーク中の架橋により固化させて前記下層膜を形成することが好ましい。これにより、短時間で容易に下層膜を形成することができる。下層膜材料成膜(塗布)後のベーク温度は100〜350℃の範囲が好ましく用いられる。ベーク温度が高すぎると近赤外線吸収剤が分解してしまい、光照射による加熱の効率が下がる可能性がある。ベーク温度が低すぎると架橋反応が進行しないことによって、その上に珪素含有中間層を塗布したときにミキシングを起こす可能性がある。従って、近赤外線吸収剤が分解せずに且つ架橋反応が進行する温度範囲内に塗布後のベーク温度を設定することが好ましい。   It is preferable to form a lower layer film by forming a lower layer film material on a substrate to be processed by spin coating and then solidifying by cross-linking during baking. Thereby, the lower layer film can be easily formed in a short time. The baking temperature after forming (coating) the lower layer film material is preferably in the range of 100 to 350 ° C. If the baking temperature is too high, the near-infrared absorber is decomposed, and the efficiency of heating by light irradiation may be reduced. If the baking temperature is too low, the crosslinking reaction does not proceed, so that mixing may occur when a silicon-containing intermediate layer is applied thereon. Therefore, it is preferable to set the baking temperature after coating within a temperature range in which the near-infrared absorber does not decompose and the crosslinking reaction proceeds.

下層膜塗布後のベークを近赤外、赤外線照射による加熱によって行うことができる。この場合、加熱が短時間で行われることにより赤外線吸収剤の熱分解を最小限に抑えることができる。しかしながら、短時間の溶媒の蒸発により突沸が起こり、下層膜にピンホール欠陥が生じないように行うことが好ましい。   Baking after application of the lower layer film can be performed by heating by near infrared or infrared irradiation. In this case, the thermal decomposition of the infrared absorbent can be minimized by heating in a short time. However, it is preferable to carry out such that bumping occurs by evaporation of the solvent for a short time and pinhole defects do not occur in the lower layer film.

本発明のパターン形成方法に用いられる波長600〜2000nmの範囲の光を吸収する下層膜として、シアニン系化合物、フタロシアニン系化合物、ジイモニウム塩系化合物、及びアミニウム塩系化合物(以下、近赤外、赤外線吸収剤ともいう。)のうち少なくとも一つを含む下層膜を形成することが好ましい。このような近赤外、赤外線吸収剤を含むことで波長600〜2000nmの範囲の光を一層吸収しやすい下層膜となるため、波長600〜2000nmの光を照射して加熱することで、より短時間でPEBを行うことができる。   Cyanine compounds, phthalocyanine compounds, diimonium salt compounds, and aminium salt compounds (hereinafter referred to as near infrared and infrared rays) are used as the lower layer film that absorbs light in the wavelength range of 600 to 2000 nm used in the pattern forming method of the present invention. It is also preferable to form a lower layer film including at least one of the absorbent. By including such near-infrared and infrared absorbers, it becomes a lower layer film that can more easily absorb light in the wavelength range of 600 to 2000 nm. PEB can be performed in time.

これらの近赤外、赤外線吸収剤の中でも耐熱性と溶媒溶解性の観点からシアニン系化合物が最も好ましく用いられる。シアニン系化合物としては、具体的には特開2001−133969号中(0014)〜(0019)、特開2008−224926号(0021)〜(0034)、ジイモニウム系化合物およびアミニウム化合物としては特開2011−2552号記載の化合物、フタロシアニン系化合物としては、特開2008−224926号(0037)〜(0051)記載の化合物を用いることができる。   Among these near infrared and infrared absorbers, cyanine compounds are most preferably used from the viewpoint of heat resistance and solvent solubility. Specific examples of the cyanine compound include those described in JP-A Nos. 2001-133969 (0014) to (0019), JP-A 2008-224926 (0021) to (0034), and diimonium compounds and aminium compounds. As the compound described in No.-2552 and the phthalocyanine compound, compounds described in JP-A-2008-224926 (0037) to (0051) can be used.

下層膜としては、特許4220361号、同4252872号、同4355943、同4388429号、同4466854号記載のフェノール系ベース樹脂と架橋剤、熱酸発生剤、溶媒と前述の近赤外、赤外線吸収剤を混合させたものを用いることができる。   As the lower layer film, a phenol base resin described in Patents 4220361, 42522872, 4355543, 4388429, and 4466854, a crosslinking agent, a thermal acid generator, a solvent, and the above-described near infrared and infrared absorbers. What was mixed can be used.

近赤外、赤外線吸収剤の混合比率を高くすると近赤外、赤外線照射による発熱効率が高くなるが、下層膜をマスクにして被加工基板をエッチング加工するときのエッチング耐性が低下する。エッチング耐性と発熱効率とのバランスを取りながら近赤外、赤外線吸収剤の混合比率を最適化する必要があるが、好ましい近赤外、赤外線吸収剤の添加量はベース樹脂100質量部に対して5〜300質量部であり、好ましくは10〜200質量部である。   Increasing the mixing ratio of the near-infrared and infrared absorbers increases the heat generation efficiency by near-infrared and infrared irradiation, but decreases the etching resistance when etching the substrate to be processed using the lower layer film as a mask. Although it is necessary to optimize the mixing ratio of the near infrared and infrared absorbers while balancing the etching resistance and the heat generation efficiency, the preferred addition amount of the near infrared and infrared absorbers is 100 parts by mass of the base resin. It is 5-300 mass parts, Preferably it is 10-200 mass parts.

その他、本発明のパターン形成方法に用いられる下層膜材料には、有機溶剤、界面活性剤等のその他の成分を含有することができる。   In addition, the lower layer film material used in the pattern forming method of the present invention may contain other components such as an organic solvent and a surfactant.

また、下層膜材料に用いられる有機溶剤の具体例としては、特開2008−111103号公報の段落(0144)〜(0145)に記載のシクロヘキサノン、シクロペンタノン、メチル−2−n−アミルケトン、2−ヘプタノン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類及びその混合溶剤が挙げられる。   Specific examples of the organic solvent used for the lower layer film material include cyclohexanone, cyclopentanone, methyl-2-n-amylketone described in paragraphs (0144) to (0145) of JP-A-2008-111103, -Ketones such as heptanone, alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether , Ethers such as propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene Recall monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, etc. Examples include esters, lactones such as γ-butyrolactone, and mixed solvents thereof.

下層膜材料に用いられる界面活性剤の具体例としては特開2008−111103号公報の段落(0165)〜(0166)に記載のものが挙げられる。   Specific examples of the surfactant used for the lower layer film material include those described in paragraphs (0165) to (0166) of JP-A-2008-111103.

なお、被加工基板10としては、シリコン基板、マスク基板が一般的に用いられる。また、被加工層20としては、SiO、SiN、SiON、SiOC、p−Si、α−Si、TiN、WSi、BPSG、SOG、Cr、CrO、CrON、MoSi、低誘電膜及びそのエッチングストッパー膜が挙げられる。 As the substrate 10 to be processed, a silicon substrate or a mask substrate is generally used. As the layer to be processed 20, SiO 2, SiN, SiON , SiOC, p-Si, α-Si, TiN, WSi, BPSG, SOG, Cr, CrO, CrON, MoSi, low dielectric films and etching stopper film Is mentioned.

〔中間層の形成(図1B)〕
本発明では、前記下層膜31上に珪素原子を含有する中間層32を形成し、該中間層32上に前記フォトレジスト膜を形成することが好ましい。このように中間層を設けることで、3層レジスト法も行うことができる。
[Formation of Intermediate Layer (FIG. 1B)]
In the present invention, it is preferable to form an intermediate layer 32 containing silicon atoms on the lower layer film 31 and to form the photoresist film on the intermediate layer 32. By providing the intermediate layer in this way, a three-layer resist method can also be performed.

珪素原子を含有する中間層のベーク温度も下層膜内の近赤外、赤外線吸収剤の分解が生じない温度範囲内で行うことが好ましく、100〜300℃の範囲が好ましい。また、珪素原子を含有する中間層の膜厚としては2〜80nmの範囲が好ましく用いられる。   The baking temperature of the intermediate layer containing silicon atoms is preferably within a temperature range in which the near-infrared and infrared absorbers in the lower layer film are not decomposed, and is preferably in the range of 100 to 300 ° C. Further, the film thickness of the intermediate layer containing silicon atoms is preferably in the range of 2 to 80 nm.

〔フォトレジスト膜の形成(図1C)〕
本発明では、下層膜31上にフォトレジスト膜33を形成する。また、中間層32を形成したときは、該中間層32上に前記フォトレジスト膜33を形成することができる。レジスト膜材料の塗布後、ベークを行いレジスト膜を作製する。レジスト膜材料塗布後のべーク温度は50〜150℃の範囲が好ましく用いられる。また、フォトレジスト膜の膜厚としては膜厚2〜500nmの範囲が好ましく用いられる。このほか、更にレジスト膜の上に帯電防止膜を作製することもできる。以下、本発明のパターン形成方法において用いることのできるレジスト膜材料について説明するが、本発明はこれに限られず、また一又は二以上のレジスト膜材料を合わせて用いることもできる。
[Formation of photoresist film (FIG. 1C)]
In the present invention, a photoresist film 33 is formed on the lower layer film 31. Further, when the intermediate layer 32 is formed, the photoresist film 33 can be formed on the intermediate layer 32. After application of the resist film material, baking is performed to produce a resist film. The baking temperature after application of the resist film material is preferably in the range of 50 to 150 ° C. The thickness of the photoresist film is preferably in the range of 2 to 500 nm. In addition, an antistatic film can be formed on the resist film. Hereinafter, although the resist film material which can be used in the pattern formation method of this invention is demonstrated, this invention is not limited to this, Moreover, 1 or 2 or more resist film material can also be used together.

本発明のパターン形成方法に用いられるレジスト膜材料としてはポジ型でもネガ型でも良いが、化学増幅型レジスト膜材料であることが好ましい。化学増幅型レジスト膜材料としては、露光によって酸を発生させる光酸発生剤を含有している化学増幅型レジスト膜材料、露光によって酸発生剤からスルホン酸、イミド酸、メチド酸から選ばれる1種以上の酸を発生させ、保護基の脱保護反応によって現像液への溶解性が変化する化学増幅型レジスト膜材料、または、ベースポリマーの主鎖に結合した酸発生剤から露光によってスルホン酸を発生させ、保護基の脱保護反応によって現像液への溶解性が変化する化学増幅型レジスト膜材料を用いて行うことが好ましい。このような化学増幅型レジスト膜材料を用いたフォトレジスト膜であれば、露光によって酸を発生じ、その後、PEBにおける短時間のフォトレジスト膜の加熱により酸触媒反応が進行するので、スループットや、解像性の向上が達成できる。   The resist film material used in the pattern forming method of the present invention may be positive or negative, but is preferably a chemically amplified resist film material. As the chemically amplified resist film material, a chemically amplified resist film material containing a photoacid generator that generates acid upon exposure, one kind selected from sulfonic acid, imide acid, and methide acid from an acid generator upon exposure. Generates the above acids and generates sulfonic acid by exposure from chemically amplified resist film materials whose solubility in the developer changes due to the deprotection reaction of the protecting group, or from the acid generator bonded to the main chain of the base polymer It is preferable to use a chemically amplified resist film material whose solubility in the developer changes due to the deprotection reaction of the protecting group. In the case of a photoresist film using such a chemically amplified resist film material, acid is generated by exposure, and then an acid-catalyzed reaction proceeds by heating the photoresist film for a short time in PEB. Improvement in resolution can be achieved.

また、ポジ型レジスト膜材料とネガ型レジスト膜材料の中では、下記酸不安定基を有する繰り返し単位(a1)及び(a2)のうち少なくとも1以上の繰り返し単位を有し、重量平均分子量が1,000〜500,000の範囲であり、前記繰返し単位(a1)及び(a2)の共重合比率が0≦a1<1.0、0≦a2<1.0、かつ0.1≦a1+a2<1.0である高分子化合物をベース樹脂とするレジスト膜材料(ポジ型レジスト)を好ましく用いることができる。このような酸不安定基を有するレジスト膜材料を用いたフォトレジスト膜であれば、PEBにおける短時間のフォトレジスト膜の加熱により酸触媒反応が好ましく進行するので、スループットや、解像性の向上が達成できる。

Figure 2013003167
(式中、R、Rは水素原子又はメチル基、R、Rは酸不安定基を表す。Rは単結合、又は炭素数1〜6の直鎖状若しくは分岐状のアルキレン基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、Rは水素原子、フッ素原子、トリフルオロメチル基、シアノ基、又は炭素数1〜6の直鎖状、分岐状若しくは環状のアルキル基であり、pは1又は2であり、qは0〜4の整数である。X1は単結合、エステル基、エーテル基若しくはラクトン環を有する炭素数1〜12の連結基、フェニレン基、又はナフチレン基である。X2は単結合、−C(=O)−O−、又は−C(=O)−NH−である。Arは水素原子がR、R及びORにより置換されたフェニル基又はナフチル基である。) Further, in the positive resist film material and the negative resist film material, it has at least one repeating unit among the following repeating units (a1) and (a2) having an acid labile group, and has a weight average molecular weight of 1. The copolymerization ratio of the repeating units (a1) and (a2) is 0 ≦ a1 <1.0, 0 ≦ a2 <1.0, and 0.1 ≦ a1 + a2 <1. A resist film material (positive resist) having a polymer compound of 0.0 as a base resin can be preferably used. In the case of a photoresist film using a resist film material having such an acid labile group, an acid-catalyzed reaction preferably proceeds by heating the photoresist film for a short time in PEB, so that throughput and resolution are improved. Can be achieved.
Figure 2013003167
(In the formula, R 1 and R 3 represent a hydrogen atom or a methyl group, R 2 and R 6 represent an acid labile group. R 4 represents a single bond, or a linear or branched alkylene having 1 to 6 carbon atoms. R 5 may be a carbonyl group, an ester group or an ether group, and R 5 is a hydrogen atom, a fluorine atom, a trifluoromethyl group, a cyano group, or a straight chain, branched or A cyclic alkyl group, p is 1 or 2, q is an integer of 0 to 4. X 1 is a single bond, an ester group, an ether group, or a linking group having 1 to 12 carbon atoms having a lactone ring, A phenylene group or a naphthylene group, X 2 is a single bond, —C (═O) —O—, or —C (═O) —NH—, wherein Ar is a hydrogen atom represented by R 4 , R 5 and OR; 6 is a phenyl group or a naphthyl group substituted by 6 .

また、化学増幅型レジスト膜材料は、ベースポリマーに光酸発生剤を添加する形態とすることもできるが、本発明のパターン形成方法によって酸拡散距離を短くする効果を最大限に発揮させるには、酸発生剤のスルホン酸が主鎖に結合している構造のものを好ましく用いることができる。例えば、前記繰り返し単位(a1)及び(a2)のうち少なくとも1以上の繰り返し単位を有する高分子化合物であって、下記スルホニウム塩の繰り返し単位(b1)、(b2)のうち少なくとも1以上の繰り返し単位を有する高分子化合物をベース樹脂とするレジスト膜材料を用いることができる。

Figure 2013003167
(式中、R、R11は水素原子又はメチル基、R、R、R10、R12、R13、R14は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表し、RとR、RとR10、RとR10がそれぞれ結合して環を形成していても良く、R12とR13、R12とR14、R13とR14がそれぞれ結合して環を形成していても良い。Yは単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R15−、又は−C(=O)−Z−R15−である。前記Zは酸素原子又はNH、前記R15は炭素数1〜6の直鎖状、分岐状若しくは環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基、ハロゲン原子又はヒドロキシ基を含んでいてもよい。) In addition, the chemically amplified resist film material may be in a form in which a photoacid generator is added to the base polymer, but in order to maximize the effect of shortening the acid diffusion distance by the pattern forming method of the present invention. The acid generator having a structure in which the sulfonic acid as the acid generator is bonded to the main chain can be preferably used. For example, a polymer compound having at least one repeating unit among the repeating units (a1) and (a2), wherein at least one repeating unit of the following sulfonium salt repeating units (b1) and (b2) A resist film material containing a high molecular compound having a base resin as a base resin can be used.
Figure 2013003167
(Wherein R 7 and R 11 are a hydrogen atom or a methyl group, R 8 , R 9 , R 10 , R 12 , R 13 , and R 14 are the same or different, linear or branched, having 1 to 12 carbon atoms. Or a cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms or a thiophenyl group, and R 8 R 9 , R 9 and R 10 , R 8 and R 10 may be bonded to form a ring, R 12 and R 13 , R 12 and R 14 , R 13 and R 14 are bonded Y may form a ring, Y is a single bond, methylene group, ethylene group, phenylene group, fluorinated phenylene group, —O—R 15 —, or —C (═O) —Z—R 15 —. in it. the Z is oxygen atom or NH, wherein R 15 is Prime 1-6 linear, branched or cyclic alkylene group, an alkenylene group or a phenylene group, a carbonyl group, an ester group, an ether group, may contain a halogen atom or a hydroxy group.)

以下、上記繰返し単位(a1)〜(b2)及びその他含めることのできる繰返し単位(c)及び(d)について例示する。上記繰り返し単位(a1)を得るためのモノマーとしては下記に示すメタクリレートあるいはアクリレートモノマーである。

Figure 2013003167
(R、R、Xは前述の通りである。) Hereinafter, the repeating units (a1) to (b2) and other repeating units (c) and (d) that can be included will be exemplified. The monomer for obtaining the repeating unit (a1) is a methacrylate or acrylate monomer shown below.
Figure 2013003167
(R 1 , R 2 and X 1 are as described above.)

前記繰り返し単位(a1)を得るためのモノマーは具体的には以下に示される。

Figure 2013003167
Specific examples of the monomer for obtaining the repeating unit (a1) are shown below.
Figure 2013003167

前記繰り返し単位(a2)を得るためのモノマーは具体的には以下に示される。

Figure 2013003167
The monomer for obtaining the repeating unit (a2) is specifically shown below.
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

上記繰返し単位(a1)、(a2)中、R、Rで示される酸不安定基は種々選定されるが、特開2011−039315号中の(0032)〜(0058)記載のものを用いることができる。 In the repeating units (a1) and (a2), various acid labile groups represented by R 2 and R 6 are selected, but those described in (0032) to (0058) of JP-A No. 2011-039315. Can be used.

上記酸発生剤を有する繰返し単位(b1)を得るためのモノマーとしては、特開2011−039315号中の(0060)に、上記酸発生剤を有する繰返し単位(b2)を得るためのモノマーとしては同2011−039315号中の(0063)記載のモノマーを使うことができる。   As a monomer for obtaining the repeating unit (b1) having the acid generator, as a monomer for obtaining the repeating unit (b2) having the acid generator described in JP-A-2011-039315 (0060) Monomers described in (0063) of JP 2011-039315 A can be used.

具体的には酸発生剤を有する繰返し単位(b1)としては下記のものが挙げられる。

Figure 2013003167
Specific examples of the repeating unit (b1) having an acid generator include the following.
Figure 2013003167

具体的には酸発生剤を有する繰返し単位(b2)としては下記のものが挙げられる。

Figure 2013003167
Specific examples of the repeating unit (b2) having an acid generator include the following.
Figure 2013003167

上記酸不安定基を有する繰り返し単位(a1)、(a2)、酸発生剤を有する繰り返し単位(b1)、(b2)に加えてラクトン環、カーボネート基、チオカーボネート基、カルボニル基、環状アセタール基、直鎖エーテル基、分岐エーテル基、環状エーテル基、シアノ基、ヒドロキシ基、カルボキシル基、−O−C(=O)−G−、アジド基、スルホ基、スルホンアミド基から選ばれる密着性基を有する繰り返し単位(c)を共重合することができる(Gは硫黄原子、NHである。)。繰り返し単位(c)は具体的には下記に例示される。なお、フェノール性水酸基は、モノマーの段階では酸不安定基やアシル基で保護しておき、重合後に酸やアルカリ水溶液で脱保護してヒドロキシ基にしても良い。

Figure 2013003167
In addition to the repeating units (a1) and (a2) having an acid labile group and the repeating units (b1) and (b2) having an acid generator, a lactone ring, a carbonate group, a thiocarbonate group, a carbonyl group, and a cyclic acetal group , A linear ether group, a branched ether group, a cyclic ether group, a cyano group, a hydroxy group, a carboxyl group, an —O—C (═O) —G—, an azide group, a sulfo group, and a sulfonamide group. Can be copolymerized (G is a sulfur atom, NH). The repeating unit (c) is specifically exemplified below. The phenolic hydroxyl group may be protected with an acid labile group or an acyl group at the monomer stage, and may be deprotected with an acid or aqueous alkali solution after polymerization to form a hydroxy group.
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

更に、エッチング耐性を向上するために、レジスト膜材料のベース樹脂となる高分子化合物はスチレン、ビニルクリセン、ビニルナフタセン、ビニルペンタセン、ビニルアセナフテン、インデン、アセナフチレン、クマリン、クロモン、無水マレイン酸、マレイミド、ビニルカルバゾール等の繰り返し単位(d)を有することもできる。   Furthermore, in order to improve etching resistance, the polymer compound that is the base resin of the resist film material is styrene, vinyl chrysene, vinyl naphthacene, vinyl pentacene, vinyl acenaphthene, indene, acenaphthylene, coumarin, chromone, maleic anhydride, It can also have repeating units (d) such as maleimide and vinylcarbazole.

本発明のパターン形成方法に用いられるレジスト膜材料のベース樹脂となる高分子化合物は、ゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量が1,000〜500,000、特に2,000〜30,000であることが好ましい。重量平均分子量が1,000以上であればレジスト材料現像後の熱架橋における架橋効率がよく、500,000以下であればアルカリ溶解性が低下し、パターン形成後に裾引き現象が生じにくくなる。   The polymer compound serving as the base resin for the resist film material used in the pattern forming method of the present invention has a polystyrene-reduced weight average molecular weight of 1,000 to 500,000, particularly 2,000 to 4,000, as determined by gel permeation chromatography (GPC). 30,000 is preferred. If the weight average molecular weight is 1,000 or more, the crosslinking efficiency in the thermal crosslinking after developing the resist material is good, and if it is 500,000 or less, the alkali solubility is lowered, and the trailing phenomenon is less likely to occur after pattern formation.

更に、本発明のパターン形成方法に用いられるレジスト膜材料のベース樹脂となる高分子化合物においては、分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために露光後、パターン上に異物が見られたり、パターンの形状が悪化したりするおそれがある。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト膜材料を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。また、高分子化合物の共重合比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドすることも可能である。   Furthermore, in the high molecular compound used as the base resin of the resist film material used in the pattern forming method of the present invention, when the molecular weight distribution (Mw / Mn) is wide, low molecular weight or high molecular weight polymers exist. There is a risk that foreign matter is seen on the pattern or the shape of the pattern is deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, a multi-component copolymer to be used is used to obtain a resist film material suitably used for fine pattern dimensions. The molecular weight distribution of is preferably 1.0 to 2.0, particularly 1.0 to 1.5, and is narrowly dispersed. It is also possible to blend two or more polymers having different copolymerization ratios, molecular weight distributions, and molecular weights of the polymer compounds.

これら高分子化合物を合成するには、1つの方法としては繰り返し単位(a1)、(a2)、(b1)、(b2)、(c)、(d)を得るための不飽和結合を有するモノマーを有機溶剤中、ラジカル開始剤を加えて加熱重合を行う方法があり、これにより高分子化合物を得ることができる。重合時に使用する有機溶剤としては、トルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。酸不安定基は、モノマーに導入されたものをそのまま用いてもよいし、重合後保護化あるいは部分保護化してもよい。   One method for synthesizing these polymer compounds is a monomer having an unsaturated bond for obtaining repeating units (a1), (a2), (b1), (b2), (c), and (d). There is a method in which a radical initiator is added in an organic solvent to carry out heat polymerization, whereby a polymer compound can be obtained. Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours. As the acid labile group, those introduced into the monomer may be used as they are, or they may be protected or partially protected after polymerization.

このようにして合成される高分子化合物の共重合比率としては、0≦a1<1.0、0≦a2<1.0、0.1<a1+a2<1.0、0≦b1<1.0、0≦b2<1.0、0<b1+b2<1.0、0<c<1.0、0≦d<1.0、かつa1+a2+b1+b2+c+d=1.0を満たすことが好ましく、0≦a1≦0.8、0≦a2≦0.8、0.1≦a1+a2≦0.8、0≦b1≦0.4、0≦b2≦0.4、0.01≦b1+b2≦0.4、0.1≦c≦0.8、0≦d≦0.5、かつa1+a2+b1+b2+c+d=1.0を満たすことがより好ましい。   The copolymerization ratio of the polymer compound synthesized in this way is as follows: 0 ≦ a1 <1.0, 0 ≦ a2 <1.0, 0.1 <a1 + a2 <1.0, 0 ≦ b1 <1.0. 0 ≦ b2 <1.0, 0 <b1 + b2 <1.0, 0 <c <1.0, 0 ≦ d <1.0, and a1 + a2 + b1 + b2 + c + d = 1.0, preferably 0 ≦ a1 ≦ 0 .8, 0 ≦ a2 ≦ 0.8, 0.1 ≦ a1 + a2 ≦ 0.8, 0 ≦ b1 ≦ 0.4, 0 ≦ b2 ≦ 0.4, 0.01 ≦ b1 + b2 ≦ 0.4, 0.1 More preferably, ≦ c ≦ 0.8, 0 ≦ d ≦ 0.5, and a1 + a2 + b1 + b2 + c + d = 1.0 are satisfied.

その他、本発明のパターン形成方法に用いられるレジスト膜材料には、有機溶剤、高エネルギー線に感応して酸を発生する化合物(酸発生剤)、必要に応じて溶解制御剤、塩基性化合物、界面活性剤等のその他の成分を含有することができる。   In addition, the resist film material used in the pattern forming method of the present invention includes an organic solvent, a compound that generates an acid in response to high energy rays (acid generator), a dissolution controller, a basic compound, if necessary, Other components such as a surfactant can be contained.

本発明のパターン形成方法に用いられるレジスト膜材料は、特に化学増幅ポジ型レジスト膜材料として機能させるために繰返し単位(b1)又は(b2)を有する高分子化合物に結合した酸発生剤を用いることが好ましいが、ベースポリマーに結合した酸発生剤を含有していない場合は添加型の酸発生剤を含んでもよく、例えば活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。この場合、光酸発生剤の配合量はベース樹脂100質量部に対し0.5〜30質量部、特に1〜20質量部とすることが好ましい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。これらは単独であるいは2種以上混合して用いることができる。   The resist film material used in the pattern forming method of the present invention uses an acid generator bonded to a polymer compound having a repeating unit (b1) or (b2), in order to function as a chemically amplified positive resist film material. However, when it does not contain an acid generator bonded to the base polymer, it may contain an additive type acid generator, for example, a compound that generates an acid in response to actinic rays or radiation (photoacid generator) It may contain. In this case, the compounding amount of the photoacid generator is preferably 0.5 to 30 parts by mass, particularly 1 to 20 parts by mass with respect to 100 parts by mass of the base resin. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. These can be used alone or in admixture of two or more.

酸発生剤の具体例としては、特開2008−111103号公報の段落(0122)〜(0142)に記載されている。上記酸発生剤を有する繰り返し単位(b1)、(b2)を有する高分子化合物の場合は、必ずしも酸発生剤は添加しなくてもよい。   Specific examples of the acid generator are described in paragraphs (0122) to (0142) of JP2008-111103A. In the case of the polymer compound having the repeating units (b1) and (b2) having the acid generator, the acid generator is not necessarily added.

レジスト膜材料に用いられる有機溶剤の具体例としては、特開2008−111103号公報の段落(0144)〜(0145)に記載のシクロヘキサノン、シクロペンタノン、メチル−2−n−アミルケトン、2−ヘプタノン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類及びその混合溶剤が挙げられる。   Specific examples of the organic solvent used for the resist film material include cyclohexanone, cyclopentanone, methyl-2-n-amyl ketone, and 2-heptanone described in paragraphs (0144) to (0145) of JP-A-2008-111103. Ketones such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, alcohols such as 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene Glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, ethers such as diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene group Cole monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, etc. Examples include esters, lactones such as γ-butyrolactone, and mixed solvents thereof.

レジスト膜材料として用いられる塩基性化合物としては第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド誘導体、イミド誘導体等が挙げられる。   Basic compounds used as resist film materials include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, Examples thereof include nitrogen-containing compounds having a sulfonyl group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, and the like.

具体的には塩基性化合物としては、特開2008−111103号公報の段落(0146)〜(0164)、界面活性剤は段落(0165)〜(0166)、溶解制御剤としては特開2008−122932号公報の段落(0155)〜(0178)、アセチレンアルコール類は段落(0179)〜(0182)に記載されている材料を用いることができる。   Specifically, as basic compounds, paragraphs (0146) to (0164) of JP-A-2008-111103, surfactants as paragraphs (0165) to (0166), and as dissolution control agents, JP-A-2008-122932. The materials described in paragraphs (0155) to (0178) and acetylene alcohols in paragraphs (0179) to (0182) can be used.

〔露光(図1D)〕
本発明では、上記フォトレジスト膜33を露光(図中矢印)する。露光は、波長300nm以下の高エネルギー線、具体的にはKrF、ArF、EUV、EBを用いることができ、特に電子ビーム、又は波長3〜15nmの範囲の軟X線を用いて行うことがより好ましい。このような光で露光することにより、高精度のパターンを形成することができる。また、前記フォトレジスト膜の露光は電子ビームを用いて行い、かつ前記被加工基板としてマスクブランクを用いることが好ましい。このような、光で厚いマスクブランクを露光したとしても、短時間でPEBを行うことができ高精度のパターンを形成することができる。
[Exposure (FIG. 1D)]
In the present invention, the photoresist film 33 is exposed (arrow in the figure). For the exposure, high energy rays having a wavelength of 300 nm or less, specifically KrF, ArF, EUV, EB can be used, and in particular, electron beam or soft X-ray having a wavelength in the range of 3 to 15 nm is more preferably used. preferable. By exposing with such light, a highly accurate pattern can be formed. The photoresist film is preferably exposed using an electron beam and a mask blank is used as the substrate to be processed. Even if such a thick mask blank is exposed to light, PEB can be performed in a short time and a highly accurate pattern can be formed.

〔ポストエクスポジュアーベーク(図1E)〕
本発明では、波長600〜2000nmの光を照射(図中矢印)して加熱することでポストエクスポジュアーベーク(PEB)を行う。この光照射は400nm以下の波長を含まないことが好ましい。400nm以下の波長を含んでいるとレジストが感光して現像後にレジスト膜が溶解する可能性がある。そのため400nm以下の波長をカットするために、フィルターを用いたり、ガラス板をランプの出口に装着したりすることができる。
[Post-exposure bake (Figure 1E)]
In the present invention, post-exposure baking (PEB) is performed by irradiating light with a wavelength of 600 to 2000 nm (arrow in the figure) and heating. This light irradiation preferably does not include a wavelength of 400 nm or less. If the wavelength is 400 nm or less, the resist may be exposed to light and the resist film may be dissolved after development. Therefore, in order to cut a wavelength of 400 nm or less, a filter can be used, or a glass plate can be attached to the outlet of the lamp.

光照射はレーザーやランプによって行うことができ、広い面積を一括で照射できるランプを好ましく用いることができる。特に、ポストエクスポジュアーベークは、キセノンランプ、ハロゲンランプを用いて波長600〜2000nmの光を照射して加熱することが好ましい。このような光源を用いることで、波長600〜2000nmの範囲の光を効率よく発生させることができる。このPEBで、フォトレジストのみならず下層膜にも600〜2000nmの光が照射されることになる。   Light irradiation can be performed with a laser or a lamp, and a lamp capable of irradiating a large area at once can be preferably used. In particular, the post-exposure bake is preferably heated by irradiation with light having a wavelength of 600 to 2000 nm using a xenon lamp or a halogen lamp. By using such a light source, light in the wavelength range of 600 to 2000 nm can be efficiently generated. With this PEB, not only the photoresist but also the lower layer film is irradiated with light of 600 to 2000 nm.

ランプの出力は10W〜10000Wの範囲が好ましく、10000W以下の出力であれば適度な昇温速度で制御することができ、10W以上の出力であれば光照射により十分に加熱ができる。照射時間は0.01〜100秒の範囲である。照射時間が短いほど酸拡散距離を短くできるが照射エネルギーの均一性が低下する。照射する方向は、レジスト膜の方向からでも基板面方向からでも良い。   The output of the lamp is preferably in the range of 10 W to 10000 W. If the output is 10000 W or less, the lamp can be controlled at an appropriate temperature increase rate. If the output is 10 W or more, it can be sufficiently heated by light irradiation. The irradiation time is in the range of 0.01 to 100 seconds. The shorter the irradiation time, the shorter the acid diffusion distance, but the uniformity of irradiation energy decreases. The direction of irradiation may be from the direction of the resist film or from the direction of the substrate surface.

ランプ照射時のフォトレジスト膜表面を放射温度計によって測定し、ランプ照射エネルギーや照射時間を調整することもできる。ランプは長時間使用すると照度が低下してきたり、放射開口面への付着物によって光強度が低下したりするので、光照射時のベーク温度が低下する。ベーク温度を均一に保つために、放射温度計によってフォトレジスト膜表面を常にモニターしてランプ照射エネルギーや照射時間を調整することが好ましい。   The surface of the photoresist film during lamp irradiation can be measured with a radiation thermometer to adjust the lamp irradiation energy and irradiation time. When the lamp is used for a long time, the illuminance decreases or the light intensity decreases due to the deposits on the radiation opening surface, so the baking temperature during light irradiation decreases. In order to keep the baking temperature uniform, it is preferable to constantly monitor the photoresist film surface with a radiation thermometer to adjust the lamp irradiation energy and irradiation time.

このように波長600〜2000nmの範囲の光を吸収する下層膜を形成し、波長600〜2000nmの光を照射することで、光を吸収する下層膜が発熱することによりフォトレジスト膜の加熱を短時間で行うことができ、PEBを行うことができる。これによってスループットの向上や、酸拡散距離を短くすることができることによる解像性の向上が達成できるパターン形成方法となる。さらに、フォトレジストとしては、赤外線吸収剤などの添加がされていない通常のレジストを用いることができるため解像性の劣化がない。また、本発明のパターン形成方法によれば、マスク基板のような厚い被加工基板上に形成されたフォトレジスト膜であっても短時間で加熱を行うことができる。   In this way, a lower layer film that absorbs light in the wavelength range of 600 to 2000 nm is formed, and irradiation of light with a wavelength of 600 to 2000 nm causes heat generation of the lower layer film that absorbs light, thereby shortening the heating of the photoresist film. It can be done in time and PEB can be done. As a result, the pattern formation method can achieve an improvement in throughput and an improvement in resolution due to a reduction in the acid diffusion distance. Further, as the photoresist, a normal resist to which no infrared absorber or the like is added can be used, so that there is no deterioration in resolution. Further, according to the pattern forming method of the present invention, even a photoresist film formed on a thick substrate such as a mask substrate can be heated in a short time.

〔現像(図1F)〕
最後に、本発明では、現像によってパターンを形成する。例えば、0.1〜5質量%、好ましくは2〜3質量%テトラメチルアンモニウムヒドロキシド(TMAH)等のアルカリ水溶液、あるいは有機溶剤の現像液を用い、0.1〜3分間、好ましくは0.5〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより被加工基板上に目的のパターンが形成される。
[Development (Fig. 1F)]
Finally, in the present invention, a pattern is formed by development. For example, 0.1 to 5% by weight, preferably 2-3% by weight Alkaline aqueous solution such as tetramethylammonium hydroxide (TMAH) or an organic solvent developer is used for 0.1 to 3 minutes, preferably 0. The target pattern is formed on the substrate to be processed by developing by a conventional method such as a dip method, a paddle method, or a spray method for 5 to 2 minutes.

〔エッチング転写(図1G〜I)〕
また、本発明は現像後、フォトレジスト膜33のパターンをマスクにして中間層32をエッチングし、中間層32をマスクにして下層膜31をエッチングし、下層膜31をマスクにして被加工層20をエッチングすることで、フォトレジストのパターンを被加工基板に転写する3層レジスト法とすることができる。
[Etching transfer (FIGS. 1G to I)]
In the present invention, after development, the intermediate layer 32 is etched using the pattern of the photoresist film 33 as a mask, the lower layer film 31 is etched using the intermediate layer 32 as a mask, and the processed layer 20 using the lower layer film 31 as a mask. Is a three-layer resist method in which a photoresist pattern is transferred to a substrate to be processed.

以下、実施例及び比較例を示して本発明を具体的に説明するが、本発明は下記実施例等に制限されるものではない。なお、重量平均分子量(Mw)、分散度(Mw/Mn)はゲルパーミエーションクロマトグラフ(GPC)の測定によりポリスチレン換算重量平均分子量を求め、高分子化合物の組成はH−NMRの測定によって求めた。 EXAMPLES Hereinafter, although an Example and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example etc. The weight average molecular weight (Mw) and dispersity (Mw / Mn) are determined by gel permeation chromatograph (GPC) to determine the polystyrene equivalent weight average molecular weight, and the composition of the polymer compound is determined by 1 H-NMR measurement. It was.

〔レジスト膜材料の組成〕
以下に示されるレジストポリマー(レジストポリマー1〜5)、クエンチャー、住友3M製界面活性剤;FC−4430、有機溶剤;PGMEA(プロピレングリコールモノメチルエーテルアセテート)及びCyH(シクロヘキサノン)を表1の組成で混合し、0.2μmのテフロン(登録商標)フィルターで濾過したレジスト膜材料1〜5及び比較レジスト膜材料1を調製した。ここで、比較レジスト膜材料1のみダイを含むものとした。
[Composition of resist film material]
Resist polymers (resist polymers 1 to 5), quenchers, surfactants manufactured by Sumitomo 3M; FC-4430, organic solvents; PGMEA (propylene glycol monomethyl ether acetate) and CyH (cyclohexanone) shown in Table 1 below. Resist film materials 1 to 5 and comparative resist film material 1 which were mixed and filtered through a 0.2 μm Teflon (registered trademark) filter were prepared. Here, only the comparative resist film material 1 includes a die.

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

〔下層膜材料の組成〕
以下に示される下層膜ポリマー(下層膜ポリマー1〜3)、熱酸発生剤1、架橋剤1、ダイ化合物(シアニンダイ1、ジイモニウム塩ダイ1、フタロシアニンダイ1、アミニウム塩ダイ1)、住友3M製界面活性剤;FC−4430、有機溶剤;シクロペンタノン及びγブチロラクトンを表2の組成で混合し、0.2μmのテフロン(登録商標)フィルターで濾過した下層膜材料1〜6及び比較下層膜材料1を調製した。ここで、比較下層膜材料1のみダイを含まず、波長600〜2000nmの光を吸収しないものであった。また、下層膜材料1〜6はそれぞれダイを含み波長600〜2000nmの光を吸収するものであった。
[Composition of lower layer film material]
Underlayer film polymers (underlayer film polymers 1 to 3), thermal acid generator 1, crosslinker 1, die compound (cyanine die 1, diimonium salt die 1, phthalocyanine die 1, aminium salt die 1), manufactured by Sumitomo 3M, shown below Surfactant; FC-4430, organic solvent; cyclopentanone and γ-butyrolactone mixed in the composition shown in Table 2 and filtered through a 0.2 μm Teflon (registered trademark) filter and lower layer film materials 1 and 6 1 was prepared. Here, only the comparative lower layer film material 1 does not include a die and does not absorb light having a wavelength of 600 to 2000 nm. The lower layer film materials 1 to 6 each include a die and absorb light having a wavelength of 600 to 2000 nm.

Figure 2013003167
Figure 2013003167

Figure 2013003167
Figure 2013003167

〔パターン形成(実施例1〜7、比較例1〜3)〕
表2に示した各下層膜材料を、スパッタリングによって厚み30nmのCr膜が形成された6025石英マスク基板に塗布し、200℃で300秒間ベークし、厚さ150nmの下層膜を作製した。その上に信越化学社製珪素含有中間層材料SHB−A940L35を塗布し、200℃で300秒間ベークして厚さ35nmの珪素含有中間層を形成した。
[Pattern formation (Examples 1-7, Comparative Examples 1-3)]
Each lower layer material shown in Table 2 was applied to a 6025 quartz mask substrate on which a Cr film having a thickness of 30 nm was formed by sputtering, and baked at 200 ° C. for 300 seconds to produce a lower layer film having a thickness of 150 nm. A silicon-containing intermediate layer material SHB-A940L35 manufactured by Shin-Etsu Chemical Co., Ltd. was applied thereon, and baked at 200 ° C. for 300 seconds to form a silicon-containing intermediate layer having a thickness of 35 nm.

次に、表1に示したポジ型のレジスト膜材料を上記石英マスク基板上にスピンコートし、ホットプレート上で110℃、300秒間プリベークして100nmのフォトレジスト膜を作製した。これに、(株)日立製作所製HL−800Dを用いてHV電圧50keVで真空チャンバー内で電子ビーム(EB)にて露光し、パターンを描画した。   Next, the positive resist film material shown in Table 1 was spin-coated on the quartz mask substrate, and pre-baked on a hot plate at 110 ° C. for 300 seconds to produce a 100 nm photoresist film. This was exposed with an electron beam (EB) in a vacuum chamber at an HV voltage of 50 keV using an HL-800D manufactured by Hitachi, Ltd. to draw a pattern.

露光後、400Wのキセノンランプを用いて波長600〜2000nmの光を、フォトレジスト膜の上方から表3に記載する時間照射してポストエクスポージャベーク(PEB)を行った。その後、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。なお、比較例3では描画後光照射を行わずに、90℃で300秒間ベークを行った。   After the exposure, post-exposure baking (PEB) was performed by irradiating light having a wavelength of 600 to 2000 nm from the upper side of the photoresist film for the time shown in Table 3 using a 400 W xenon lamp. Thereafter, paddle development was performed for 30 seconds with a 2.38 mass% TMAH aqueous solution to obtain a positive pattern. In Comparative Example 3, baking was performed at 90 ° C. for 300 seconds without performing light irradiation after drawing.

得られたレジストパターンについて、120nmのラインアンドスペースを1:1で解像する露光量における、最小の寸法を解像度とした。レジスト膜材料、下層膜材料、波長600〜2000nmの光の照射時間、電子ビーム露光における感度、解像度の結果を表3に示す。   With respect to the obtained resist pattern, the minimum dimension at the exposure amount for resolving 120 nm line and space at 1: 1 was defined as the resolution. Table 3 shows the results of resist film material, lower layer film material, irradiation time of light having a wavelength of 600 to 2000 nm, sensitivity in electron beam exposure, and resolution.

Figure 2013003167
Figure 2013003167

以上より、波長600〜2000nmの光を吸収するレジスト膜材料と吸収しない下層膜材料を用いた比較例1及び比較例2では、波長600〜2000nmの光を照射してPEBをおこなっても解像度が悪いことが分かる。特に、比較例1に示されるように、波長600〜2000nmの光の照射時間が短いと感度が悪く解像度が最も悪くなり、比較例2に示されるように、照射時間を長くすると感度は改善するが、照射時間延長に伴いスループットの低下や、酸拡散距離が長くなることに由来する解像性の悪化が生じることが示された。一方で、本発明のパターン形成方法であれば、波長600〜2000nmの光の照射時間が短くとも、感度、解像度ともに良好となることが示された。   As described above, in Comparative Example 1 and Comparative Example 2 using a resist film material that absorbs light having a wavelength of 600 to 2000 nm and a lower layer film material that does not absorb light, the resolution is achieved even when PEB is performed by irradiating light having a wavelength of 600 to 2000 nm. I know it ’s bad. In particular, as shown in Comparative Example 1, when the irradiation time of light having a wavelength of 600 to 2000 nm is short, the sensitivity is bad and the resolution is worst, and as shown in Comparative Example 2, the sensitivity is improved when the irradiation time is lengthened. However, it was shown that the throughput decreases and the resolution deteriorates due to the longer acid diffusion distance as the irradiation time is extended. On the other hand, with the pattern forming method of the present invention, it was shown that both sensitivity and resolution are good even if the irradiation time of light with a wavelength of 600 to 2000 nm is short.

以上説明したように、本発明のパターン形成方法であれば、波長600〜2000nmの範囲の光を吸収する下層膜を形成し、波長600〜2000nmの光を照射して加熱することで、マスク基板のような厚い被加工基板上に形成されたレジスト膜においても短時間でPEBを行うことができ、これによってスループットの向上や、酸拡散距離を短くすることができることによる解像性の向上が達成できるパターン形成方法となることが示された。さらに、レジストとしては、通常のレジストを用いることができるため解像性の劣化がない。   As described above, according to the pattern forming method of the present invention, a lower layer film that absorbs light having a wavelength in the range of 600 to 2000 nm is formed, and the mask substrate is irradiated with the light having a wavelength of 600 to 2000 nm and heated. PEB can be performed in a short time even on a resist film formed on a thick substrate to be processed, thereby improving throughput and improving resolution by shortening the acid diffusion distance. It was shown that the pattern forming method can be performed. Furthermore, since a normal resist can be used as the resist, resolution does not deteriorate.

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has any configuration that has substantially the same configuration as the technical idea described in the claims of the present invention and that exhibits the same effects. Are included in the technical scope.

10…被加工基板、
20…被加工層、
31…下層膜、
32…中間層
33…フォトレジスト膜。
10: Substrate to be processed,
20 ... Work layer,
31 ... Under film,
32: Intermediate layer 33: Photoresist film.

Claims (12)

被加工基板上に波長600〜2000nmの範囲の光を吸収する下層膜を形成し、該下層膜上にフォトレジスト膜を形成し、該フォトレジスト膜を露光した後、波長600〜2000nmの光を照射して加熱することでポストエクスポジュアーベーク(PEB)を行い、その後現像によってパターンを形成することを特徴とするパターン形成方法。   A lower layer film that absorbs light in the wavelength range of 600 to 2000 nm is formed on the substrate to be processed, a photoresist film is formed on the lower layer film, the photoresist film is exposed, and then light having a wavelength of 600 to 2000 nm is emitted. A pattern forming method comprising performing post-exposure baking (PEB) by irradiation and heating, and then forming a pattern by development. 前記下層膜として、シアニン系化合物、フタロシアニン系化合物、ジイモニウム塩系化合物、及びアミニウム塩系化合物のうち少なくとも一つを含む下層膜を形成することを特徴とする請求項1に記載のパターン形成方法。   2. The pattern forming method according to claim 1, wherein an underlayer film including at least one of a cyanine compound, a phthalocyanine compound, a diimonium salt compound, and an aminium salt compound is formed as the lower layer film. 前記被加工基板上に下層膜材料をスピンコートによって成膜し、その後ベーク中の架橋により固化させて前記下層膜を形成することを特徴とする請求項1又は請求項2に記載のパターン形成方法。   The pattern forming method according to claim 1, wherein a lower layer film material is formed on the substrate to be processed by spin coating and then solidified by cross-linking during baking to form the lower layer film. . 前記ポストエクスポジュアーベークは、キセノンランプ、ハロゲンランプを用いて前記波長600〜2000nmの光を照射して加熱することで行うことを特徴とする請求項1乃至請求項3のいずれか1項に記載のパターン形成方法。   The post-exposure bake is performed by irradiating and heating the light having a wavelength of 600 to 2000 nm using a xenon lamp or a halogen lamp. The pattern formation method as described. 前記下層膜上に珪素原子を含有する中間層を形成し、該中間層上に前記フォトレジスト膜を形成することを特徴とする請求項1乃至請求項4のいずれか1項に記載のパターン形成方法。   5. The pattern formation according to claim 1, wherein an intermediate layer containing silicon atoms is formed on the lower layer film, and the photoresist film is formed on the intermediate layer. Method. 前記フォトレジスト膜の形成は、露光によって酸を発生させる光酸発生剤を含有している化学増幅型レジスト膜材料を用いて行うことを特徴とする請求項1乃至請求項5のいずれか1項に記載のパターン形成方法。   6. The photoresist film according to claim 1, wherein the photoresist film is formed using a chemically amplified resist film material containing a photoacid generator that generates an acid upon exposure. The pattern forming method according to 1. 前記フォトレジスト膜の形成は、露光によって酸発生剤からスルホン酸、イミド酸、メチド酸から選ばれる1種以上の酸を発生させ、保護基の脱保護反応によって現像液への溶解性が変化する化学増幅型レジスト膜材料を用いて行うことを特徴とする請求項1乃至請求項6のいずれか1項に記載パターン形成方法。   In the formation of the photoresist film, at least one acid selected from sulfonic acid, imide acid, and methide acid is generated from the acid generator by exposure, and the solubility in the developer is changed by the deprotection reaction of the protecting group. The pattern forming method according to claim 1, wherein the pattern forming method is performed using a chemically amplified resist film material. 前記フォトレジスト膜の形成は、ベースポリマーの主鎖に結合した酸発生剤から露光によってスルホン酸を発生させ、保護基の脱保護反応によって現像液への溶解性が変化する化学増幅型レジスト膜材料を用いて行うことを特徴とする請求項1乃至請求項7のいずれか1項に記載パターン形成方法。   Formation of the photoresist film is a chemically amplified resist film material in which sulfonic acid is generated by exposure from an acid generator bonded to the main chain of the base polymer, and the solubility in a developing solution is changed by deprotection of the protecting group. The pattern forming method according to claim 1, wherein the pattern forming method is performed by using the method. 前記フォトレジスト膜の形成は、下記酸不安定基を有する繰り返し単位(a1)及び(a2)のうち少なくとも1以上の繰り返し単位を有し、重量平均分子量が1,000〜500,000の範囲であり、前記繰返し単位(a1)及び(a2)の共重合比率が0≦a1<1.0、0≦a2<1.0、かつ0.1≦a1+a2<1.0である高分子化合物をベース樹脂とするレジスト膜材料を用いて行うことを特徴とする請求項1乃至請求項8のいずれか1項に記載パターン形成方法。
Figure 2013003167
(式中、R、Rは水素原子又はメチル基、R、Rは酸不安定基を表す。Rは単結合、又は炭素数1〜6の直鎖状若しくは分岐状のアルキレン基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、Rは水素原子、フッ素原子、トリフルオロメチル基、シアノ基、又は炭素数1〜6の直鎖状、分岐状若しくは環状のアルキル基であり、pは1又は2であり、qは0〜4の整数である。Xは単結合、エステル基、エーテル基若しくはラクトン環を有する炭素数1〜12の連結基、フェニレン基、又はナフチレン基である。Xは単結合、−C(=O)−O−、又は−C(=O)−NH−である。Arは水素原子がR、R及びORにより置換されたフェニル基又はナフチル基である。)
The formation of the photoresist film has at least one repeating unit of the following repeating units (a1) and (a2) having an acid labile group, and has a weight average molecular weight in the range of 1,000 to 500,000. Based on a polymer compound in which the copolymerization ratio of the repeating units (a1) and (a2) is 0 ≦ a1 <1.0, 0 ≦ a2 <1.0, and 0.1 ≦ a1 + a2 <1.0 The pattern forming method according to claim 1, wherein the pattern forming method is performed using a resist film material that is a resin.
Figure 2013003167
(In the formula, R 1 and R 3 represent a hydrogen atom or a methyl group, R 2 and R 6 represent an acid labile group. R 4 represents a single bond, or a linear or branched alkylene having 1 to 6 carbon atoms. R 5 may be a carbonyl group, an ester group or an ether group, and R 5 is a hydrogen atom, a fluorine atom, a trifluoromethyl group, a cyano group, or a straight chain, branched or A cyclic alkyl group, p is 1 or 2, and q is an integer of 0 to 4. X 1 is a single bond, an ester group, an ether group, or a linking group having 1 to 12 carbon atoms having a lactone ring, A phenylene group or a naphthylene group, X 2 is a single bond, —C (═O) —O—, or —C (═O) —NH—, wherein Ar is a hydrogen atom represented by R 4 , R 5 and OR; 6 is a phenyl group or a naphthyl group substituted by 6 .
前記フォトレジスト膜の形成は、前記高分子化合物であって、下記スルホニウム塩の繰り返し単位(b1)、(b2)のうち少なくとも1以上の繰り返し単位を有する高分子化合物をベース樹脂とするレジスト膜材料を用いて行うことを特徴とする請求項9に記載のパターン形成方法。
Figure 2013003167
(式中、R、R11は水素原子又はメチル基、R、R、R10、R12、R13、R14は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表し、RとR、RとR10、RとR10がそれぞれ結合して環を形成していても良く、R12とR13、R12とR14、R13とR14がそれぞれ結合して環を形成していても良い。Yは単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R15−、又は−C(=O)−Z−R15−である。前記Zは酸素原子又はNH、前記R15は炭素数1〜6の直鎖状、分岐状若しくは環状のアルキレン基、アルケニレン基又はフェニレン基であり、カルボニル基、エステル基、エーテル基、ハロゲン原子又はヒドロキシ基を含んでいてもよい。)
The formation of the photoresist film is a resist film material using the polymer compound as a base resin, the polymer compound having at least one repeating unit of the following sulfonium salt repeating units (b1) and (b2) The pattern forming method according to claim 9, wherein
Figure 2013003167
(Wherein R 7 and R 11 are a hydrogen atom or a methyl group, R 8 , R 9 , R 10 , R 12 , R 13 , and R 14 are the same or different, linear or branched, having 1 to 12 carbon atoms. Or a cyclic alkyl group, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms or a thiophenyl group, and R 8 R 9 , R 9 and R 10 , R 8 and R 10 may be bonded to form a ring, R 12 and R 13 , R 12 and R 14 , R 13 and R 14 are bonded Y may form a ring, Y is a single bond, methylene group, ethylene group, phenylene group, fluorinated phenylene group, —O—R 15 —, or —C (═O) —Z—R 15 —. in it. the Z is oxygen atom or NH, wherein R 15 is Prime 1-6 linear, branched or cyclic alkylene group, an alkenylene group or a phenylene group, a carbonyl group, an ester group, an ether group, may contain a halogen atom or a hydroxy group.)
前記フォトレジスト膜の露光は、電子ビーム、又は波長3〜15nmの範囲の軟X線を用いて行うことを特徴とする請求項1乃至請求項10のいずれか1項に記載のパターン形成方法。   11. The pattern forming method according to claim 1, wherein the exposure of the photoresist film is performed using an electron beam or soft X-rays having a wavelength in a range of 3 to 15 nm. 前記フォトレジスト膜の露光は電子ビームを用いて行い、かつ前記被加工基板としてマスクブランクを用いることを特徴とする請求項11に記載のパターン形成方法。   The pattern forming method according to claim 11, wherein the exposure of the photoresist film is performed using an electron beam, and a mask blank is used as the substrate to be processed.
JP2011130597A 2011-06-10 2011-06-10 Method for forming pattern Pending JP2013003167A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011130597A JP2013003167A (en) 2011-06-10 2011-06-10 Method for forming pattern

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011130597A JP2013003167A (en) 2011-06-10 2011-06-10 Method for forming pattern

Publications (1)

Publication Number Publication Date
JP2013003167A true JP2013003167A (en) 2013-01-07

Family

ID=47671839

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011130597A Pending JP2013003167A (en) 2011-06-10 2011-06-10 Method for forming pattern

Country Status (1)

Country Link
JP (1) JP2013003167A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140091443A (en) * 2013-01-11 2014-07-21 신에쓰 가가꾸 고교 가부시끼가이샤 Sulfonium salt, resist composition and patterning process
JP2014133725A (en) * 2013-01-11 2014-07-24 Shin Etsu Chem Co Ltd Sulfonium salt, resist material and patterning process
WO2015016175A1 (en) * 2013-07-31 2015-02-05 富士フイルム株式会社 Colored composition, cured film, color filter, method for producing color filter, solid-state image sensor, and image display device
JP2017044875A (en) * 2015-08-26 2017-03-02 Jsr株式会社 Radiation-sensitive resin composition, method for forming resist pattern, polymer and compound
JP2017507371A (en) * 2014-02-24 2017-03-16 東京エレクトロン株式会社 Methods and techniques using photosensitive chemically amplified resist chemicals and processes
JP2019101417A (en) * 2017-11-29 2019-06-24 信越化学工業株式会社 Patterning process

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0675374A (en) * 1992-07-02 1994-03-18 Showa Denko Kk Photosetting material and setting method
JPH1083947A (en) * 1996-09-09 1998-03-31 Hitachi Ltd Method and device for forming resist pattern
JP2001133969A (en) * 1999-11-01 2001-05-18 Fuji Photo Film Co Ltd Negative type original plate of planographic printing plate
JP2005532576A (en) * 2002-02-27 2005-10-27 ブルーワー サイエンス アイ エヌ シー. A novel planarization method for multilayer lithography processes
JP2007047580A (en) * 2005-08-11 2007-02-22 Shin Etsu Chem Co Ltd Pattern forming method by multilayer resist method
JP2008133448A (en) * 2006-10-27 2008-06-12 Shin Etsu Chem Co Ltd Sulfonium salt having polymerizable anion and polymer compound, resist material and method for pattern formation
JP2010085971A (en) * 2008-09-05 2010-04-15 Fujifilm Corp Positive resist composition, pattern-forming method using the composition and resin used in the composition

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0675374A (en) * 1992-07-02 1994-03-18 Showa Denko Kk Photosetting material and setting method
JPH1083947A (en) * 1996-09-09 1998-03-31 Hitachi Ltd Method and device for forming resist pattern
JP2001133969A (en) * 1999-11-01 2001-05-18 Fuji Photo Film Co Ltd Negative type original plate of planographic printing plate
JP2005532576A (en) * 2002-02-27 2005-10-27 ブルーワー サイエンス アイ エヌ シー. A novel planarization method for multilayer lithography processes
JP2007047580A (en) * 2005-08-11 2007-02-22 Shin Etsu Chem Co Ltd Pattern forming method by multilayer resist method
JP2008133448A (en) * 2006-10-27 2008-06-12 Shin Etsu Chem Co Ltd Sulfonium salt having polymerizable anion and polymer compound, resist material and method for pattern formation
JP2010085971A (en) * 2008-09-05 2010-04-15 Fujifilm Corp Positive resist composition, pattern-forming method using the composition and resin used in the composition

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101747483B1 (en) 2013-01-11 2017-06-14 신에쓰 가가꾸 고교 가부시끼가이샤 Sulfonium salt, resist composition and patterning process
JP2014133723A (en) * 2013-01-11 2014-07-24 Shin Etsu Chem Co Ltd Sulfonium salt, resist material and patterning process
JP2014133725A (en) * 2013-01-11 2014-07-24 Shin Etsu Chem Co Ltd Sulfonium salt, resist material and patterning process
KR20140091443A (en) * 2013-01-11 2014-07-21 신에쓰 가가꾸 고교 가부시끼가이샤 Sulfonium salt, resist composition and patterning process
KR101695054B1 (en) 2013-01-11 2017-01-10 신에쓰 가가꾸 고교 가부시끼가이샤 Sulfonium salt, resist composition and patterning process
US9122155B2 (en) 2013-01-11 2015-09-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt, resist composition and patterning process
JP2015030742A (en) * 2013-07-31 2015-02-16 富士フイルム株式会社 Coloring composition, cured film, color filter, method for manufacturing color filter, solid state image sensor and image display device
WO2015016175A1 (en) * 2013-07-31 2015-02-05 富士フイルム株式会社 Colored composition, cured film, color filter, method for producing color filter, solid-state image sensor, and image display device
JP2017507371A (en) * 2014-02-24 2017-03-16 東京エレクトロン株式会社 Methods and techniques using photosensitive chemically amplified resist chemicals and processes
JP2020197727A (en) * 2014-02-24 2020-12-10 東京エレクトロン株式会社 Method and technique to use photosensitized chemically amplified resist chemical substance and process
JP7009568B2 (en) 2014-02-24 2022-01-25 東京エレクトロン株式会社 Photosensitivity Chemical Amplification Resist Methods and Techniques Using Chemicals and Processes
JP2017044875A (en) * 2015-08-26 2017-03-02 Jsr株式会社 Radiation-sensitive resin composition, method for forming resist pattern, polymer and compound
JP2019101417A (en) * 2017-11-29 2019-06-24 信越化学工業株式会社 Patterning process
JP7010195B2 (en) 2017-11-29 2022-01-26 信越化学工業株式会社 Pattern formation method

Similar Documents

Publication Publication Date Title
KR102156480B1 (en) Patterning process
JP5313452B2 (en) Coating composition for use with overcoated photoresist
JP5821862B2 (en) Negative resist material and pattern forming method using the same
EP2360526B1 (en) Chemically amplified negative resist composition for E beam or EUV lithography and patterning process
JP4478379B2 (en) Coating composition for use with overcoated photoresist
JP5464131B2 (en) Chemically amplified resist material and pattern forming method using the same
KR101862462B1 (en) Testing process and preparation process of negative resist composition
JP5451962B2 (en) Coating composition for use with overcoated photoresist
TWI417665B (en) Negative resist composition and patterning process
KR101751572B1 (en) Developer and patterning process
JP2013003167A (en) Method for forming pattern
JP4945120B2 (en) Photoresist composition
JP6341109B2 (en) Pattern formation method
JP6729450B2 (en) Polymer, negative resist material, and pattern forming method
KR20220117833A (en) Nagative resist composition and pattern forming process
JP6741540B2 (en) Method for controlling surface properties of substrate
US9057951B2 (en) Chemically amplified photoresist composition and process for its use

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140520

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140630

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141125

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141225

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150331