JP2011171378A - Method and device for etching silicon nitride - Google Patents

Method and device for etching silicon nitride Download PDF

Info

Publication number
JP2011171378A
JP2011171378A JP2010031581A JP2010031581A JP2011171378A JP 2011171378 A JP2011171378 A JP 2011171378A JP 2010031581 A JP2010031581 A JP 2010031581A JP 2010031581 A JP2010031581 A JP 2010031581A JP 2011171378 A JP2011171378 A JP 2011171378A
Authority
JP
Japan
Prior art keywords
etching
silicon nitride
processed
temperature
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010031581A
Other languages
Japanese (ja)
Other versions
JP5476152B2 (en
Inventor
Shunsuke Kunugi
俊介 功刀
Satoshi Mayumi
聡 真弓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sekisui Chemical Co Ltd
Original Assignee
Sekisui Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sekisui Chemical Co Ltd filed Critical Sekisui Chemical Co Ltd
Priority to JP2010031581A priority Critical patent/JP5476152B2/en
Publication of JP2011171378A publication Critical patent/JP2011171378A/en
Application granted granted Critical
Publication of JP5476152B2 publication Critical patent/JP5476152B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

<P>PROBLEM TO BE SOLVED: To etch a silicon nitride film at high speed and to suppress etching of a substrate of silicon nitride while preventing thermal damage to an object to be processed formed by coating the substrate with the silicon nitride film to be etched. <P>SOLUTION: A processing gas including hydrogen fluoride and water is brought into contact with the object 90 to be processed to carry out etching. The etching includes two steps of a first etching step and a second etching step. In the first etching step, the object 90 to be processed is held substantially at room temperature, or preferably at 20 to 30°C. In the second etching step, the object 90 to be processed is held at 50 to 130°C, preferably at 60 to 110°C, or more preferably at 70 to 100°C. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、窒化シリコンをエッチングする方法及び装置に関し、特に、酸化シリコンからなる下地材に被膜された窒化シリコンに適したエッチング方法及び装置に関する。   The present invention relates to a method and apparatus for etching silicon nitride, and more particularly, to an etching method and apparatus suitable for silicon nitride coated on a base material made of silicon oxide.

酸化シリコンや窒化シリコン等のシリコン含有膜をエッチングする方法として、大気圧近傍プラズマを用いたプラズマエッチング方法が知られている(特許文献1〜3等参照)。例えば、CF等のフッ素系ガスに水(HO)を添加し、添加後のガスを大気圧近傍放電にてプラズマ化し、酸化シリコン等のシリコン含有膜に接触させる。上記プラズマ化によりHFが生成される(式1)。また、中間物質としてCOF等が生成される。COFは、ガス中の水と反応してHFに変換される(式2)。このHFが酸化シリコンと反応し、酸化シリコンがエッチングされる(式3)。
CF+2HO → 4HF+CO (式1)
COF+HO → CO+2HF (式2)
SiO+4HF → SiF+2HO (式3)
As a method for etching a silicon-containing film such as silicon oxide or silicon nitride, a plasma etching method using a plasma near atmospheric pressure is known (see Patent Documents 1 to 3, etc.). For example, water (H 2 O) is added to a fluorine-based gas such as CF 4 , and the gas after the addition is turned into plasma by discharge near atmospheric pressure and brought into contact with a silicon-containing film such as silicon oxide. HF is generated by the above plasma formation (Formula 1). In addition, COF 2 or the like is generated as an intermediate substance. COF 2 reacts with water in the gas and is converted to HF (Equation 2). This HF reacts with silicon oxide, and the silicon oxide is etched (formula 3).
CF 4 + 2H 2 O → 4HF + CO 2 (Formula 1)
COF 2 + H 2 O → CO 2 + 2HF (Formula 2)
SiO 2 + 4HF → SiF 4 + 2H 2 O (Formula 3)

被処理膜が窒化シリコン膜の場合、HF含有ガスを接触させることにより、下記のようなエッチング反応が起きる(式4)。
Si+16HF → SiF+((NHSiF) (式4)
When the film to be processed is a silicon nitride film, the following etching reaction occurs by contacting the HF-containing gas (Formula 4).
Si 3 N 4 + 16HF → SiF 4 + ((NH 4 ) 2 SiF 6 ) (Formula 4)

エッチング対象が窒化シリコン膜であり、かつその下地材が酸化シリコンである被処理基板にHF含有ガスを接触させた場合、式4にて示す窒化シリコンのエッチング反応だけでなく、式3にて示す酸化シリコンのエッチング反応も起き得る。したがって、HF含有ガスを用いて、下地の酸化シリコンをエッチングすることなく窒化シリコンをエッチングすることは容易でない。その解決手段として、特許文献3には、被処理基板の温度を90〜200℃にすることが記載されている。被処理基板の温度を上げていくと、酸化シリコンのエッチングレート(エッチング速度)が大きく低下する。しかし、窒化シリコンのエッチングレートは温度依存性があまりない。そのため、上記の温度範囲では、酸化シリコンのエッチングレートより窒化シリコンのエッチングレートが高くなり、高い選択比が得られる。窒化シリコンの選択比は次式で表される。
(窒化シリコンの選択比)=(窒化シリコンのエッチングレート)÷(酸化シリコンのエッチングレート) (式5)
When an HF-containing gas is brought into contact with a substrate to be processed whose etching target is a silicon nitride film and the base material is silicon oxide, not only the etching reaction of silicon nitride represented by Equation 4 but also Equation 3 Silicon oxide etching reactions can also occur. Therefore, it is not easy to etch silicon nitride using HF-containing gas without etching the underlying silicon oxide. As a solution to this problem, Patent Document 3 describes that the temperature of the substrate to be processed is 90 to 200 ° C. As the temperature of the substrate to be processed is raised, the etching rate (etching rate) of silicon oxide is greatly reduced. However, the etching rate of silicon nitride is not very temperature dependent. Therefore, in the above temperature range, the etching rate of silicon nitride is higher than the etching rate of silicon oxide, and a high selectivity can be obtained. The selection ratio of silicon nitride is expressed by the following equation.
(Selection ratio of silicon nitride) = (etching rate of silicon nitride) ÷ (etching rate of silicon oxide) (Formula 5)

特開2000−58508号公報JP 2000-58508 A 特開2002−270575号公報JP 2002-270575 A 特開2005−129662号公報Japanese Patent Laid-Open No. 2005-129662

大気圧プラズマ等にて生成したHFを用いて、酸化シリコンからなる下地材上の窒化シリコン膜をエッチングする場合、特許文献3に記載された手法が有効である。一方、窒化シリコン膜のエッチングによりケイフッ化アンモニウムが生成される(式4)。ケイフッ化アンモニウムはエッチング反応を阻害する。特に窒化シリコンの膜厚が大きい場合、多量のケイフッ化アンモニウム結晶が生成、堆積され、そのケイフッ化アンモニウムがバリア層の役割をするため、エッチング反応がほとんど進まなくなってしまう。ケイフッ化アンモニウムは130℃以上で昇華するため、被処理基板を130℃以上に加熱しながらエッチング処理すれば、窒化シリコンの膜厚が大きくてもエッチング反応を進めることが可能である。しかし、被処理基板が、例えば有機ELパネル用基板、有機高分子材料のフレキシブルディスプレイ基板、有機半導体基板等の耐熱温度が低い基板であったり、フォトレジスト等の熱変性を来す材料が被膜されていたりした場合、加熱温度を130℃以上に設定できるとは限らない。一般的に、これらの基板又は膜材料の加熱可能な温度は130℃以下であり、好ましくは110℃以下であり、より好ましくは100℃以下である。   When etching a silicon nitride film on a base material made of silicon oxide using HF generated by atmospheric pressure plasma or the like, the technique described in Patent Document 3 is effective. On the other hand, ammonium silicofluoride is generated by etching the silicon nitride film (Formula 4). Ammonium silicofluoride inhibits the etching reaction. In particular, when the thickness of silicon nitride is large, a large amount of ammonium silicofluoride crystal is generated and deposited, and the ammonium silicofluoride serves as a barrier layer, so that the etching reaction hardly proceeds. Since ammonium silicofluoride sublimes at 130 ° C. or higher, if the substrate to be processed is etched while being heated to 130 ° C. or higher, the etching reaction can proceed even if the silicon nitride film thickness is large. However, the substrate to be processed is, for example, a substrate having a low heat-resistant temperature such as an organic EL panel substrate, a flexible display substrate made of an organic polymer material, or an organic semiconductor substrate, or a material that causes heat denaturation such as a photoresist. If it does, the heating temperature cannot always be set to 130 ° C. or higher. Generally, the heatable temperature of these substrates or film materials is 130 ° C. or lower, preferably 110 ° C. or lower, more preferably 100 ° C. or lower.

上記課題を解決するため、本発明方法は、酸化シリコンを含有する下地材にエッチング対象の窒化シリコン膜が被膜された被処理物を、フッ化水素及び凝縮性添加成分(水、アルコール(OH基含有化合物)、過酸化水素水等)を含有する処理ガスによってエッチングするエッチング方法であって、
前記被処理物の温度を室温近傍にして、前記処理ガスを前記被処理物に接触させる第1エッチング工程と、
前記第1エッチング工程の後、前記被処理物の温度を50℃〜130℃にして、前記処理ガスを前記被処理物に接触させる第2エッチング工程と、
を実行することを特徴とする。
In order to solve the above-described problems, the method of the present invention is to treat an object to be processed in which a silicon nitride film to be etched is coated on a base material containing silicon oxide, hydrogen fluoride and a condensable additive component (water, alcohol (OH group)). An etching method for etching with a processing gas containing a compound (containing compound), a hydrogen peroxide solution, and the like,
A first etching step of bringing the processing gas into contact with the processing object by bringing the temperature of the processing object close to room temperature;
After the first etching step, a temperature of the object to be processed is set to 50 ° C. to 130 ° C., and a second etching step for bringing the processing gas into contact with the object to be processed;
It is characterized by performing.

処理ガスと被処理物との接触によって、窒化シリコン膜のエッチング反応が起きる(式4)。このとき、反応副生成物としてケイフッ化アンモニウム((NHSiF)が生成される。
第1エッチング工程においては、被処理物の温度を室温近傍にすることにより、窒化シリコン膜のエッチングレートを高くすることができる(図2、図4参照)。更に、処理ガス中の水等の凝縮性添加成分が被処理物の表面上で凝縮し、凝縮層が形成される。ケイフッ化アンモニウムは水等に可溶性であるため、上記凝縮層に上記反応副生成物のケイフッ化アンモニウムが溶解する。したがって、ケイフッ化アンモニウムが固形化して被処理物の表面に堆積するのを防止できる。よって、窒化シリコン膜のエッチング反応が阻害されるのを回避できる。
第2エッチング工程においては、被処理物の温度を50℃〜130℃にすることにより、窒化シリコンの酸化シリコンに対する選択比を十分に大きくすることができる(図2参照)。これにより、下地材のエッチングを抑制しながら、残りの窒化シリコン膜を確実にエッチングすることができる。
被処理物の温度を130℃以下に設定することによって、被処理物の基板が、有機ELパネル用基板、有機高分子材料のフレキシブルディスプレイ基板、有機半導体基板等の低耐熱性基板であったり、或いは、基板上にフォトレジスト等の熱変性ないし低耐熱性材料が被膜されていたりしたとしても、該基板や膜材料の熱損傷や熱変性を防止できる。
The etching reaction of the silicon nitride film occurs due to the contact between the processing gas and the object to be processed (Formula 4). At this time, ammonium silicofluoride ((NH 4 ) 2 SiF 6 ) is generated as a reaction by-product.
In the first etching step, the etching rate of the silicon nitride film can be increased by setting the temperature of the object to be processed to around room temperature (see FIGS. 2 and 4). Furthermore, condensable additive components such as water in the processing gas are condensed on the surface of the object to be processed, and a condensed layer is formed. Since ammonium silicofluoride is soluble in water or the like, the reaction by-product ammonium silicofluoride is dissolved in the condensed layer. Therefore, it is possible to prevent ammonium silicofluoride from solidifying and depositing on the surface of the object to be processed. Therefore, the etching reaction of the silicon nitride film can be prevented from being hindered.
In the second etching step, the temperature of the object to be processed is set to 50 ° C. to 130 ° C., whereby the selection ratio of silicon nitride to silicon oxide can be sufficiently increased (see FIG. 2). Thus, the remaining silicon nitride film can be reliably etched while suppressing etching of the base material.
By setting the temperature of the object to be processed to 130 ° C. or less, the substrate of the object to be processed is a low heat resistant substrate such as an organic EL panel substrate, a flexible display substrate of an organic polymer material, an organic semiconductor substrate, Alternatively, even if the substrate is coated with a heat-denaturing or low heat-resistant material such as a photoresist, the substrate or the film material can be prevented from being thermally damaged or denatured.

前記第1エッチング工程によって前記窒化シリコン膜の大半をエッチングし、前記第2エッチング工程によって前記窒化シリコン膜の残り分をエッチングすることが好ましい。より好ましくは、前記第1エッチング工程によって前記窒化シリコン膜のうち80%〜99%の膜厚分をエッチングし、前記第2エッチング工程によって前記窒化シリコン膜のうち残り1%〜20%の膜厚分をエッチングする。
高速エッチング可能な第1エッチング工程によって窒化シリコン膜の大半、例えば80%〜99%をエッチングすることにより、全体の処理時間を短くすることができる。窒化シリコン膜が例えば1%〜20%程度残っている段階で第1エッチング工程を終えることで、下地材へのダメージを確実に回避できる。
第2エッチング工程では、エッチングすべき窒化シリコン膜の残厚を小さくできるから、ケイフッ化アンモニウムによるエッチングストップが起きないうちに処理を終えることができる。第2エッチング工程でエッチングすべき窒化シリコン膜の残厚は、1μm以下であることが好ましい。これにより、第2エッチング工程のエッチング速度が比較的大きいうちに処理を確実に終えることができる(図3参照)。
It is preferable that most of the silicon nitride film is etched by the first etching step, and the remainder of the silicon nitride film is etched by the second etching step. More preferably, 80% to 99% of the silicon nitride film is etched by the first etching process, and the remaining 1% to 20% of the silicon nitride film is etched by the second etching process. Etch minutes.
The entire processing time can be shortened by etching most of the silicon nitride film, for example, 80% to 99%, by the first etching process capable of high-speed etching. By ending the first etching step when the silicon nitride film remains, for example, about 1% to 20%, damage to the base material can be reliably avoided.
In the second etching step, since the remaining thickness of the silicon nitride film to be etched can be reduced, the processing can be completed before the etching stop by ammonium silicofluoride occurs. The remaining thickness of the silicon nitride film to be etched in the second etching step is preferably 1 μm or less. Thus, the processing can be reliably completed while the etching rate of the second etching process is relatively high (see FIG. 3).

前記第1エッチング工程と前記第2エッチング工程との間に、前記被処理物を洗浄する洗浄工程を介在させることが好ましい。
洗浄によって、残渣状のケイフッ化アンモニウム結晶や、上記凝縮層に溶解したケイフッ化アンモニウムを被処理物の表面から除去できる。上記凝縮層を除去しておくことによって、その後の第2エッチング工程において被処理物の温度を高くしたとき、上記凝縮層が乾燥してケイフッ化アンモニウムが析出するのを回避できる。これによって、第2エッチング工程におけるエッチング反応を進みやすくすることができる(図4参照)。
特に第1エッチング工程でエッチング除去する膜厚が4μm以上ある場合、残渣となるケイフッ化アンモニウムが多量に生成されるため、洗浄工程を介在させることがより好ましい。
It is preferable that a cleaning process for cleaning the object to be processed is interposed between the first etching process and the second etching process.
By washing, residual ammonium silicofluoride crystals and ammonium silicofluoride dissolved in the condensed layer can be removed from the surface of the object to be treated. By removing the condensed layer, it is possible to prevent the condensed layer from drying and depositing ammonium silicofluoride when the temperature of the object to be processed is increased in the subsequent second etching step. As a result, the etching reaction in the second etching step can be facilitated (see FIG. 4).
In particular, when the film thickness to be removed by etching in the first etching step is 4 μm or more, a large amount of ammonium silicofluoride which is a residue is generated, so it is more preferable to interpose a cleaning step.

洗浄方法として、例えば洗浄剤を被処理物に接触させる。洗浄剤は、流体であることが好ましく、ケイフッ化アンモニウムを溶解させ得る液体であることがより好ましい。上記液体として、水、アルコール等が挙げられる。ケイフッ化アンモニウムの溶解性及びコスト等の観点からは、洗浄剤として水を用いることが好ましい。固形のケイフッ化アンモニウム結晶は、水に簡単に溶解させて除去できる。水等の液体洗浄剤をシャワー状にして被処理物にかけてもよく、容器に溜めた液体洗浄剤に被処理物を漬けてもよい。
布やハケ等を用いて被処理物の表面を掃除する等、物理的な手段で洗浄を行なってもよい。
As a cleaning method, for example, a cleaning agent is brought into contact with an object to be processed. The cleaning agent is preferably a fluid, and more preferably a liquid capable of dissolving ammonium silicofluoride. Examples of the liquid include water and alcohol. From the viewpoints of solubility and cost of ammonium silicofluoride, it is preferable to use water as a cleaning agent. Solid ammonium fluorosilicate crystals can be easily dissolved in water and removed. A liquid cleaning agent such as water may be showered and applied to the object to be processed, or the object to be processed may be immersed in the liquid cleaner stored in the container.
You may wash | clean by physical means, such as cleaning the surface of a to-be-processed object using cloth, a brush, etc.

本発明において、前記窒化シリコン膜の初期膜厚は、1μm以上であってもよく、2.5μm以上であってもよい。窒化シリコン膜の初期膜厚が1μm以上であっても、短時間でエッチングできる。更には、窒化シリコン膜の初期膜厚が2.5μm以上であっても確実にエッチングできる。
これに対し、エッチング処理を初めから室温近傍より高温の温度条件下で行なった場合、エッチング量が1μm以上になるとケイフッ化アンモニウムからなるバリア層によってエッチング速度が遅くなる(図3参照)。更には、エッチング量が2.5μm〜2.7μm付近になるとエッチング反応が進まなくなる(図3参照)。
In the present invention, the initial film thickness of the silicon nitride film may be 1 μm or more, or 2.5 μm or more. Even if the initial film thickness of the silicon nitride film is 1 μm or more, it can be etched in a short time. Further, even if the initial film thickness of the silicon nitride film is 2.5 μm or more, the etching can be reliably performed.
On the other hand, when the etching process is performed at a temperature higher than the vicinity of room temperature from the beginning, when the etching amount is 1 μm or more, the etching rate is slowed by the barrier layer made of ammonium silicofluoride (see FIG. 3). Further, the etching reaction does not proceed when the etching amount is in the vicinity of 2.5 μm to 2.7 μm (see FIG. 3).

前記第1エッチング工程における前記被処理物の温度すなわち室温近傍とは、好ましくは10℃〜40℃であり、より好ましくは20℃〜30℃である。
これにより、第1エッチング工程において、窒化シリコン膜を確実に高速でエッチングできる。
The temperature of the object to be processed in the first etching step, that is, near room temperature is preferably 10 ° C. to 40 ° C., more preferably 20 ° C. to 30 ° C.
Thereby, the silicon nitride film can be reliably etched at high speed in the first etching step.

前記第2エッチング工程における前記被処理物の温度は、好ましくは60℃〜110℃であり、より好ましくは70℃〜100℃である。
第2エッチング工程における前記被処理物の温度を好ましくは60℃以上、より好ましくは70℃以上にすることによって、下地材にエッチングダメージを確実に与えることなく、窒化シリコン膜を確実に選択的にエッチングできる(図2参照)。
第2エッチング工程における前記被処理物の温度をの温度を好ましくは110℃以下、より好ましくは100℃以下に設定することによって、被処理物の基板が、有機ELパネル用基板、有機高分子材料のフレキシブルディスプレイ基板、有機半導体基板等の低耐熱性基板であったり、或いは、基板にフォトレジスト等の熱変性ないし低耐熱性材料が被膜されていたりしたとしても、該基板や膜材料の熱損傷や熱変性を確実に防止できる。
The temperature of the object to be processed in the second etching step is preferably 60 ° C to 110 ° C, more preferably 70 ° C to 100 ° C.
By setting the temperature of the object to be processed in the second etching step to preferably 60 ° C. or higher, more preferably 70 ° C. or higher, the silicon nitride film can be reliably and selectively removed without causing etching damage to the base material. It can be etched (see FIG. 2).
By setting the temperature of the object to be processed in the second etching step to be preferably 110 ° C. or lower, more preferably 100 ° C. or lower, the substrate of the object to be processed becomes an organic EL panel substrate or an organic polymer material. Even if the substrate is a low heat resistant substrate such as a flexible display substrate or an organic semiconductor substrate, or the substrate is coated with a heat-denatured or low heat resistant material such as a photoresist, the substrate or the film material is thermally damaged. And heat denaturation can be reliably prevented.

下地材は、基板に被膜された酸化シリコン膜に限られない。例えば、基板がガラス基板からなる場合、このガラス基板が下地材を構成していてもよく、ガラス基板上にエッチング対象の窒化シリコン膜が被膜されていてもよい。
エッチング対象の窒化シリコン膜は、ケイ素及び窒素を含有する化合物であればよく、SiNxに限られず、SiON(酸化窒化シリコン)であってもよく、SiCN(炭化窒化シリコン)であってもよい。
The base material is not limited to the silicon oxide film coated on the substrate. For example, when the substrate is made of a glass substrate, the glass substrate may constitute a base material, and a silicon nitride film to be etched may be coated on the glass substrate.
The silicon nitride film to be etched may be a compound containing silicon and nitrogen, and is not limited to SiNx, and may be SiON (silicon oxynitride) or SiCN (silicon carbonitride).

前記処理ガスは、水を添加したフッ素系原料ガスをプラズマ化(分解、励起、活性化、ラジカル化、イオン化等を含む。)することによって生成できる。前記フッ素系原料ガスとしては、パーフルオロカーボン(PFC)、ハイドロフルオロカーボン(HFC)、SF、NF、XeF等が挙げられる。PFCとしては、CF、C、C、C等が挙げられる。HFCとしては、CHF、C、CHF等が挙げられる。
前記フッ素系原料ガスを希釈ガスにて希釈してもよい。前記希釈ガスとしては、Ar、He等の希ガスの他、N等が挙げられる。
フッ素系原料ガスへの添加成分は、室温近傍で凝縮可能かつケイフッ化アンモニウムを溶解可能であればよく、水の他、OH基含有化合物や過酸化水素であってもよく、これらの混合物でもよい。OH基含有化合物として、アルコールが挙げられる。
前記プラズマ化並びに前記第1エッチング工程及び前記第2エッチング工程は、大気圧近傍下で行なうことが好ましい。
ここで、大気圧近傍とは、1.013×10〜50.663×10Paの範囲を言い、圧力調整の容易化や装置構成の簡便化を考慮すると、1.333×10〜10.664×10Paが好ましく、9.331×10〜10.397×10Paがより好ましい。
また、前記処理ガスは、フッ素系原料ガスに酸素を添加した混合ガスをプラズマ化した後に、水などの凝縮性添加成分を添加、混合したガスであってもよい。
さらに、前記処理ガスは、プラズマ化して生成したガスの代わりに、フッ酸水から得られるフッ酸蒸気であってもよい。フッ酸蒸気は例えば、フッ酸水を貯めた容器にキャリアガスを通過させることで得られる。
The processing gas can be generated by plasmaizing (including decomposition, excitation, activation, radicalization, ionization, etc.) a fluorine-based source gas to which water has been added. Examples of the fluorine source gas include perfluorocarbon (PFC), hydrofluorocarbon (HFC), SF 6 , NF 3 , and XeF 2 . Examples of the PFC include CF 4 , C 2 F 6 , C 3 F 6 , C 3 F 8 and the like. Examples of the HFC include CHF 3 , C 2 H 2 F 2 , and CH 3 F.
The fluorine source gas may be diluted with a diluent gas. As the dilution gas, Ar, other noble gas such as He, N 2, and the like.
The component added to the fluorine-based source gas only needs to be condensable near room temperature and dissolve ammonium silicofluoride, and may be an OH group-containing compound or hydrogen peroxide in addition to water, or a mixture thereof. . Examples of the OH group-containing compound include alcohol.
It is preferable that the plasma treatment, the first etching step, and the second etching step are performed near atmospheric pressure.
Here, the vicinity of the atmospheric pressure refers to a range of 1.013 × 10 4 to 50.663 × 10 4 Pa, and considering the ease of pressure adjustment and the simplification of the apparatus configuration, 1.333 × 10 4 to 10.664 × 10 4 Pa is preferable, and 9.331 × 10 4 to 10.9797 × 10 4 Pa is more preferable.
Further, the processing gas may be a gas in which a condensable additive component such as water is added and mixed after the mixed gas obtained by adding oxygen to the fluorine-based source gas is turned into plasma.
Further, the treatment gas may be hydrofluoric acid vapor obtained from hydrofluoric acid water, instead of the gas generated by plasma. The hydrofluoric acid vapor is obtained, for example, by passing a carrier gas through a container storing hydrofluoric acid water.

本発明装置は、酸化シリコンを含有する下地材にエッチング対象の窒化シリコン膜が被膜された被処理物を、フッ化水素及び水等の凝縮性添加成分を含有する処理ガスによってエッチングするエッチング装置であって、
前記被処理物を支持する支持部と、
前記処理ガスを前記被処理物に接触させる処理ガス供給系と、
前記窒化シリコン膜の大半がエッチングされる迄、前記被処理物の温度を室温近傍にし、前記窒化シリコン膜の残り分をエッチングするとき、前記被処理物の温度を50℃〜130℃にする温度調節部と、
を備えたことを特徴とする。
The apparatus of the present invention is an etching apparatus that etches an object to be processed in which a silicon nitride film to be etched is coated on a base material containing silicon oxide with a processing gas containing a condensable additive component such as hydrogen fluoride and water. There,
A support portion for supporting the object to be processed;
A processing gas supply system for bringing the processing gas into contact with the workpiece;
The temperature of the object to be processed is set to room temperature until the majority of the silicon nitride film is etched, and the temperature of the object to be processed is set to 50 ° C. to 130 ° C. when the remaining portion of the silicon nitride film is etched. An adjustment unit;
It is provided with.

エッチングの前半ないしは初期及び中期には、被処理物の温度を室温近傍に維持することにより、窒化シリコン膜のエッチングレートを高くすることができ、ひいては全体の処理時間を短くすることができる(図2、図4参照)。更に、処理ガス中の水等の凝縮性添加成分が被処理物の表面上で凝縮し、凝縮層が形成され、この凝縮層にケイフッ化アンモニウムが溶解する。したがって、ケイフッ化アンモニウム結晶によりエッチング反応が阻害されるのを回避できる。窒化シリコン膜が残っている段階で、前記温度調節部による被処理物の設定温度を高温に切り替えることで、下地材へのダメージを確実に回避できる。そして、被処理物の温度を50℃〜130℃にすることにより、窒化シリコンの酸化シリコンに対する選択比を十分に大きくすることができる(図2参照)。これにより、下地材のエッチングを抑制しながら、残りの窒化シリコン膜を確実にエッチングすることができる。被処理物の温度を130℃以下に設定することによって、被処理物の基板が低耐熱性基板であったり、被処理物に熱変性ないし低耐熱性の膜が設けられていても、該基板や膜の熱損傷や熱変性を防止できる。高温条件下(50℃〜130℃)でエッチングすべき窒化シリコン膜の残厚を小さくできるから、ケイフッ化アンモニウムによるエッチングストップが起きないうちに処理を終えることができる。 In the first half or the initial and middle stages of etching, the etching rate of the silicon nitride film can be increased by maintaining the temperature of the object to be processed in the vicinity of room temperature, so that the entire processing time can be shortened (see FIG. 2, see FIG. Further, a condensable additive component such as water in the processing gas is condensed on the surface of the object to be processed to form a condensed layer, and ammonium fluorosilicate is dissolved in the condensed layer. Therefore, it can be avoided that the etching reaction is inhibited by the ammonium fluorosilicate crystal. When the silicon nitride film remains, switching the set temperature of the object to be processed by the temperature adjusting unit to a high temperature can reliably avoid damage to the base material. Then, by setting the temperature of the object to be processed to 50 ° C. to 130 ° C., the selection ratio of silicon nitride to silicon oxide can be sufficiently increased (see FIG. 2). Thus, the remaining silicon nitride film can be reliably etched while suppressing etching of the base material. By setting the temperature of the object to be processed to 130 ° C. or lower, even if the substrate of the object to be processed is a low heat resistant substrate, or the object to be processed is provided with a thermally denatured or low heat resistant film, the substrate Heat damage and heat denaturation of the film can be prevented. Since the remaining thickness of the silicon nitride film to be etched can be reduced under high temperature conditions (50 ° C. to 130 ° C.), the processing can be completed before the etching stop by ammonium silicofluoride occurs.

本発明によれば、窒化シリコン膜を高速でエッチングでき、かつ酸化シリコンからなる下地材のエッチングを抑制できる。被処理基板が、有機ELパネル用基板、有機高分子材料のフレキシブルディスプレイ基板、有機半導体基板等の低耐熱性基板であったり、フォトレジスト等の熱変性を来し易い膜や低耐熱性の膜が被膜されていたとしたも、これら基板又は膜の耐熱温度より低い温度で処理でき、これら基板又は膜の熱損傷や熱変性を防止できる。   According to the present invention, a silicon nitride film can be etched at a high speed, and etching of a base material made of silicon oxide can be suppressed. The substrate to be treated is a low heat resistant substrate such as an organic EL panel substrate, a flexible display substrate made of an organic polymer material, an organic semiconductor substrate, a film such as a photoresist that is susceptible to thermal denaturation, or a low heat resistant film. Can be processed at a temperature lower than the heat-resistant temperature of these substrates or films, and thermal damage and thermal denaturation of these substrates or films can be prevented.

本発明の一実施形態に係るプラズマエッチング装置の概略構成を示す解説図である。It is explanatory drawing which shows schematic structure of the plasma etching apparatus which concerns on one Embodiment of this invention. 参考例1における、窒化シリコン及び酸化シリコンのエッチング速度の基板温度依存性の測定結果を示すグラフである。It is a graph which shows the measurement result of the substrate temperature dependence of the etching rate of silicon nitride and silicon oxide in Reference Example 1. 参考例2における、基板温度に応じた窒化シリコンのエッチング深さの経時変化の測定結果を示すグラフである。12 is a graph showing measurement results of changes with time in etching depth of silicon nitride according to substrate temperature in Reference Example 2. 実施例1、2における、エッチング深さの経時変化の測定結果を示すグラフである。It is a graph which shows the measurement result of the time-dependent change of the etching depth in Example 1,2.

以下、本発明の一実施形態を図面にしたがって説明する。 本発明は、下地材に窒化シリコン膜が被膜された被処理物に適用される。被処理物としては、有機ELパネル、フレキシブルディスプレイ、半導体ウェハ、フラットパネルディスプレイ、その他の種々の半導体装置が挙げられる。特に、本発明は、被処理物の基板が、有機ELパネル用基板、有機高分子材料からなるフレキシブルディスプレイ基板、有機半導体基板等の低耐熱性基板である場合に有効である。また、フォトレジスト等の熱変性膜ないしは低耐熱性膜を含む被処理物にも有効である。勿論、被処理物の基板は、低耐熱性基板に限られるものではなく、液晶表示パネルやプラズマディスプレイパネル用のガラス基板でもよく、半導体ウェハでもよい。被処理物に熱変性ないしは低耐熱性膜が設けられていなくてもよい。   Hereinafter, an embodiment of the present invention will be described with reference to the drawings. The present invention is applied to an object to be processed in which a silicon nitride film is coated on a base material. Examples of objects to be processed include organic EL panels, flexible displays, semiconductor wafers, flat panel displays, and other various semiconductor devices. In particular, the present invention is effective when the substrate to be processed is a low heat resistant substrate such as an organic EL panel substrate, a flexible display substrate made of an organic polymer material, or an organic semiconductor substrate. Further, it is also effective for an object to be processed including a heat-modified film such as a photoresist or a low heat resistant film. Of course, the substrate of the object to be processed is not limited to a low heat resistant substrate, and may be a glass substrate for a liquid crystal display panel or a plasma display panel, or a semiconductor wafer. The object to be treated may not be provided with a heat-denatured or low heat resistant film.

図1に示すように、被処理物90の基板91上に酸化シリコン膜92と窒化シリコン膜93が順次積層され、上記酸化シリコン膜92が下地材を構成していてもよい。被処理物の基板そのものが酸化シリコンを含有して下地材を構成していてもよく、該基板上に窒化シリコン膜が直接被膜されていてもよい。それ自体が酸化シリコンからなる下地材を構成する基板として、例えばガラス基板が挙げられる。   As shown in FIG. 1, a silicon oxide film 92 and a silicon nitride film 93 may be sequentially stacked on a substrate 91 of a workpiece 90, and the silicon oxide film 92 may constitute a base material. The substrate itself of the object to be processed may contain silicon oxide to form a base material, and a silicon nitride film may be directly coated on the substrate. As a substrate that itself constitutes a base material made of silicon oxide, for example, a glass substrate can be cited.

本発明のエッチング対象は、被処理物の下地材上に被膜された窒化シリコン膜である。窒化シリコン膜上にフォトレジスト等のレジストパターンが設けられていてもよい。その場合、窒化シリコン膜のうち、レジストパターンが被膜されずに露出した部分だけが、エッチングの対象になる。
本発明は、窒化シリコン膜の膜厚が大きいほど有効であり、例えば窒化シリコンの膜厚が1μm以上、更には2.5μm以上のとき有効である。
The etching target of the present invention is a silicon nitride film coated on the base material of the object to be processed. A resist pattern such as a photoresist may be provided on the silicon nitride film. In that case, only a portion of the silicon nitride film exposed without being coated with the resist pattern is subjected to etching.
The present invention is more effective as the thickness of the silicon nitride film is larger. For example, the present invention is more effective when the thickness of the silicon nitride film is 1 μm or more, further 2.5 μm or more.

図1は、被処理物90のエッチングに用いる大気圧プラズマエッチング装置1を示したものである。装置1は、処理ガス供給系10と、基板支持部30を備えている。処理ガス供給系10は、原料ガス供給ライン11と、プラズマ生成部20を含む。   FIG. 1 shows an atmospheric pressure plasma etching apparatus 1 used for etching a workpiece 90. The apparatus 1 includes a processing gas supply system 10 and a substrate support unit 30. The processing gas supply system 10 includes a source gas supply line 11 and a plasma generation unit 20.

原料ガス供給ライン11の上流端にフッ素原料ガス供給部12が設けられている。フッ素原料ガス供給部12は、エッチング用の処理ガスとなる原料ガスを供給する。原料ガスは、フッ素含有ガスとキャリアガスを含む。フッ素含有ガスとして、CFが用いられている。フッ素含有ガスとしてCFに代えて、C、C、C等の他のPFC(パーフルオロカーボン)を用いてもよく、CHF、CH、CHF等のHFC(ハイドロフルオロカーボン)を用いてもよく、SF、NF、XeF等のPFC及びHFC以外のフッ素含有化合物を用いてもよい。 A fluorine source gas supply unit 12 is provided at the upstream end of the source gas supply line 11. The fluorine source gas supply unit 12 supplies a source gas serving as a processing gas for etching. The source gas includes a fluorine-containing gas and a carrier gas. CF 4 is used as the fluorine-containing gas. Instead of CF 4 as the fluorine-containing gas, other PFC (perfluorocarbon) such as C 2 F 6 , C 3 F 8 , C 3 F 8 may be used, and CHF 3 , CH 2 F 2 , CH 3 F may be used HFC (hydrofluorocarbon) etc., may be used SF 6, NF 3, XeF fluorine-containing compounds other than PFC and HFC, such as 2.

キャリアガスは、フッ素含有ガスを搬送する機能の他、フッ素含有ガスを希釈する希釈ガスとしての機能、後記プラズマ放電を生成する放電ガスとしての機能等を有している。キャリアガスとしては、好ましくは不活性ガスを用いる。キャリアガスとなる不活性ガスとして、ヘリウム、アルゴン、ネオン、キセノン等の希ガスや窒素が挙げられる。ここでは、キャリアガスとして、例えばアルゴン(Ar)が用いられている。フッ素含有ガスとキャリアガスとの流量比(CF:Ar)は、1:9〜9:1が好ましい。キャリアガスを省略してもよい。 In addition to the function of conveying the fluorine-containing gas, the carrier gas has a function as a dilution gas for diluting the fluorine-containing gas, a function as a discharge gas for generating plasma discharge described later, and the like. An inert gas is preferably used as the carrier gas. Examples of the inert gas serving as the carrier gas include noble gases such as helium, argon, neon, and xenon, and nitrogen. Here, for example, argon (Ar) is used as the carrier gas. The flow ratio (CF 4 : Ar) between the fluorine-containing gas and the carrier gas is preferably 1: 9 to 9: 1. The carrier gas may be omitted.

原料ガス供給ライン11の中途部に水添加部13が設けられている。水添加部13は、例えば加湿器にて構成され、上記原料ガス(CF+Ar)に水(HO)を添加し、原料ガスを加湿する。この水添加量を調節することによって、原料ガスひいては処理ガスの水含有量を調節する。加湿器13は、恒温槽等のタンクを備えている。このタンク内に液体の水が蓄えられている。フッ素原料ガス供給部12からの原料ガスが、上記タンクの水面より上側部分に供給され、上記上側部分の飽和水蒸気と混合される。或いは、フッ素原料ガス供給部12からの原料ガスを上記タンク内の水中にバブリングすることによって、原料ガスに水蒸気を添加してもよい。上記タンクを温度調節することによって蒸気圧を調節し、これにより水添加量を調節してもよい。水添加量は、添加後の原料ガスの露点が6℃〜20℃になるように設定することが好ましい。 A water addition unit 13 is provided in the middle of the source gas supply line 11. Water addition unit 13 is constituted, for example, by the humidifier, to the raw material gas (CF 4 + Ar) was added water (H 2 O), humidifying the feed gas. By adjusting the amount of water added, the water content of the raw material gas and thus the processing gas is adjusted. The humidifier 13 includes a tank such as a thermostatic bath. Liquid water is stored in this tank. The source gas from the fluorine source gas supply unit 12 is supplied to the upper part from the water surface of the tank, and is mixed with the saturated water vapor in the upper part. Alternatively, water vapor may be added to the raw material gas by bubbling the raw material gas from the fluorine raw material gas supply unit 12 into the water in the tank. The vapor pressure may be adjusted by adjusting the temperature of the tank, thereby adjusting the amount of water added. The amount of water added is preferably set so that the dew point of the source gas after the addition is 6 ° C to 20 ° C.

原料ガス供給ライン11は、プラズマ生成部20へ延びている。プラズマ生成部20は、一対の電極21,21を含む。少なくとも1つの電極21の対向面に固体誘電体層(図示省略)が設けられている。一方の電極21に電源(図示省略)が接続されている。他方の電極21が電気的に接地されている。一対の電極21,21間に略大気圧のプラズマ放電空間22が生成される。原料ガス供給ライン11が、放電空間22の上流端に連なっている。   The source gas supply line 11 extends to the plasma generation unit 20. The plasma generation unit 20 includes a pair of electrodes 21 and 21. A solid dielectric layer (not shown) is provided on the opposing surface of at least one electrode 21. A power source (not shown) is connected to one electrode 21. The other electrode 21 is electrically grounded. A plasma discharge space 22 having a substantially atmospheric pressure is generated between the pair of electrodes 21 and 21. The source gas supply line 11 is connected to the upstream end of the discharge space 22.

放電空間22の下流端が吹出口23に連なっている。吹出口23は、プラズマ生成部20の例えば底部に設けられている。上記処理ガスが吹出口23から下方へ吹き出される。
プラズマ生成部20の底部に処理済みのガスを吸引する吸引ノズルを設けてもよい。
The downstream end of the discharge space 22 is connected to the air outlet 23. The blower outlet 23 is provided in the bottom part of the plasma production | generation part 20, for example. The processing gas is blown downward from the blower outlet 23.
A suction nozzle that sucks the processed gas may be provided at the bottom of the plasma generation unit 20.

プラズマ生成部20の下方に、支持部30が配置されている。支持部30によって被処理物90が支持される。支持部30は、例えば平板状のステージにて構成されている。ステージ30の上面に被処理物90が載置されている。
なお、プラズマ生成部20と被処理物90の配置関係が上下に反転していてもよい。被処理物90が垂直又は斜めに支持されるようになっていてもよい。
A support unit 30 is disposed below the plasma generation unit 20. The workpiece 90 is supported by the support unit 30. The support part 30 is configured by, for example, a flat plate stage. A workpiece 90 is placed on the upper surface of the stage 30.
The arrangement relationship between the plasma generation unit 20 and the workpiece 90 may be reversed up and down. The workpiece 90 may be supported vertically or diagonally.

ステージ30は、移動機構40に接続されている。移動機構40によって、ステージ30ひいては被処理物90が例えば図1の左右方向に往復移動(スキャン)される。移動速度は、0.1m/min〜10m/min程度が好ましい。移動機構40がプラズマ生成部20に接続されていてもよく、プラズマ生成部20が移動されるようになっていてもよい。移動機構40として、リニアガイド機構、ベルト・プーリ機構、流体圧シリンダ機構等を用いることができる。移動機構40がローラコンベア等にて構成され、被処理物90の支持部を兼ねていてもよい。
移動機構40を省略してもよい。プラズマ生成部20と被処理物90の相対位置を互いに固定した状態で、処理を行なうことにしてもよい。
The stage 30 is connected to the moving mechanism 40. The stage 30 and thus the workpiece 90 are reciprocated (scanned) in the left-right direction in FIG. 1 by the moving mechanism 40, for example. The moving speed is preferably about 0.1 m / min to 10 m / min. The moving mechanism 40 may be connected to the plasma generation unit 20, or the plasma generation unit 20 may be moved. As the moving mechanism 40, a linear guide mechanism, a belt / pulley mechanism, a fluid pressure cylinder mechanism, or the like can be used. The moving mechanism 40 may be configured by a roller conveyor or the like, and may also serve as a support portion for the workpiece 90.
The moving mechanism 40 may be omitted. Processing may be performed with the relative positions of the plasma generation unit 20 and the workpiece 90 fixed to each other.

ステージ30に温度調節部50が設けられている。温度調節部50によって、ステージ30が温度調節され、ひいては被処理物90が温度調節される。温度調節部50の温度調節可能範囲は、10℃〜130℃程度が好ましい。温度調節部50は、電熱ヒータにて構成されていてもよく、輻射ヒータにて構成されていてもよく、温調流路にて構成されていてもよい。温調流路には、温調された水等の温調媒体が通される。温調媒体は、室温より高温に加温されるのに限られず、室温より低温になるよう冷却されるようになっていてもよい。温度調節部50が、被処理物90を室温近傍(室温を含む)に維持してもよく、被処理物90を室温より低温になるよう温度調節してもよい。   A temperature control unit 50 is provided on the stage 30. The temperature of the stage 30 is adjusted by the temperature adjusting unit 50, and consequently the temperature of the workpiece 90 is adjusted. The temperature adjustable range of the temperature adjusting unit 50 is preferably about 10 ° C to 130 ° C. The temperature adjustment unit 50 may be configured by an electric heater, a radiation heater, or a temperature control channel. A temperature control medium such as temperature-controlled water is passed through the temperature control channel. The temperature control medium is not limited to being heated to a temperature higher than room temperature, but may be cooled to a temperature lower than room temperature. The temperature adjusting unit 50 may maintain the workpiece 90 near room temperature (including room temperature), or may adjust the temperature of the workpiece 90 to be lower than room temperature.

上記構成の大気圧プラズマエッチング装置1を用いて、被処理物90の窒化シリコン膜93をエッチングする方法を説明する。
本発明では、エッチングを2段階に分けて実行する。エッチングの前半(又は初期から中期まで)は第1エッチング工程を実行し、後半(又は終期)には第2エッチング工程を実行する。
A method of etching the silicon nitride film 93 of the workpiece 90 using the atmospheric pressure plasma etching apparatus 1 having the above configuration will be described.
In the present invention, etching is performed in two stages. The first etching process is executed in the first half (or from the initial stage to the middle stage) of the etching, and the second etching process is executed in the second half (or the final stage).

[第1エッチング工程]
処理すべき被処理物90をステージ30にセットする。
フッ素原料ガス供給部12からの原料ガス(CF+Ar)を原料ガス供給ライン11に導出する。この原料ガスに加湿器13にて水蒸気(HO)を添加する。これにより、加湿原料ガス(CF+Ar+HO)を得る。この加湿原料ガスを供給ライン11を経てプラズマ生成部20の放電空間22に導入してプラズマ化する。これにより、原料ガス成分が分解されて、フッ化水素(HF)、COF等のフッ素系反応成分を含む処理ガスが生成される(式1等)。処理ガスは、フッ素系反応成分の他、未分解の原料ガス成分(CF、Ar、HO)をも含む。上記フッ素系反応成分のうちCOFは、更に水と反応してフッ化水素に変換される(式2)。この処理ガスを吹出口23から吹き出す。
[First etching step]
A workpiece 90 to be processed is set on the stage 30.
The source gas (CF 4 + Ar) from the fluorine source gas supply unit 12 is led to the source gas supply line 11. Water vapor (H 2 O) is added to the raw material gas by the humidifier 13. Thereby, a humidified raw material gas (CF 4 + Ar + H 2 O) is obtained. This humidified raw material gas is introduced into the discharge space 22 of the plasma generation unit 20 through the supply line 11 to be converted into plasma. As a result, the raw material gas component is decomposed, and a processing gas containing a fluorine-based reaction component such as hydrogen fluoride (HF) or COF 2 is generated (formula 1 or the like). The processing gas includes an undecomposed source gas component (CF 4 , Ar, H 2 O) in addition to the fluorine-based reaction component. Of the fluorine-based reaction components, COF 2 further reacts with water and is converted to hydrogen fluoride (Formula 2). This processing gas is blown out from the outlet 23.

処理ガスは、被処理物90に吹き付けられ、窒化シリコン膜93に接触する。これにより、処理ガス中のHFと窒化シリコンとのエッチング反応が起きる(式4)。反応副生成物としてケイフッ化アンモニウム((NHSiF)が生成される(式4)。 The processing gas is blown onto the workpiece 90 and comes into contact with the silicon nitride film 93. This causes an etching reaction between HF and silicon nitride in the processing gas (Formula 4). Ammonium silicofluoride ((NH 4 ) 2 SiF 6 ) is produced as a reaction byproduct (Formula 4).

上記の処理ガス吹き付けと併行して、移動機構40にてステージ30ひいては被処理物90を、吹出口23の下方を往復するように移動(スキャン)させてもよい。或いは、移動機構40を停止し、被処理物90を吹出口23の直下に静止させた状態で処理ガスの吹き付けを行なってもよい。   In parallel with the above processing gas spraying, the stage 30 and thus the object to be processed 90 may be moved (scanned) by the moving mechanism 40 so as to reciprocate below the outlet 23. Alternatively, the processing mechanism may be sprayed in a state in which the moving mechanism 40 is stopped and the workpiece 90 is stopped immediately below the outlet 23.

更に、温度調節部50にて被処理物90を温調する。第1エッチング工程では、被処理物90の温度を室温近傍に維持する。好ましくは、被処理物90の設定温度を10℃〜40℃とし、より好ましくは20℃〜30℃とする。これにより、窒化シリコン膜93のエッチングレートを比較的高くできる(図2、図4参照)。この段階では、窒化シリコン膜93が酸化シリコン膜92を十分に覆っているため、酸化シリコン膜92がエッチングされるのを防止できる。   Further, the temperature of the workpiece 90 is controlled by the temperature adjusting unit 50. In the first etching step, the temperature of the workpiece 90 is maintained near room temperature. Preferably, the set temperature of the workpiece 90 is 10 ° C to 40 ° C, more preferably 20 ° C to 30 ° C. Thereby, the etching rate of the silicon nitride film 93 can be made relatively high (see FIGS. 2 and 4). At this stage, since the silicon nitride film 93 sufficiently covers the silicon oxide film 92, the silicon oxide film 92 can be prevented from being etched.

上述したように、処理ガスにはフッ化水素の他、水蒸気(HO)が含まれている。被処理物90を室温近傍に保持することにより、処理ガス中の水蒸気が、被処理物90の表面上で凝縮し、凝縮層を形成する。この凝縮層に処理ガス中のフッ化水素が溶解することで、被処理物90とフッ化水素との接触、ひいては窒化シリコン膜93のエッチング反応を確保できる。更に、上記凝縮層に、反応副生成物のケイフッ化アンモニウム((NHSiF)が溶解する。したがって、被処理物90の表面上にケイフッ化アンモニウム結晶が堆積するのを防止でき、窒化シリコン膜93のエッチング反応が阻害されるのを回避できる。よって、窒化シリコン膜93の初期膜厚が比較的大きくても、窒化シリコン膜93のエッチング反応を確実に維持できる(図3参照)。例えば、窒化シリコン膜93の初期膜厚が1μm以上であっても、更には2.5μm以上であったとしても、確実にエッチングすることができる。 As described above, the processing gas contains water vapor (H 2 O) in addition to hydrogen fluoride. By holding the workpiece 90 near room temperature, water vapor in the processing gas is condensed on the surface of the workpiece 90 to form a condensed layer. By dissolving hydrogen fluoride in the processing gas in this condensed layer, it is possible to ensure the contact between the object to be processed 90 and hydrogen fluoride, and hence the etching reaction of the silicon nitride film 93. Further, the reaction by-product ammonium silicofluoride ((NH 4 ) 2 SiF 6 ) is dissolved in the condensed layer. Therefore, it is possible to prevent the ammonium silicofluoride crystal from being deposited on the surface of the workpiece 90 and to prevent the etching reaction of the silicon nitride film 93 from being hindered. Therefore, even if the initial film thickness of the silicon nitride film 93 is relatively large, the etching reaction of the silicon nitride film 93 can be reliably maintained (see FIG. 3). For example, even if the initial film thickness of the silicon nitride film 93 is 1 μm or more, and even when the initial film thickness is 2.5 μm or more, the silicon nitride film 93 can be reliably etched.

第1エッチング工程に於いては、窒化シリコンの大半をエッチングする。例えば、窒化シリコン膜93のうち80%〜99%の膜厚分をエッチングする。すなわち、窒化シリコン膜93の膜厚が初期膜厚の約1%〜20%になるまで第1エッチング工程を実行する。膜厚測定器にて窒化シリコン膜93の膜厚を測定することで、窒化シリコン膜93のエッチングの進行度を管理してもよい。予め、同一の処理条件下での窒化シリコン膜93のエッチングレート(例えばステージ30の1スキャンあたりのエッチング量)を測定しておき、そのエッチングレートから換算したエッチング時間又はスキャン回数が、上記所定(80%〜99%程度)のエッチング厚さに対応する値に達したか否かによって、窒化シリコン膜93のエッチングの進行度を管理してもよい。ここで、1スキャンとは、移動機構40によるステージ30の片道1回の移動を言う。窒化シリコン膜93の膜厚が初期膜厚の約1%〜20%になった時、第1エッチング工程を終了する。窒化シリコン膜を少し残すことによって、下地の酸化シリコン膜92がエッチングダメージを受けるのを確実に回避できる。   In the first etching step, most of the silicon nitride is etched. For example, 80% to 99% of the silicon nitride film 93 is etched. That is, the first etching step is performed until the thickness of the silicon nitride film 93 is about 1% to 20% of the initial thickness. The progress of etching of the silicon nitride film 93 may be managed by measuring the film thickness of the silicon nitride film 93 with a film thickness meter. The etching rate (for example, the etching amount per scan of the stage 30) of the silicon nitride film 93 under the same processing conditions is measured in advance, and the etching time or the number of scans converted from the etching rate is the predetermined ( The progress of etching of the silicon nitride film 93 may be managed depending on whether or not a value corresponding to an etching thickness of about 80% to 99% is reached. Here, one scan refers to one-time movement of the stage 30 by the moving mechanism 40. When the film thickness of the silicon nitride film 93 reaches about 1% to 20% of the initial film thickness, the first etching process is finished. By leaving a little silicon nitride film, it is possible to reliably avoid etching damage to the underlying silicon oxide film 92.

[洗浄工程]
次に、被処理物90を洗浄する。例えば、洗浄剤として水を用いる。水をシャワー状にして被処理物90に散布する。これにより、被処理物90の表面から上記凝縮層を除去でき、ひいては上記凝縮層に溶解したケイフッ化アンモニウムを除去できる。更には、固形のケイフッ化アンモニウムが水(洗浄剤)に溶解して除去される。
洗浄容器に洗浄剤として水を入れ、この水に被処理物90を漬けて洗うことにしてもよい。
洗浄剤は、ケイフッ化アンモニウムを溶解させ得るものであればよく、水の他、アルコールを用いてもよい。
洗浄工程を省略してもよい。
[Washing process]
Next, the workpiece 90 is washed. For example, water is used as a cleaning agent. Water is sprayed on the workpiece 90 in the form of a shower. Thereby, the said condensed layer can be removed from the surface of the to-be-processed object 90, and the ammonium silicofluoride melt | dissolved in the said condensed layer can be removed by extension. Furthermore, solid ammonium silicofluoride is dissolved in water (cleaning agent) and removed.
It is also possible to put water as a cleaning agent in the cleaning container and immerse the workpiece 90 in this water and wash it.
The cleaning agent only needs to dissolve ammonium silicofluoride, and may use alcohol in addition to water.
The cleaning step may be omitted.

[第2エッチング工程]
次に、第2エッチング工程を実行する。第2エッチング工程では、温度調節部50によって被処理物90の温度を第1エッチング工程における温度(室温近傍)より高温にする。具体的には、被処理物90の温度を50℃〜130℃、好ましくは60℃〜110℃、より好ましくは70℃〜100℃に調節する。
被処理物90の温度を130℃以下、好ましくは110℃以下、より好ましくは100℃以下に設定することによって、ガラス基板91が、有機ELパネル用基板、有機高分子材料のフレキシブルディスプレイ基板、有機半導体基板等の低耐熱性基板であったり、或いは、被処理物90にフォトレジスト等の熱変性ないし低耐熱性材料が被膜されていたりしたとしても、該基板や膜材料の熱損傷や熱変性を確実に防止できる。
[Second etching step]
Next, a second etching process is performed. In the second etching step, the temperature of the workpiece 90 is set higher than the temperature in the first etching step (near room temperature) by the temperature adjusting unit 50. Specifically, the temperature of the workpiece 90 is adjusted to 50 ° C to 130 ° C, preferably 60 ° C to 110 ° C, more preferably 70 ° C to 100 ° C.
By setting the temperature of the object 90 to be 130 ° C. or lower, preferably 110 ° C. or lower, more preferably 100 ° C. or lower, the glass substrate 91 becomes an organic EL panel substrate, an organic polymer material flexible display substrate, organic Even if it is a low heat resistant substrate such as a semiconductor substrate, or the object 90 is thermally denatured or coated with a low heat resistant material such as a photoresist, the substrate or film material is thermally damaged or denatured. Can be reliably prevented.

第2エッチング工程における被処理物90の設定温度及び処理時間以外の処理条件(原料ガスの流量及び成分比、プラズマ生成条件等)は、第1エッチング工程と同じにする。これによって、残り1%〜20%の膜厚分の窒化シリコン膜93をエッチングする。第1エッチング工程で生成されたケイフッ化アンモニウムは、上記洗浄工程によって被処理物90の表面上から除去されているため、窒化シリコン膜93のエッチングを良好に行なうことができる。被処理物90の温度を第1エッチング工程より高温にしたとしても、第1エッチング工程で生成された凝縮層が上記洗浄工程で除去されているから、該凝縮層中のケイフッ化アンモニウムが被処理物90の表面上に析出することがなく、該析出体によってエッチングが阻害されることはない。   The processing conditions other than the set temperature and processing time of the object to be processed 90 in the second etching step (such as the flow rate and component ratio of the source gas and the plasma generation conditions) are the same as those in the first etching step. Thereby, the remaining silicon nitride film 93 having a thickness of 1% to 20% is etched. Since the ammonium silicofluoride produced in the first etching process is removed from the surface of the workpiece 90 by the cleaning process, the silicon nitride film 93 can be satisfactorily etched. Even if the temperature of the object to be processed 90 is higher than that of the first etching step, the condensed layer generated in the first etching step is removed in the cleaning step, so that ammonium silicofluoride in the condensed layer is processed. There is no precipitation on the surface of the object 90, and the etching is not hindered by the precipitate.

一方、窒化シリコン膜93のエッチングによって、新たなケイフッ化アンモニウムが生成される。第2エッチング工程では、被処理物90が第1エッチング工程より高温であるため、凝縮層が形成されにくい。したがって、第2エッチング工程で新たに生じたケイフッ化アンモニウムが結晶化して被処理物90の表面上に堆積しやすい。しかし、窒化シリコン膜93の残り厚さが小さいから、ケイフッ化アンモニウム結晶の堆積によるエッチングストップが起きる前にエッチング工程を終了できる。第1エッチング工程終了時の窒化シリコン膜93の残り厚さが1μm以下であれば、エッチング速度が低下していく前に確実にエッチング工程を終了できる。   On the other hand, new ammonium silicofluoride is generated by etching the silicon nitride film 93. In the second etching step, the object to be processed 90 is at a higher temperature than the first etching step, so that a condensed layer is not easily formed. Therefore, ammonium silicofluoride newly generated in the second etching step is easily crystallized and easily deposited on the surface of the workpiece 90. However, since the remaining thickness of the silicon nitride film 93 is small, the etching process can be completed before an etching stop due to the deposition of ammonium fluorosilicate crystals occurs. If the remaining thickness of the silicon nitride film 93 at the end of the first etching process is 1 μm or less, the etching process can be completed without fail before the etching rate decreases.

窒化シリコン膜93のエッチングが終盤になると、酸化シリコン膜92が露出し始める。したがって、窒化シリコン膜93のエッチング反応(式4)だけでなく、酸化シリコン膜92のエッチング反応(式3)も起き得る。このとき、上述した被処理物90の温度設定によって、窒化シリコン膜93のエッチングレートを酸化シリコン膜92のエッチングレートより大きくすることができる。すなわち、窒化シリコン膜93の酸化シリコン膜92に対する選択比を十分に大きくすることができる(参考例1参照)。したがって、酸化シリコン膜92のエッチングを抑制しながら、残りの窒化シリコン膜93を除去することができる。被処理物90の温度を50℃以上、好ましくは60℃以上、より好ましくは70℃以上にすることによって、酸化シリコン膜92へのエッチングダメージを確実に回避しながら、窒化シリコン膜93を確実に選択的にエッチングできる(図2参照)。   When the etching of the silicon nitride film 93 ends, the silicon oxide film 92 begins to be exposed. Therefore, not only the etching reaction (formula 4) of the silicon nitride film 93 but also the etching reaction (formula 3) of the silicon oxide film 92 can occur. At this time, the etching rate of the silicon nitride film 93 can be made larger than the etching rate of the silicon oxide film 92 by setting the temperature of the workpiece 90 described above. That is, the selection ratio of the silicon nitride film 93 to the silicon oxide film 92 can be sufficiently increased (see Reference Example 1). Therefore, the remaining silicon nitride film 93 can be removed while suppressing the etching of the silicon oxide film 92. By setting the temperature of the workpiece 90 to 50 ° C. or higher, preferably 60 ° C. or higher, more preferably 70 ° C. or higher, the silicon nitride film 93 can be reliably secured while avoiding etching damage to the silicon oxide film 92 without fail. It can be selectively etched (see FIG. 2).

第2エッチング工程の処理時間(又はスキャン回数)は、第1エッチング工程終了時の窒化シリコン膜93の残り厚さに対応する所要値を少し上回るようにするのが好ましい。すなわち、過処理ぎみになるよう設定するのが好ましい。これによって、窒化シリコン膜93の全体を残すことなく確実にエッチングして除去できる。酸化シリコン膜92については、エッチングレートが低いから、過処理になっても殆どエッチングされることはない。したがって、第2エッチング工程の処理時間(又はスキャン回数)をある程度の幅をもって設定でき、時間管理を容易化できる。
もちろん、第2エッチング工程の処理時間(又はスキャン回数)を上記所要値に設定してもよい。
第2エッチング工程の処理時間は、第1エッチング工程の処理時間より短くてもよく、第1エッチング工程の処理時間より長くてもよく、第1エッチング工程の処理時間と同じであってもよい。
It is preferable that the processing time (or the number of scans) of the second etching process slightly exceeds the required value corresponding to the remaining thickness of the silicon nitride film 93 at the end of the first etching process. That is, it is preferable to set so as to be overprocessed. Thus, the entire silicon nitride film 93 can be etched and removed without leaving the whole. Since the etching rate of the silicon oxide film 92 is low, the silicon oxide film 92 is hardly etched even if it is overprocessed. Therefore, the processing time (or the number of scans) of the second etching process can be set with a certain range, and time management can be facilitated.
Of course, the processing time (or the number of scans) of the second etching process may be set to the required value.
The processing time of the second etching process may be shorter than the processing time of the first etching process, may be longer than the processing time of the first etching process, or may be the same as the processing time of the first etching process.

以上のように、高速エッチング可能な第1エッチング工程によって窒化シリコン膜93の大半(例えば80〜99%の膜厚分)をエッチングし、第2エッチング工程によって残部(例えば1%〜20%の膜厚分)をエッチングすることにより、全体の処理時間を短縮できる。はじめに第1エッチング工程を行ない、次に、窒化シリコンの選択性が高い第2エッチング工程を行なうことによって、窒化シリコン93をきれいにエッチングできる一方、下地材の酸化シリコン膜92にエッチングダメージが及ぶのを防止できる。   As described above, most of the silicon nitride film 93 (for example, 80 to 99% film thickness) is etched by the first etching process capable of high-speed etching, and the remaining (for example, 1% to 20% film by the second etching process). The total processing time can be shortened by etching the thickness). By first performing the first etching step and then performing the second etching step with high silicon nitride selectivity, the silicon nitride 93 can be cleanly etched, while the underlying silicon oxide film 92 is damaged by etching. Can be prevented.

本発明は、上記実施形態に限定されるものではなく、その趣旨を逸脱しない範囲において種々の改変をなすことができる。
例えば、第2エッチング工程における被処理物90の設定温度及び処理時間以外の処理条件を第1エッチング工程に対し変更してもよい。例えば、第2エッチング工程においては、原料ガスへの水の添加率ひいては処理ガス中の水分含有率を、第1エッチング工程における値より低くしてもよい。或いは、第2エッチング工程における原料ガス成分の流量又は流量比を第1エッチング工程とは異ならせてもよい。例えば、第2エッチング工程においては、Ar(希釈ガス)の流量を第1エッチング工程における値より増大させてもよい。
原料ガスに添加する凝縮性添加成分ひいては処理ガスの含有成分として、水(HO)に代えて、OH基含有化合物、過酸化水素(H)、過酸化水素水等を用いてもよい。OH基含有化合物として、エタノールやメタノール等のアルコールが挙げられる。
エッチング対象膜の窒化シリコンは、SiNxに限られず、SiON(酸化窒化シリコン)、SiCN(炭化窒化シリコン)でもよい。
図1の装置1は、被処理物90がプラズマ空間22から離れて配置される所謂リモート式のプラズマ処理装置であったが、本発明のエッチング装置は、上記に限られず、被処理物90をプラズマ空間22の内部に配置する所謂リモート式のプラズマ処理装置であってもよい。
処理ガスは、フッ素含有ガスをプラズマ化して生成するものに限られず、例えばフッ酸ベーパーを用いてもよい。
The present invention is not limited to the above embodiment, and various modifications can be made without departing from the spirit of the present invention.
For example, the processing conditions other than the set temperature and processing time of the workpiece 90 in the second etching step may be changed with respect to the first etching step. For example, in the second etching step, the rate of addition of water to the raw material gas, and thus the water content in the processing gas, may be made lower than the value in the first etching step. Alternatively, the flow rate or flow rate ratio of the source gas component in the second etching step may be different from that in the first etching step. For example, in the second etching step, the flow rate of Ar (dilution gas) may be increased from the value in the first etching step.
Instead of water (H 2 O), an OH group-containing compound, hydrogen peroxide (H 2 O 2 ), hydrogen peroxide water, or the like is used as a condensable additive component to be added to the raw material gas, and as a component of the processing gas. Also good. Examples of the OH group-containing compound include alcohols such as ethanol and methanol.
The silicon nitride of the etching target film is not limited to SiNx, and may be SiON (silicon oxynitride) or SiCN (silicon carbonitride).
The apparatus 1 in FIG. 1 is a so-called remote type plasma processing apparatus in which the workpiece 90 is disposed away from the plasma space 22, but the etching apparatus of the present invention is not limited to the above, and the workpiece 90 is A so-called remote type plasma processing apparatus may be disposed inside the plasma space 22.
The processing gas is not limited to the one generated by converting the fluorine-containing gas into plasma, and for example, hydrofluoric acid vapor may be used.

[参考例及び実施例]
以下、参考例及び実施例を説明する。本発明が、以下の参考例及び実施例に限定されるものではないことは当然である。
[参考例1]
参考例1では、窒化シリコン及び酸化シリコンのエッチング速度の基板温度依存性を調べた。ガラス基板に窒化シリコンを被膜した第1のサンプルと、ガラス基板に酸化シリコンを被膜した第2のサンプルとを用意した。第1のサンプルの窒化シリコンの初期膜厚は、1μmであった。第2のサンプルの酸化シリコンの初期膜厚は、1μmであった。
第1、第2のサンプルの大きは共に以下の通りであった。
ステージ30の移動方向に沿う長さ寸法: 200mm
ステージ30の移動方向と直交する幅方向の寸法: 200mm
[Reference Examples and Examples]
Reference examples and examples will be described below. Naturally, the present invention is not limited to the following reference examples and examples.
[Reference Example 1]
In Reference Example 1, the substrate temperature dependence of the etching rates of silicon nitride and silicon oxide was examined. A first sample in which silicon nitride was coated on a glass substrate and a second sample in which silicon oxide was coated on a glass substrate were prepared. The initial film thickness of the silicon nitride of the first sample was 1 μm. The initial film thickness of the silicon oxide of the second sample was 1 μm.
The sizes of the first and second samples were as follows.
Length dimension along the moving direction of the stage 30: 200 mm
Dimension in the width direction orthogonal to the moving direction of the stage 30: 200 mm

処理ガス用の原料ガスとして、CFとArと水(HO)の混合ガスを用いた。CF及びArの供給流量は以下の通りとした。
CF: 0.1SLM
Ar: 1SLM
加湿器13によって、原料ガスの露点が18℃程度になるよう、水分を添加した。
上記原料ガスを大気圧の放電空間22にて活性化し、処理ガスを生成した。プラズマ放電条件は以下の通りとした。
放電空間22の厚さ(電極21,21間の間隔): 1mm
電極21,21間の印加電圧: Vpp=13kV
印加電圧の周波数: 40kHz(パルス波)
A mixed gas of CF 4 , Ar, and water (H 2 O) was used as a raw material gas for the processing gas. The supply flow rates of CF 4 and Ar were as follows.
CF 4 : 0.1 SLM
Ar: 1SLM
Water was added by the humidifier 13 so that the dew point of the source gas was about 18 ° C.
The source gas was activated in the discharge space 22 at atmospheric pressure to generate a processing gas. The plasma discharge conditions were as follows.
Discharge space 22 thickness (interval between electrodes 21 and 21): 1 mm
Applied voltage between electrodes 21 and 21: Vpp = 13 kV
Frequency of applied voltage: 40 kHz (pulse wave)

上記の処理ガスを幅方向(図1の紙面と直交する方向)に均一な流れ分布になるようにして吹出口23から吹き出し、ステージ30上のサンプルに吹き付けた。併行して、ステージ30を往復移動(スキャン)させた。移動速度は、4m/minとした。ステージ30のスキャン回数は10回とした。なお、1スキャンは、片道1回の移動を言う。1往復は2スキャンである。   The above processing gas was blown out from the outlet 23 so as to have a uniform flow distribution in the width direction (direction orthogonal to the paper surface of FIG. 1), and was blown onto the sample on the stage 30. At the same time, the stage 30 was reciprocated (scanned). The moving speed was 4 m / min. The number of scans of the stage 30 was 10 times. Note that one scan refers to a one-way movement. One round trip is two scans.

第1、第2の各サンプルの基板温度(被処理物温度)を27℃(室温)〜80℃の範囲で変えてエッチングを行なった。各温度条件におけるエッチング終了後のエッチング量を測定し、この測定値をスキャン回数(10回)で割って、1スキャン当たりのエッチングレートを算出した。更に、同一温度条件における第1のサンプルのエッチングレートを第2のサンプルのエッチングレートで割り、窒化シリコンの酸化シリコンに対する選択比を算出した。   Etching was performed by changing the substrate temperature (processing object temperature) of each of the first and second samples in the range of 27 ° C. (room temperature) to 80 ° C. The etching amount after completion of etching under each temperature condition was measured, and the measured value was divided by the number of scans (10 times) to calculate the etching rate per scan. Further, the etching rate of the first sample under the same temperature condition was divided by the etching rate of the second sample, and the selection ratio of silicon nitride to silicon oxide was calculated.

結果を図2に示す。
窒化シリコンのエッチング速度及び酸化シリコンのエッチング速度は、共に、基板温度が低温であるほど速く、高温になるにしたがって遅くなった。したがって、エッチング速度を重視した第1エッチング工程では、室温近傍の低温条件でエッチングを行なうとよいことが確認された。
一方、室温近傍の低温条件では、酸化シリコンのエッチング速度が窒化シリコンのエッチング速度を上回った。したがって、酸化シリコンからなる下地材上に窒化シリコンが被膜されている場合、低温条件のままで窒化シリコン膜を最後までエッチングすると、下地の酸化シリコンを大きくエッチングしてしまうことになる。
これに対し、基板温度の上昇に伴い、40℃弱で窒化シリコンのエッチング速度と酸化シリコンのエッチング速度とが逆転した。基板温度を40℃以上にすると、窒化シリコンのエッチング速度が酸化シリコンのエッチング速度より速くなり、選択比が確実に1を上回った。基板温度を50℃以上にすると、選択比が5以上になり、かつ酸化シリコンのエッチング速度が十分に遅くなった。基板温度を60℃以上にすると、選択比が10以上になり、かつ酸化シリコンのエッチング速度が更に遅くなった。基板温度を70℃以上にすると、選択比が20以上になり、かつ酸化シリコンがほとんどエッチングされなくなった。したがって、エッチング処理の終期に第1エッチング工程から第2エッチング工程に切り替え、基板温度を50℃以上、好ましくは60℃以上、より好ましくは70℃以上にすることによって、窒化シリコン膜を確実に選択的にエッチングできることが示された。そして、下地の酸化シリコンを殆どエッチングすることなく、窒化シリコン膜全体のエッチングを終了できることが示された。
The results are shown in FIG.
Both the etching rate of silicon nitride and the etching rate of silicon oxide were faster as the substrate temperature was lower and decreased as the temperature increased. Therefore, it was confirmed that in the first etching step in which the etching rate is important, it is preferable to perform the etching under a low temperature condition near room temperature.
On the other hand, the etching rate of silicon oxide exceeded the etching rate of silicon nitride under low temperature conditions near room temperature. Therefore, when silicon nitride is coated on a base material made of silicon oxide, if the silicon nitride film is etched to the end under the low temperature condition, the underlying silicon oxide is greatly etched.
On the other hand, as the substrate temperature increased, the etching rate of silicon nitride and the etching rate of silicon oxide were reversed at less than 40 ° C. When the substrate temperature was set to 40 ° C. or higher, the etching rate of silicon nitride became faster than the etching rate of silicon oxide, and the selection ratio surely exceeded 1. When the substrate temperature was 50 ° C. or higher, the selectivity was 5 or higher, and the etching rate of silicon oxide was sufficiently slow. When the substrate temperature was 60 ° C. or higher, the selectivity was 10 or higher and the etching rate of silicon oxide was further slowed. When the substrate temperature was 70 ° C. or higher, the selectivity was 20 or higher, and silicon oxide was hardly etched. Therefore, by switching from the first etching process to the second etching process at the end of the etching process and setting the substrate temperature to 50 ° C. or higher, preferably 60 ° C. or higher, more preferably 70 ° C. or higher, the silicon nitride film is surely selected. It was shown that it can be etched. It was shown that the etching of the entire silicon nitride film can be completed with little etching of the underlying silicon oxide.

[参考例2]
参考例2では、基板温度に応じた窒化シリコンのエッチング深さの経時変化を調べた。図1に示す被処理物90と同様の構造のサンプルを用いた。すなわち、ガラス基板上に酸化シリコンが被膜され、その上に窒化シリコンが被膜されたサンプルを用いた。ガラス基板の寸法は参考例1と同じであった。酸化シリコンの膜厚は、約1μmであった。窒化シリコンの初期膜厚は、約6μmであった。上記のサンプルをステージ30に載置し、かつプラズマ生成部20の直下に位置決めした。
[Reference Example 2]
In Reference Example 2, the change with time in the etching depth of silicon nitride according to the substrate temperature was examined. A sample having the same structure as the workpiece 90 shown in FIG. 1 was used. That is, a sample in which silicon oxide was coated on a glass substrate and silicon nitride was coated thereon was used. The dimensions of the glass substrate were the same as in Reference Example 1. The silicon oxide film thickness was about 1 μm. The initial film thickness of silicon nitride was about 6 μm. The sample was placed on the stage 30 and positioned immediately below the plasma generation unit 20.

処理ガス用の原料ガスとして、CFとArと水(HO)の混合ガスを用いた。CF及びArの供給流量は以下の通りとした。
CF: 0.1SLM
Ar: 1SLM
加湿器13によって、原料ガスの露点が18℃程度になるよう、水分を添加した。
上記原料ガスを大気圧の放電空間22にて活性化し、処理ガスを生成した。プラズマ放電条件は以下の通りとした。
放電空間22の厚さ(電極21,21間の間隔): 1mm
電極21,21間の印加電圧: Vpp=13kV
印加電圧の周波数: 40kHz(パルス波)
A mixed gas of CF 4 , Ar, and water (H 2 O) was used as a raw material gas for the processing gas. The supply flow rates of CF 4 and Ar were as follows.
CF 4 : 0.1 SLM
Ar: 1SLM
Water was added by the humidifier 13 so that the dew point of the source gas was about 18 ° C.
The source gas was activated in the discharge space 22 at atmospheric pressure to generate a processing gas. The plasma discharge conditions were as follows.
Discharge space 22 thickness (interval between electrodes 21 and 21): 1 mm
Applied voltage between electrodes 21 and 21: Vpp = 13 kV
Frequency of applied voltage: 40 kHz (pulse wave)

上記の処理ガスを幅方向(図1の紙面と直交する方向)に均一な流れ分布になるようにして吹出口23から吹き出し、サンプルに吹き付けてエッチングを行なった。エッチング処理期間中、ステージ30の移動は行なわず、サンプルを吹出口23の直下の位置に静止させた。   Etching was performed by blowing the processing gas from the outlet 23 so as to obtain a uniform flow distribution in the width direction (direction perpendicular to the paper surface of FIG. 1) and spraying the sample on the sample. During the etching process, the stage 30 was not moved, and the sample was stopped at a position directly below the air outlet 23.

基板温度は、室温(27℃)と80℃との2通りとした。
これら2通りの温度条件下でそれぞれエッチング処理を行ない、処理開始時からのエッチング量を時間を追って測定した。
There were two substrate temperatures, room temperature (27 ° C.) and 80 ° C.
Each of these two temperature conditions was subjected to an etching process, and the etching amount from the start of the process was measured over time.

結果を図3に示す。
基板温度が室温のときは、エッチング量が時間とともにほぼ直線状に、かつ急勾配で増加した。処理開始から15秒程度で深さ5μm近くまでエッチングでき、20秒程度で深さ6μm近くまでエッチングできた。したがって、室温条件では、窒化シリコンの膜厚が大きくても、ケイフッ化アンモニウム等の影響をほとんど受けることがなく高速でエッチングできることが確認された。
The results are shown in FIG.
When the substrate temperature was room temperature, the etching amount increased substantially linearly with time and with a steep slope. In about 15 seconds from the start of the treatment, etching was possible to a depth of close to 5 μm, and in about 20 seconds, etching was possible to a depth of nearly 6 μm. Therefore, it was confirmed that, under room temperature conditions, even if the silicon nitride film thickness is large, it can be etched at a high speed with almost no influence from ammonium silicofluoride.

これに対し、基板温度が80℃のときは、深さ1μm付近までは処理開始から約20秒で到達し、そこそこのエッチング速度を示したが、1μmを超えるとエッチング速度が次第に遅くなった。そして、エッチング深さが2.5μm〜2.7μm付近になるとエッチング量が横這いになり、エッチング反応がほとんど進まなくなった。これは、固形のケイフッ化アンモニウムが漸次堆積したためと考えられる。   On the other hand, when the substrate temperature was 80 ° C., the depth reached around 1 μm in about 20 seconds from the start of the treatment, and showed a moderate etching rate. However, when the substrate temperature exceeded 1 μm, the etching rate gradually decreased. Then, when the etching depth was in the vicinity of 2.5 μm to 2.7 μm, the etching amount became flat and the etching reaction hardly proceeded. This is considered because solid ammonium silicofluoride was gradually deposited.

以上の結果から、本発明によれば、初期膜厚が1μm以上、更には2.5μm以上の窒化シリコンをエッチングするのに有効であることが確認された。すなわち、エッチング開始時から高温条件にしたのでは、窒化シリコンの初期膜厚が1μm以上の場合、エッチングに長時間を要し、しかも、深さ2.5μm以上はエッチング不能になる。これに対し、本発明では、エッチング開始から終期近くまでは基板を室温近傍にすることで、エッチング深さが1μmは勿論、2.5μmを超えても十分にエッチングでき、かつ高速でエッチングできる。   From the above results, it was confirmed that the present invention is effective for etching silicon nitride having an initial film thickness of 1 μm or more, and further 2.5 μm or more. That is, under the high temperature condition from the beginning of etching, when the initial film thickness of silicon nitride is 1 μm or more, the etching takes a long time, and when the depth is 2.5 μm or more, etching becomes impossible. On the other hand, in the present invention, from the start to the end of etching, the substrate is kept near room temperature, so that the etching can be sufficiently performed at a high speed even when the etching depth exceeds 2.5 μm as well as 1 μm.

実施例1では、図1に示す被処理物90と同様の構造のサンプルに対し、大気圧プラズマエッチング装置1を用いて、第1エッチング工程及び第2エッチング工程を順次実行した。ガラス基板91の寸法は参考例1と同じであった。酸化シリコン92の膜厚は、約1μmであった。窒化シリコン93の初期膜厚は、約5.5μmであった。   In Example 1, the first etching process and the second etching process were sequentially performed on the sample having the same structure as the workpiece 90 shown in FIG. 1 using the atmospheric pressure plasma etching apparatus 1. The dimensions of the glass substrate 91 were the same as those in Reference Example 1. The film thickness of the silicon oxide 92 was about 1 μm. The initial film thickness of the silicon nitride 93 was about 5.5 μm.

[第1エッチング工程]
上記の被処理物90を大気圧プラズマエッチング装置1のステージ30に載置し、かつプラズマ生成部20の直下に位置決めした。
被処理物90の温度は、室温(27℃)とした。
[First etching step]
The workpiece 90 was placed on the stage 30 of the atmospheric pressure plasma etching apparatus 1 and positioned directly below the plasma generation unit 20.
The temperature of the workpiece 90 was room temperature (27 ° C.).

第1エッチング工程の処理ガス用の原料ガスとして、CFとArと水(HO)の混合ガスを用いた。CF及びArの供給流量は以下の通りとした。
CF: 0.1SLM
Ar: 1SLM
加湿器13によって、原料ガスの露点が18℃程度になるよう、水分を添加した。
上記原料ガスを大気圧の放電空間22にて活性化し、処理ガスを生成した。プラズマ放電条件は以下の通りとした。
放電空間22の厚さ(電極21,21間の間隔): 1mm
電極21,21間の印加電圧: Vpp=13kV
印加電圧の周波数: 40kHz(パルス波)
A mixed gas of CF 4 , Ar, and water (H 2 O) was used as a source gas for the processing gas in the first etching step. The supply flow rates of CF 4 and Ar were as follows.
CF 4 : 0.1 SLM
Ar: 1SLM
Water was added by the humidifier 13 so that the dew point of the source gas was about 18 ° C.
The source gas was activated in the discharge space 22 at atmospheric pressure to generate a processing gas. The plasma discharge conditions were as follows.
Discharge space 22 thickness (interval between electrodes 21 and 21): 1 mm
Applied voltage between electrodes 21 and 21: Vpp = 13 kV
Frequency of applied voltage: 40 kHz (pulse wave)

上記の処理ガスを幅方向(図1の紙面と直交する方向)に均一な流れ分布になるようにして吹出口23から吹き出し、被処理物90に吹き付けて、第1エッチング工程を行なった。第1エッチング工程の処理時間は、約15秒間であった。
第1エッチング工程の期間中、ステージ30の移動は行なわず、被処理物90を吹出口23の直下の位置に静止させた。
The above-described processing gas was blown out from the blowout port 23 so as to have a uniform flow distribution in the width direction (a direction orthogonal to the paper surface of FIG. 1), and sprayed onto the workpiece 90 to perform the first etching step. The processing time of the first etching process was about 15 seconds.
During the first etching step, the stage 30 was not moved, and the workpiece 90 was stopped at a position directly below the blowout port 23.

図4に示すように、第1エッチング工程によって、窒化シリコン膜93を約4.5μmの深さまでエッチングした。したがって、第1エッチング工程における窒化シリコン膜93のエッチング割合は全膜厚の約82%であった。第1エッチング工程の終了時点の窒化シリコン膜93の残り厚さは、約1μmであった。   As shown in FIG. 4, the silicon nitride film 93 was etched to a depth of about 4.5 μm by the first etching process. Therefore, the etching rate of the silicon nitride film 93 in the first etching process was about 82% of the total film thickness. The remaining thickness of the silicon nitride film 93 at the end of the first etching process was about 1 μm.

[洗浄工程]
続いて、被処理物90をステージ30から取り外して、洗浄工程を行なった。洗浄剤として水を用いた。シャワーノズルから水を被処理物90に供給し、被処理物90の表面を水洗した。
なお、図4の横軸の処理時間には、洗浄工程にかかった時間が省かれている。
[Washing process]
Subsequently, the workpiece 90 was removed from the stage 30 and a cleaning process was performed. Water was used as a cleaning agent. Water was supplied from the shower nozzle to the workpiece 90, and the surface of the workpiece 90 was washed with water.
The time taken for the cleaning process is omitted from the processing time on the horizontal axis in FIG.

[第2エッチング工程]
洗浄後の被処理物90を再び上記大気圧プラズマエッチング装置1のステージ30にセットし、プラズマ生成部20の直下に位置決めした。
第2エッチング工程では、温度調節部50にて被処理物90の温度を80℃になるよう調節した。
[Second etching step]
The object 90 after cleaning was set again on the stage 30 of the atmospheric pressure plasma etching apparatus 1 and positioned immediately below the plasma generation unit 20.
In the second etching step, the temperature of the workpiece 90 was adjusted to 80 ° C. by the temperature adjusting unit 50.

第2エッチング工程における原料ガスの組成及び供給流量、並びにプラズマ放電条件は第1エッチング工程と同じとし、第1エッチング工程と同様の処理ガスを生成した。上記処理ガスを幅方向(図1の紙面と直交する方向)に均一な流れ分布になるようにして吹出口23から吹き出し、被処理物90に吹き付けて、第2エッチング工程を行なった。第2エッチング工程の処理時間は、約15秒間であった。
第2エッチング工程の期間中、ステージ30の移動は行なわず、被処理物90を吹出口23の直下の位置に静止させた。
The composition and supply flow rate of the source gas and the plasma discharge conditions in the second etching step were the same as those in the first etching step, and a processing gas similar to that in the first etching step was generated. The process gas was blown out from the blower outlet 23 so as to have a uniform flow distribution in the width direction (direction perpendicular to the paper surface of FIG. 1), and sprayed onto the workpiece 90 to perform the second etching step. The processing time of the second etching process was about 15 seconds.
During the second etching step, the stage 30 was not moved, and the workpiece 90 was stopped at a position directly below the outlet 23.

図4において「実施例1」の実線に示すように、第2エッチング工程によって、窒化シリコンの残膜約1μmを完全にエッチングすることができた。第2エッチング工程における窒化シリコン膜のエッチング割合は全膜厚の約18%であった。   As shown by the solid line in “Example 1” in FIG. 4, the remaining silicon nitride film of about 1 μm could be completely etched by the second etching process. The etching rate of the silicon nitride film in the second etching process was about 18% of the total film thickness.

第2エッチング工程の終了後、露出した酸化シリコン膜92のエッチング量を測定したところ、約5nmであった。したがって、酸化シリコン膜92に殆どエッチングダメージを与えることなく、窒化シリコン膜93のエッチング処理を行なうことができた。   When the etching amount of the exposed silicon oxide film 92 was measured after completion of the second etching step, it was about 5 nm. Therefore, the silicon nitride film 93 can be etched with almost no etching damage to the silicon oxide film 92.

図4から明らかな通り、第1エッチング工程のエッチング速度は、第2エッチング工程のエッチング速度より大きい。したがって、本発明によれば、第1エッチング工程によって窒化シリコン膜の大半を高速でエッチングできる。、その後、第2エッチング工程によって、下地の酸化シリコンを殆どエッチングすることなく、窒化シリコン膜の残部をきれいにエッチングすることができる。   As is apparent from FIG. 4, the etching rate in the first etching step is higher than the etching rate in the second etching step. Therefore, according to the present invention, most of the silicon nitride film can be etched at high speed by the first etching step. Thereafter, the remaining portion of the silicon nitride film can be cleanly etched by the second etching step without substantially etching the underlying silicon oxide.

実施例2では、洗浄工程を省略した。すなわち、第1エッチング工程の後、洗浄工程を経ずに、第2エッチング工程に移行した。それ以外の処理条件及び内容は、実施例1と同一とした。   In Example 2, the cleaning process was omitted. That is, after the first etching process, the process shifted to the second etching process without passing through the cleaning process. Other processing conditions and contents were the same as those in Example 1.

図4に示すように、洗浄工程を行なわなかった場合(同図の二点鎖線)の第2エッチング工程におけるエッチング速度は、洗浄工程を行なった場合(同図の実線)より遅くなった。これは、第1エッチング工程で生じたケイフッ化アンモニウムによる影響と考えられる。
実施例1及び実施例2より、洗浄工程を介在させることで、第2エッチング工程を短縮できることが示された。
As shown in FIG. 4, the etching rate in the second etching process when the cleaning process was not performed (two-dot chain line in the figure) was slower than that when the cleaning process was performed (solid line in the figure). This is considered to be the influence of ammonium silicofluoride generated in the first etching step.
From Example 1 and Example 2, it was shown that the second etching process can be shortened by interposing a cleaning process.

本発明は、例えばフラットパネルディスプレイ(FPD)や半導体ウェハの製造に適用可能である。   The present invention is applicable, for example, to the manufacture of flat panel displays (FPD) and semiconductor wafers.

1 大気圧プラズマエッチング装置
10 処理ガス供給系
11 原料ガス供給ライン
12 フッ素原料ガス供給部
13 加湿器(水添加部)
20 プラズマ生成部
21 電極
22 放電空間
23 吹出口
30 ステージ(基板支持部)
40 移動機構
50 温度調節部
90 被処理物
91 ガラス基板
92 酸化シリコン膜(下地材)
93 窒化シリコン膜
DESCRIPTION OF SYMBOLS 1 Atmospheric pressure plasma etching apparatus 10 Processing gas supply system 11 Raw material gas supply line 12 Fluorine raw material gas supply part 13 Humidifier (water addition part)
20 Plasma generator 21 Electrode 22 Discharge space 23 Blowout 30 Stage (substrate support part)
40 moving mechanism 50 temperature adjusting unit 90 object 91 glass substrate 92 silicon oxide film (base material)
93 Silicon nitride film

Claims (9)

酸化シリコンを含有する下地材にエッチング対象の窒化シリコン膜が被膜された被処理物を、フッ化水素及び凝縮性添加成分を含有する処理ガスによってエッチングするエッチング方法であって、
前記被処理物の温度を室温近傍にして、前記処理ガスを前記被処理物に接触させる第1エッチング工程と、
前記第1エッチング工程の後、前記被処理物の温度を50℃〜130℃にして、前記処理ガスを前記被処理物に接触させる第2エッチング工程と、
を実行することを特徴とする窒化シリコンのエッチング方法。
An etching method for etching an object to be processed, in which a silicon nitride film to be etched is coated on a base material containing silicon oxide with a processing gas containing hydrogen fluoride and a condensable additive component,
A first etching step of bringing the processing gas into contact with the processing object by bringing the temperature of the processing object close to room temperature;
After the first etching step, a temperature of the object to be processed is set to 50 ° C. to 130 ° C., and a second etching step for bringing the processing gas into contact with the object to be processed;
A method of etching silicon nitride, characterized in that:
前記第1エッチング工程によって前記窒化シリコン膜のうち80%〜99%の膜厚分をエッチングし、前記第2エッチング工程によって前記窒化シリコン膜のうち残り1%〜20%の膜厚分をエッチングすることを特徴とする請求項1に記載のエッチング方法。   80% to 99% of the silicon nitride film is etched by the first etching process, and the remaining 1% to 20% of the silicon nitride film is etched by the second etching process. The etching method according to claim 1. 前記第1エッチング工程と前記第2エッチング工程との間に、前記被処理物を洗浄する洗浄工程を介在させることを特徴とする請求項1又は2に記載のエッチング方法。   The etching method according to claim 1, wherein a cleaning process for cleaning the object to be processed is interposed between the first etching process and the second etching process. 前記洗浄工程において、前記被処理物に水を接触させることを特徴とする請求項3に記載のエッチング方法。   The etching method according to claim 3, wherein, in the cleaning step, water is brought into contact with the object to be processed. 前記窒化シリコン膜の初期膜厚が、2.5μm以上であることを特徴とする請求項1〜4の何れか1項に記載のエッチング方法。   The etching method according to claim 1, wherein an initial film thickness of the silicon nitride film is 2.5 μm or more. 前記第1エッチング工程における前記被処理物の温度を20℃〜30℃に調節することを特徴とする請求項1〜5の何れか1項に記載のエッチング方法。   6. The etching method according to claim 1, wherein the temperature of the object to be processed in the first etching step is adjusted to 20 ° C. to 30 ° C. 6. 前記第2エッチング工程における前記被処理物の温度を60℃〜110℃に調節することを特徴とする請求項1〜6の何れか1項に記載のエッチング方法。   The etching method according to claim 1, wherein the temperature of the object to be processed in the second etching step is adjusted to 60 ° C. to 110 ° C. 前記第2エッチング工程における前記被処理物の温度を70℃〜100℃に調節することを特徴とする請求項1〜7の何れか1項に記載のエッチング方法。   The etching method according to claim 1, wherein the temperature of the object to be processed in the second etching step is adjusted to 70 ° C. to 100 ° C. 酸化シリコンを含有する下地材にエッチング対象の窒化シリコン膜が被膜された被処理物を、フッ化水素及び凝縮性添加成分を含有する処理ガスによってエッチングするエッチング装置であって、
前記被処理物を支持する支持部と、
前記処理ガスを前記被処理物に接触させる処理ガス供給系と、
前記窒化シリコン膜の大半がエッチングされる迄、前記被処理物の温度を室温近傍にし、前記窒化シリコン膜の残り分をエッチングするとき、前記被処理物の温度を50℃〜130℃にする温度調節部と、
を備えたことを特徴とする窒化シリコンのエッチング装置。
An etching apparatus for etching an object to be processed, in which a silicon nitride film to be etched is coated on a base material containing silicon oxide with a processing gas containing hydrogen fluoride and a condensable additive component,
A support portion for supporting the object to be processed;
A processing gas supply system for bringing the processing gas into contact with the workpiece;
The temperature of the object to be processed is set to room temperature until the majority of the silicon nitride film is etched, and the temperature of the object to be processed is set to 50 ° C. to 130 ° C. when the remaining portion of the silicon nitride film is etched. An adjustment unit;
An apparatus for etching silicon nitride, comprising:
JP2010031581A 2010-02-16 2010-02-16 Silicon nitride etching method and apparatus Expired - Fee Related JP5476152B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010031581A JP5476152B2 (en) 2010-02-16 2010-02-16 Silicon nitride etching method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010031581A JP5476152B2 (en) 2010-02-16 2010-02-16 Silicon nitride etching method and apparatus

Publications (2)

Publication Number Publication Date
JP2011171378A true JP2011171378A (en) 2011-09-01
JP5476152B2 JP5476152B2 (en) 2014-04-23

Family

ID=44685209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010031581A Expired - Fee Related JP5476152B2 (en) 2010-02-16 2010-02-16 Silicon nitride etching method and apparatus

Country Status (1)

Country Link
JP (1) JP5476152B2 (en)

Cited By (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014171214A1 (en) * 2013-04-19 2014-10-23 東京エレクトロン株式会社 Etching method
JP2014216331A (en) * 2013-04-22 2014-11-17 株式会社日立ハイテクノロジーズ Plasma etching method
JP2015079877A (en) * 2013-10-17 2015-04-23 東京エレクトロン株式会社 Etching apparatus, etching method, and substrate-setting mechanism
JP2015529405A (en) * 2012-09-20 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective etching of silicon carbonitride
WO2015186461A1 (en) * 2014-06-02 2015-12-10 東京エレクトロン株式会社 Method for etching
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03204930A (en) * 1989-10-02 1991-09-06 Dainippon Screen Mfg Co Ltd Method of selectively removing insulating film
JP2002025973A (en) * 2000-07-07 2002-01-25 Dainippon Screen Mfg Co Ltd Etching method and device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03204930A (en) * 1989-10-02 1991-09-06 Dainippon Screen Mfg Co Ltd Method of selectively removing insulating film
JP2002025973A (en) * 2000-07-07 2002-01-25 Dainippon Screen Mfg Co Ltd Etching method and device

Cited By (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2015529405A (en) * 2012-09-20 2015-10-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Selective etching of silicon carbonitride
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014171214A1 (en) * 2013-04-19 2014-10-23 東京エレクトロン株式会社 Etching method
US9691630B2 (en) 2013-04-19 2017-06-27 Tokyo Electron Limited Etching method
CN105122432A (en) * 2013-04-19 2015-12-02 东京毅力科创株式会社 Etching method
JP2014225629A (en) * 2013-04-19 2014-12-04 東京エレクトロン株式会社 Etching method
JP2014216331A (en) * 2013-04-22 2014-11-17 株式会社日立ハイテクノロジーズ Plasma etching method
JP2015079877A (en) * 2013-10-17 2015-04-23 東京エレクトロン株式会社 Etching apparatus, etching method, and substrate-setting mechanism
WO2015186461A1 (en) * 2014-06-02 2015-12-10 東京エレクトロン株式会社 Method for etching
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9865474B2 (en) 2014-10-21 2018-01-09 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
JP5476152B2 (en) 2014-04-23

Similar Documents

Publication Publication Date Title
JP5476152B2 (en) Silicon nitride etching method and apparatus
JP5002073B2 (en) Etching method for silicon-containing film
KR20140004579A (en) Removal of polysilicon and native oxide with high selectivity
JP2002237480A (en) Method of treating base material with discharge plasma
WO2015070168A1 (en) Method and hardware for enhanced removal of post etch polymer and hardmask removal
JP2009033202A (en) Method of removing high dielectric constant material from deposition chamber
WO2005114715A1 (en) Method for cleaning substrate surface
US10037882B2 (en) Method for cleaning wafer
WO2007125851A1 (en) Method for etching of silicon
US20150136186A1 (en) System for processing substrates with two or more ultraviolet light sources that provide different wavelengths of light
JP4180109B2 (en) Etching method and apparatus, and object to be processed
KR101209351B1 (en) Method and apparatus for etching silicon-containing films
JP4977230B2 (en) Etching method and apparatus
KR101146118B1 (en) Dry etch method for silicon oxide
WO2010035522A1 (en) Method and apparatus for etching silicon-containing film
JP5888674B2 (en) Etching apparatus, etching method and cleaning apparatus
KR101134909B1 (en) Dry etch method for silicon oxide
JP2007201067A (en) Surface treatment method and equipment
JP2005347278A (en) Discharge plasma processing apparatus
JP2010062433A (en) Method and apparatus for etching silicon-containing film
JP5276223B2 (en) Etching method and apparatus
US20200339611A1 (en) Water-repellent protective film-forming agent, water-repellent protective film-forming chemical solution, and wafer surface treatment method
JP2009094209A (en) Etching method of silicon
JPH03204932A (en) Removal of coating film on silicon layer and selective removal of silicon natural oxide film
JP2012216582A (en) Etching method for silicon-containing material

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121016

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130620

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130820

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140207

R151 Written notification of patent or utility model registration

Ref document number: 5476152

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

LAPS Cancellation because of no payment of annual fees