JP2011018832A - Semiconductor device, and method of manufacturing the same - Google Patents

Semiconductor device, and method of manufacturing the same Download PDF

Info

Publication number
JP2011018832A
JP2011018832A JP2009163650A JP2009163650A JP2011018832A JP 2011018832 A JP2011018832 A JP 2011018832A JP 2009163650 A JP2009163650 A JP 2009163650A JP 2009163650 A JP2009163650 A JP 2009163650A JP 2011018832 A JP2011018832 A JP 2011018832A
Authority
JP
Japan
Prior art keywords
film
layer
pad electrode
wiring layer
wire
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009163650A
Other languages
Japanese (ja)
Inventor
Hiroshi Ishizeki
浩史 石関
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sanyo Electric Co Ltd
System Solutions Co Ltd
Original Assignee
Sanyo Electric Co Ltd
Sanyo Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Electric Co Ltd, Sanyo Semiconductor Co Ltd filed Critical Sanyo Electric Co Ltd
Priority to JP2009163650A priority Critical patent/JP2011018832A/en
Publication of JP2011018832A publication Critical patent/JP2011018832A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05083Three-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/4501Shape
    • H01L2224/45012Cross-sectional shape
    • H01L2224/45015Cross-sectional shape being circular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45144Gold (Au) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/44Structure, shape, material or disposition of the wire connectors prior to the connecting process
    • H01L2224/45Structure, shape, material or disposition of the wire connectors prior to the connecting process of an individual wire connector
    • H01L2224/45001Core members of the connector
    • H01L2224/45099Material
    • H01L2224/451Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/45138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/45147Copper (Cu) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48599Principal constituent of the connecting portion of the wire connector being Gold (Au)
    • H01L2224/486Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof
    • H01L2224/48617Principal constituent of the connecting portion of the wire connector being Gold (Au) with a principal constituent of the bonding area being a metal or a metalloid, e.g. boron (B), silicon (Si), germanium (Ge), arsenic (As), antimony (Sb), tellurium (Te) and polonium (Po), and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950 °C
    • H01L2224/48624Aluminium (Al) as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/485Material
    • H01L2224/48505Material at the bonding interface
    • H01L2224/48799Principal constituent of the connecting portion of the wire connector being Copper (Cu)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/0105Tin [Sn]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/012Semiconductor purity grades
    • H01L2924/012033N purity grades, i.e. 99.9%
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/012Semiconductor purity grades
    • H01L2924/012044N purity grades, i.e. 99.99%
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/207Diameter ranges
    • H01L2924/20753Diameter ranges larger or equal to 30 microns less than 40 microns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/20Parameters
    • H01L2924/207Diameter ranges
    • H01L2924/20754Diameter ranges larger or equal to 40 microns less than 50 microns

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device allowing a Cu wire to be mounted on a Cu wiring layer.SOLUTION: The semiconductor device includes: a protective layer 6 formed on a silicon substrate 1; a Cu wiring layer 9 formed on the protective layer 6 and electrically connected to a semiconductor element formed on the silicon substrate 1; a resin film 10 covering the Cu wiring layer 9 and formed on the protective layer 6; a pad electrode 12 connected to the Cu wiring layer 9 through an opening region 11 formed on the resin film 10; and a Cu wire 14 wire-bonded onto the pad electrode 12, wherein an alloy layer 13 is arranged between the Cu wire 14 and the Cu wiring layer 9.

Description

本発明は、銅配線層とパッド電極との間に合金層を形成し、銅ワイヤとパッド電極との接合強度を向上させる半導体装置及びその製造方法に関する。   The present invention relates to a semiconductor device in which an alloy layer is formed between a copper wiring layer and a pad electrode to improve the bonding strength between the copper wire and the pad electrode, and a method for manufacturing the same.

従来の半導体装置の製造方法の一実施例として、図11(A)〜図11(D)に示す製造方法が知られている。図11(A)〜図11(D)は、従来の半導体装置の製造方法を説明する断面図である。   As an example of a conventional method for manufacturing a semiconductor device, a manufacturing method shown in FIGS. 11A to 11D is known. 11A to 11D are cross-sectional views illustrating a conventional method for manufacturing a semiconductor device.

先ず、図11(A)に示す如く、シリコン基板31上面に、例えば、CVD法によりシリコン酸化膜等の層間絶縁膜32を形成する。次に、例えば、ダマシン法により層間絶縁膜32に溝33を形成し、溝33内をバリアメタル膜34、Cu配線層35により埋設する。   First, as shown in FIG. 11A, an interlayer insulating film 32 such as a silicon oxide film is formed on the upper surface of the silicon substrate 31 by, eg, CVD. Next, for example, a trench 33 is formed in the interlayer insulating film 32 by a damascene method, and the inside of the trench 33 is buried with a barrier metal film 34 and a Cu wiring layer 35.

次に、図11(B)に示す如く、層間絶縁膜32上の全面に、例えば、スパッタリング法によりバリアメタル膜36を形成する。そして、溝33内のCu配線層35上面を被覆するようにバリアメタル膜36を選択的に加工する。   Next, as shown in FIG. 11B, a barrier metal film 36 is formed on the entire surface of the interlayer insulating film 32 by, eg, sputtering. Then, the barrier metal film 36 is selectively processed so as to cover the upper surface of the Cu wiring layer 35 in the groove 33.

次に、図11(C)に示す如く、層間絶縁膜32上の全面にSiN膜37を形成し、バリアメタル膜36が露出するようにSiN膜37に開口部38を形成する。   Next, as shown in FIG. 11C, an SiN film 37 is formed on the entire surface of the interlayer insulating film 32, and an opening 38 is formed in the SiN film 37 so that the barrier metal film 36 is exposed.

次に、図11(D)に示す如く、開口部38を埋設するようにSiN膜37上の全面にAl膜を堆積する。そして、Al膜を選択的に加工し、Cu配線層35上にAlパッド39を形成する(例えば、特許文献1参照。)。   Next, as shown in FIG. 11D, an Al film is deposited on the entire surface of the SiN film 37 so as to fill the opening 38. Then, the Al film is selectively processed to form an Al pad 39 on the Cu wiring layer 35 (see, for example, Patent Document 1).

特開2002−353221号公報(第3−4頁、第1−4図)JP 2002-353221 A (page 3-4, Fig. 1-4)

従来の半導体装置の製造方法では、Cu配線層35のCuがAlパッド39へと拡散し、Alパッド39全体が合金化することで、Alパッド39の硬度が増大し、金属細線がAlパッド39へワイヤボンディング出来なくなることを防止するため、Cu配線層35とAlパッド39との間にバリアメタル膜36を配置する。そして、バリアメタル膜36を形成する際には、シリコン基板31(半導体ウエハ)上全面にバリアメタル膜36を堆積した後に、エッチング加工し、所望の形状に成形する。   In the conventional method of manufacturing a semiconductor device, Cu in the Cu wiring layer 35 diffuses into the Al pad 39 and the entire Al pad 39 is alloyed, whereby the hardness of the Al pad 39 is increased and the fine metal wire is formed into the Al pad 39. In order to prevent the wire bonding from becoming impossible, a barrier metal film 36 is disposed between the Cu wiring layer 35 and the Al pad 39. When the barrier metal film 36 is formed, the barrier metal film 36 is deposited on the entire surface of the silicon substrate 31 (semiconductor wafer), and then etched to form a desired shape.

このとき、前記シリコン基板31(半導体ウエハ)とバリアメタル膜36のそれぞれの膜特性の違いから反りが発生してしまうという問題があった。   At this time, there is a problem that warpage occurs due to a difference in film characteristics between the silicon substrate 31 (semiconductor wafer) and the barrier metal film 36.

また、前述したように、CuがAlパッド39へ拡散することを防止するため、バリアメタル膜36を形成する。そのため、バリアメタル膜36を堆積し、エッチング加工する工程が必要となり、製造コストや材料コストが増大するという問題があった。   Further, as described above, the barrier metal film 36 is formed in order to prevent Cu from diffusing into the Al pad 39. For this reason, a process of depositing the barrier metal film 36 and performing an etching process is required, which increases the manufacturing cost and the material cost.

また、Alパッド39と接続する金属ワイヤとして金ワイヤが用いられる場合、金ワイヤは銅ワイヤと比較して材料費が高く、原価コストを引き上げる問題があった。しかも、金ワイヤは銅ワイヤよりも許容電流密度が小さいため、大電流を扱う半導体素子では金ワイヤの径を太くする必要があり、材料コストが余分に掛かるという問題があった。   Further, when a gold wire is used as the metal wire connected to the Al pad 39, the gold wire has a higher material cost than the copper wire, and there is a problem of raising the cost cost. Moreover, since the allowable current density of the gold wire is smaller than that of the copper wire, it is necessary to increase the diameter of the gold wire in a semiconductor element that handles a large current, resulting in an extra material cost.

上述した各事情に鑑みて成されたものであり、本発明の半導体装置は、半導体層上に形成される絶縁層と、前記絶縁層上に形成され、前記半導体層に形成される半導体素子と電気的に接続する銅配線層と、前記銅配線層を被覆し、前記絶縁層上に形成される樹脂膜と、前記樹脂膜に形成される開口領域を介して前記銅配線層と接続するパッド電極と、前記パッド電極上にワイヤボンディングされる銅ワイヤとを有し、前記パッド電極の一部分は、前記銅配線層との境界から合金層となり、前記銅ワイヤは、前記合金層上の前記パッド電極の他の部分に接続されることを特徴とする。   In view of the above circumstances, the semiconductor device of the present invention includes an insulating layer formed on a semiconductor layer, a semiconductor element formed on the insulating layer, and formed on the semiconductor layer. A copper wiring layer that is electrically connected, a resin film that covers the copper wiring layer and is formed on the insulating layer, and a pad that is connected to the copper wiring layer through an opening region formed in the resin film An electrode and a copper wire wire-bonded on the pad electrode, and a part of the pad electrode becomes an alloy layer from a boundary with the copper wiring layer, and the copper wire is formed on the pad on the alloy layer. It is connected to the other part of the electrode.

また、本発明の半導体装置の製造方法は、半導体層に半導体素子を形成し、前記半導体層上に絶縁層を形成し、前記絶縁層上に前記半導体素子と電気的に接続する銅配線層を形成する工程と、前記銅配線層を被覆するように前記絶縁層上に樹脂膜を形成した後、前記樹脂膜に前記銅配線層が露出するように開口領域を形成する工程と、前記開口領域を介して前記銅配線層と電気的に接続するパッド電極を形成した後ベーク処理を行い、前記銅配線層の境界から前記パッド電極の一部分を合金層とする工程と、前記合金層上の前記パッド電極の他の部分に銅ワイヤをワイヤボンディングする工程とを有することを特徴とする。   According to another aspect of the present invention, there is provided a method for manufacturing a semiconductor device comprising: forming a semiconductor element in a semiconductor layer; forming an insulating layer on the semiconductor layer; and forming a copper wiring layer electrically connected to the semiconductor element on the insulating layer. Forming a resin film on the insulating layer so as to cover the copper wiring layer, and forming an opening region so that the copper wiring layer is exposed on the resin film; and the opening region Forming a pad electrode electrically connected to the copper wiring layer through a baking process, and forming a part of the pad electrode as an alloy layer from the boundary of the copper wiring layer; and And a step of wire bonding a copper wire to the other part of the pad electrode.

本発明では、パッド電極の一部分を合金層とし、パッド電極の他の部分をAl膜やAl合金膜のまま維持し、その部分にCuワイヤを接続させることで、パッド電極とCuワイヤとの接合強度が向上される。   In the present invention, a part of the pad electrode is an alloy layer, the other part of the pad electrode is maintained as an Al film or an Al alloy film, and a Cu wire is connected to the part, thereby joining the pad electrode and the Cu wire. Strength is improved.

また、本発明では、Cu配線層上にパッド電極を形成することで、Cu配線層上にCuワイヤを接続させることができる。そして、従来のようなAuワイヤを用いる場合に比べ材料コストの低減を図りつつ、大電流仕様品にも対応可能となる。   Moreover, in this invention, Cu wire can be connected on Cu wiring layer by forming a pad electrode on Cu wiring layer. In addition, it is possible to cope with a product with a large current specification while reducing the material cost as compared with the case of using a conventional Au wire.

また、本発明では、ベーク処理によりパッド電極の一部分を合金層とし、バリアメタル膜を堆積する工程を省略することで、歩留まりを改善させつつ、製造コストも抑えることができる。   In the present invention, a part of the pad electrode is made an alloy layer by baking, and the step of depositing the barrier metal film is omitted, so that the manufacturing cost can be suppressed while improving the yield.

本発明の実施の形態における半導体装置を説明する断面図である。It is sectional drawing explaining the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置を説明する断面解析図である。1 is a cross-sectional analysis view illustrating a semiconductor device in an embodiment of the present invention. 本発明の実施の形態における半導体装置を説明する断面図(A)及び平面図(B)である。1A and 1B are a cross-sectional view and a plan view illustrating a semiconductor device in an embodiment of the present invention. 本発明の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in embodiment of this invention. 本発明の他の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in other embodiment of this invention. 従来の実施の形態における半導体装置の製造方法を説明する断面図である。It is sectional drawing explaining the manufacturing method of the semiconductor device in conventional embodiment.

以下に、本発明の実施の形態である半導体装置について、図1〜図3を参照し説明する。図1は、半導体装置を説明する断面図である。図2(A)〜(C)は、パッド電極を説明する断面解析図である。図3(A)は、パッド電極及びその周辺の構造を説明する断面図である。図3(B)は、パッド電極及びその周辺の構造を説明する平面図である。   A semiconductor device according to an embodiment of the present invention will be described below with reference to FIGS. FIG. 1 is a cross-sectional view illustrating a semiconductor device. 2A to 2C are cross-sectional analysis diagrams illustrating the pad electrode. FIG. 3A is a cross-sectional view illustrating the structure of the pad electrode and its periphery. FIG. 3B is a plan view illustrating the structure of the pad electrode and its periphery.

図1に示す如く、シリコン基板1上には、絶縁処理用の絶縁層2が形成される。絶縁層2としては、例えば、シリコン酸化膜、NSG(Nondoped Silicate Glass)膜、BPSG(Boron Phospho Silicate Glass)膜等の少なくとも1層が選択される。尚、シリコン基板1としては、単結晶基板でなるもの、単結晶基板上にエピタキシャル層が形成されるものが考えられる。また、シリコン基板1としては、化合物半導体基板であってもよい。   As shown in FIG. 1, an insulating layer 2 for insulation processing is formed on a silicon substrate 1. As the insulating layer 2, for example, at least one layer such as a silicon oxide film, a NSG (Nondoped Silicate Glass) film, a BPSG (Boron Phospho Silicate Glass) film, or the like is selected. The silicon substrate 1 may be a single crystal substrate or an epitaxial layer formed on the single crystal substrate. The silicon substrate 1 may be a compound semiconductor substrate.

配線層3が、絶縁層2上に形成され、シリコン基板1に形成された半導体素子と電気的に接続される。配線層3は、3層構造から成り、バリアメタル膜上に金属膜が形成され、その金属膜上に反射防止膜が形成される。そして、バリアメタル膜は、例えば、チタン(Ti)やチタンナイトライド(TiN)等の高融点金属から成る。また、金属膜は、例えば、アルミニウム(Al)膜やアルミニウム−銅(Al−Cu)膜やアルミニウム−シリコン−銅(Al−Si−Cu)膜等のAlを主体とする合金膜から成る。また、反射防止膜は、例えば、TiN、チタンタングステン(TiW)等の高融点金属から成る。そして、配線層3の膜厚は、例えば、0.4〜3.0μmである。   A wiring layer 3 is formed on the insulating layer 2 and is electrically connected to a semiconductor element formed on the silicon substrate 1. The wiring layer 3 has a three-layer structure, and a metal film is formed on the barrier metal film, and an antireflection film is formed on the metal film. The barrier metal film is made of a refractory metal such as titanium (Ti) or titanium nitride (TiN). The metal film is made of an alloy film mainly composed of Al, such as an aluminum (Al) film, an aluminum-copper (Al-Cu) film, or an aluminum-silicon-copper (Al-Si-Cu) film. The antireflection film is made of a refractory metal such as TiN or titanium tungsten (TiW). And the film thickness of the wiring layer 3 is 0.4-3.0 micrometers, for example.

保護層6が、配線層3上を含め、絶縁層2上に形成される。保護層6は、平坦化膜4と、その平坦化膜4上に形成されたシリコン窒化膜5により形成される。平坦化膜4は、例えば、TEOS酸化膜、SOG(Spin On Glass)膜及びTEOS酸化膜が積層されて成る。そして、シリコン窒化膜5は、半導体素子内への水分の浸入を防止し、配線層3等の腐食を防止する。そして、開口領域7が、配線層3上の保護層6に形成される。   The protective layer 6 is formed on the insulating layer 2 including the wiring layer 3. The protective layer 6 is formed by the planarizing film 4 and the silicon nitride film 5 formed on the planarizing film 4. The planarizing film 4 is formed by stacking, for example, a TEOS oxide film, an SOG (Spin On Glass) film, and a TEOS oxide film. The silicon nitride film 5 prevents moisture from entering the semiconductor element and prevents corrosion of the wiring layer 3 and the like. An opening region 7 is formed in the protective layer 6 on the wiring layer 3.

メッキ用金属層8が、開口領域7を介して保護層6上にパターン配置される。メッキ用金属層8は、開口領域7内にも配置され、開口領域7内では配線層3と直接接続する。   A plating metal layer 8 is arranged in a pattern on the protective layer 6 through the opening region 7. The plating metal layer 8 is also disposed in the opening region 7 and is directly connected to the wiring layer 3 in the opening region 7.

このメッキ用金属層8は、例えば、バリアメタル膜とCuシード膜との積層構造から成る。バリアメタル膜としては、例えば、クロム(Cr)層、Ti層またはTiW層等が用いられる。そして、Cuシード膜は、メッキ層を形成する際の種として用いられる。   The plating metal layer 8 has a laminated structure of a barrier metal film and a Cu seed film, for example. As the barrier metal film, for example, a chromium (Cr) layer, a Ti layer, a TiW layer, or the like is used. The Cu seed film is used as a seed for forming the plating layer.

Cu配線層9が、メッキ用金属層8上面に、例えば、電解メッキ法により形成される。Cu配線層9の膜厚は、例えば、8.0〜10.0μmである。   The Cu wiring layer 9 is formed on the upper surface of the plating metal layer 8 by, for example, electrolytic plating. The film thickness of the Cu wiring layer 9 is, for example, 8.0 to 10.0 μm.

樹脂膜10が、Cu配線層9上を含め、保護層6上面に形成される。樹脂膜10は、例えば、ポリベンズオキサゾール(PBO)膜またはポリイミド樹脂膜等から成り、スピンコート法により塗布される。尚、PBO膜は、感光性樹脂であり、高耐熱性、応力緩和特性及び低誘電性等の特性を有する膜である。   A resin film 10 is formed on the upper surface of the protective layer 6 including the Cu wiring layer 9. The resin film 10 is made of, for example, a polybenzoxazole (PBO) film or a polyimide resin film, and is applied by a spin coating method. The PBO film is a photosensitive resin, and is a film having characteristics such as high heat resistance, stress relaxation characteristics, and low dielectric properties.

そして、樹脂膜10の膜厚は、例えば、10.0〜15.0μm程度であり、樹脂膜10はCu配線層9を完全に被覆する。そして、開口領域11が、Cu配線層9上の樹脂膜10に形成される。開口領域11からはCu配線層9の一部が露出する。   The film thickness of the resin film 10 is, for example, about 10.0 to 15.0 μm, and the resin film 10 completely covers the Cu wiring layer 9. An opening region 11 is formed in the resin film 10 on the Cu wiring layer 9. A part of the Cu wiring layer 9 is exposed from the opening region 11.

パッド電極12が、開口領域11内を介してCu配線層9上にパターン配置される。パッド電極12は、開口領域11内ではCu配線層9と直接接続する。そして、パッド電極12は、Al膜や前述したAl−Cu膜やAl−Si−Cu膜等のAl合金膜から成り、その膜厚は1.0μm程度である。詳細は後述するが、パッド電極12では、Cu配線層9との境界領域から合金層13が形成され、図示したように、合金層13の上面には、パッド電極12を構成するAl膜等が存在する。この構造により、Cuワイヤ14は、パッド電極12のAl膜部分と接続し、その接合強度も維持される。尚、パッド電極12の膜厚は、使用される用途に応じて任意の設計変更が可能であり、例えば、1.0〜3.5μmの範囲で調整される。   The pad electrode 12 is arranged in a pattern on the Cu wiring layer 9 through the opening region 11. The pad electrode 12 is directly connected to the Cu wiring layer 9 in the opening region 11. The pad electrode 12 is made of an Al film or an Al alloy film such as the aforementioned Al—Cu film or Al—Si—Cu film, and has a thickness of about 1.0 μm. Although details will be described later, in the pad electrode 12, an alloy layer 13 is formed from a boundary region with the Cu wiring layer 9, and as illustrated, an Al film or the like constituting the pad electrode 12 is formed on the upper surface of the alloy layer 13. Exists. With this structure, the Cu wire 14 is connected to the Al film portion of the pad electrode 12, and the bonding strength is also maintained. The film thickness of the pad electrode 12 can be arbitrarily changed depending on the application to be used, and is adjusted, for example, in the range of 1.0 to 3.5 μm.

Cuワイヤ14は、例えば、径が33〜50μm、99.9〜99.99wt%の銅から成るものが使用される。図示したように、Cuワイヤ14は、パッド電極12上にワイヤボンディングされる。そして、Cuワイヤ14を用いることで、材料特性としてAuワイヤよりも比抵抗が小さく単価も安いことから、Auワイヤを用いる場合よりも材料コストが低減される。尚、Cuワイヤ14の径は、使用される用途に応じて任意の設計変更が可能である。   The Cu wire 14 is made of, for example, copper having a diameter of 33 to 50 μm and 99.9 to 99.99 wt%. As illustrated, the Cu wire 14 is wire bonded onto the pad electrode 12. By using the Cu wire 14, the material property is lower than that of the Au wire and the unit price is lower than that of the Au wire. Therefore, the material cost is reduced as compared with the case of using the Au wire. In addition, the design of the diameter of the Cu wire 14 can be arbitrarily changed according to the intended use.

次に、図2(A)〜(C)は、Cuメッキ層とAl膜との間にベーク処理により形成される合金層を解析するための断面図である。図2(A)は、ベーク処理前の断面解析図であり、図2(B)は、300℃にてベーク処理を行った後の断面解析図であり、図2(C)は、350℃にてベーク処理を行った後の断面解析図である。尚、全ての断面解析図において、最表面には解析装置内にて堆積されるカーボン層が存在する。以下の場合では、Al膜として説明するが、Al合金膜の場合でも同様である。   Next, FIGS. 2A to 2C are cross-sectional views for analyzing an alloy layer formed by baking between the Cu plating layer and the Al film. 2A is a cross-sectional analysis diagram before baking, FIG. 2B is a cross-sectional analysis after baking at 300 ° C., and FIG. 2C is 350 ° C. It is a cross-sectional analysis figure after performing a baking process in FIG. In all cross-sectional analysis diagrams, a carbon layer deposited in the analysis apparatus exists on the outermost surface. In the following cases, description will be made on the Al film, but the same applies to the case of an Al alloy film.

先ず、図2(A)に示す如く、一点鎖線上方であり、+印01にて示す領域は、解析時に堆積されるカーボン層である。一点鎖線と二点鎖線との間であり、+印02にて示す領域は、Al膜であり、図1に示すパッド電極12のAl膜部分に対応する。二点鎖線下方であり、+印03にて示す領域は、Cuメッキ層であり、図1に示すCu配線層9に対応する。   First, as shown in FIG. 2 (A), the region above the alternate long and short dash line and indicated by the + mark 01 is a carbon layer deposited at the time of analysis. A region between the alternate long and short dash line and indicated by + mark 02 is an Al film and corresponds to the Al film portion of the pad electrode 12 shown in FIG. A region below the two-dot chain line and indicated by + mark 03 is a Cu plating layer and corresponds to the Cu wiring layer 9 shown in FIG.

この場合には、Cuメッキ層上面にAl膜を堆積し、ベーク処理を行っていないため、Al膜は、堆積時の1.0μmの厚みを維持している。しかしながら、図示していないが、課題の欄でも前述したように、後工程での処理熱が加わることで、Cuメッキ層のCuがAl膜へと拡散し、Al膜内のAlとCuとが金属反応し合金層となり、その膜質はAl膜よりも硬くなってしまう。つまり、パッド電極12全体が、AlとCuとを含む合金層13となり、その膜質が硬くなることで、パッド電極12に対してCuワイヤ14(図1参照)をワイヤボンディングすることができない。   In this case, since the Al film is deposited on the upper surface of the Cu plating layer and the baking process is not performed, the Al film maintains the thickness of 1.0 μm at the time of deposition. However, although not shown in the drawing, as described above in the problem column, when heat is applied in the subsequent process, Cu in the Cu plating layer diffuses into the Al film, and Al and Cu in the Al film are dispersed. The metal reacts to become an alloy layer, and the film quality becomes harder than the Al film. That is, the entire pad electrode 12 becomes the alloy layer 13 containing Al and Cu, and the film quality becomes hard, so that the Cu wire 14 (see FIG. 1) cannot be wire-bonded to the pad electrode 12.

次に、図2(B)に示す如く、点線上方であり、+印01にて示す領域は、解析時に堆積されるカーボン層である。点線と一点鎖線との間であり、+印02にて示す領域は、Al膜であり、図1に示すパッド電極12のAl膜部分に対応する。一点鎖線と二点鎖線との間であり、+印03にて示す領域は、合金層であり、図1に示すパッド電極12の合金層13部分に対応する。二点鎖線下方であり、+印04にて示す領域は、Cuメッキ層であり、図1に示すCu配線層9に対応する。   Next, as shown in FIG. 2 (B), the area above the dotted line and indicated by the + mark 01 is a carbon layer deposited at the time of analysis. A region between the dotted line and the alternate long and short dash line and indicated by + mark 02 is an Al film and corresponds to the Al film portion of the pad electrode 12 shown in FIG. A region between the one-dot chain line and the two-dot chain line and indicated by + mark 03 is an alloy layer and corresponds to the alloy layer 13 portion of the pad electrode 12 shown in FIG. A region below the two-dot chain line and indicated by a + mark 04 is a Cu plating layer and corresponds to the Cu wiring layer 9 shown in FIG.

この場合には、300℃にてベーク処理を行うことで、Cuメッキ層とAl膜との境界から、例えば、Al膜の一部分が前述した合金層と成る。その一方、合金層上方には、Al膜が存在することで、Al膜の表面側の膜質はワイヤボンディング可能な柔らかさを維持している。つまり、パッド電極12の表面側はAl膜の状態を維持し、Cuワイヤ14(図1参照)をワイヤボンディングすることができる。更に、パッド電極12内に前述した合金層13を有することで、後工程での処理熱が加わった場合でも、Cu配線層9内のCuがパッド電極12へと拡散することを防止できる。ここで、本実施形態では、例えば、300℃、20分程度ベーク処理したものであるが、270℃乃至330℃の範囲内で行われることが好ましい。尚、パッド電極12が完全に合金化しない範囲内でベーク処理されるものであればよい。   In this case, by performing the baking process at 300 ° C., for example, a part of the Al film becomes the above-described alloy layer from the boundary between the Cu plating layer and the Al film. On the other hand, since the Al film exists above the alloy layer, the film quality on the surface side of the Al film maintains the softness capable of wire bonding. That is, the surface side of the pad electrode 12 maintains the state of the Al film, and the Cu wire 14 (see FIG. 1) can be wire-bonded. Furthermore, by having the above-described alloy layer 13 in the pad electrode 12, it is possible to prevent Cu in the Cu wiring layer 9 from diffusing into the pad electrode 12 even when heat is applied in a later process. Here, in this embodiment, for example, baking is performed at 300 ° C. for about 20 minutes, but it is preferably performed within a range of 270 ° C. to 330 ° C. In addition, what is necessary is just to be baked within the range in which the pad electrode 12 is not completely alloyed.

次に、図2(C)に示す如く、一点鎖線上方であり、+印01にて示す領域は、解析時に堆積されるカーボン層である。一点鎖線と二点鎖線との間であり、+印02にて示す領域は、合金層であり、図1に示すパッド電極12の合金層13部分に対応する。二点鎖線下方であり、+印03にて示す領域は、Cuメッキ層であり、図1に示すCu配線層9に対応する。   Next, as shown in FIG. 2 (C), the region above the alternate long and short dash line and indicated by + mark 01 is a carbon layer deposited at the time of analysis. A region between the one-dot chain line and the two-dot chain line and indicated by + mark 02 is an alloy layer, and corresponds to the alloy layer 13 portion of the pad electrode 12 shown in FIG. A region below the two-dot chain line and indicated by + mark 03 is a Cu plating layer and corresponds to the Cu wiring layer 9 shown in FIG.

この場合には、350℃にてベーク処理を行うことで、全てのAl膜が前述した合金層となる。つまり、パッド電極12全体がベーク処理により合金層13となり、その膜質が硬くなることで、Cuワイヤ14(図1参照)をワイヤボンディングすることができない。   In this case, by performing baking at 350 ° C., all the Al films become the alloy layers described above. That is, the entire pad electrode 12 becomes the alloy layer 13 by the baking process, and the film quality becomes hard, so that the Cu wire 14 (see FIG. 1) cannot be wire-bonded.

前述したように、ベーク処理温度等の製造条件を調整し、パッド電極12の一部を合金層13とすることで、パッド電極12の表面側にはAl膜の領域を存在させる。この構造により、詳細は半導体装置の製造方法にて説明するが、パッド電極12を構成するAl膜上面にバリアメタル膜を形成する必要が無くなり、そのバリアメタル膜のスパッタリング形成時の膜応力によりシリコン基板1(半導体ウエハ)の外周領域が反り上がることも無くなる。そのため、前記樹脂膜10へのクラックの発生を低減させ、外観不良の発生を減らし、歩留まりを向上させることができる。   As described above, the manufacturing conditions such as the baking temperature are adjusted, and a part of the pad electrode 12 is used as the alloy layer 13 so that an Al film region exists on the surface side of the pad electrode 12. Although this structure will be described in detail in the method of manufacturing a semiconductor device, it is not necessary to form a barrier metal film on the upper surface of the Al film constituting the pad electrode 12, and silicon is formed by film stress at the time of sputtering formation of the barrier metal film. The peripheral area of the substrate 1 (semiconductor wafer) is not warped. Therefore, it is possible to reduce the occurrence of cracks in the resin film 10, reduce the appearance defects, and improve the yield.

次に、図3(A)では、図3(B)に示すA−A線方向の断面図を示す。図示の如く、Cuワイヤ14が、開口領域11内のパッド電極12上にワイヤボンディングされる。パッド電極12はCuワイヤ14との接合強度を向上させるために設けられ、その膜厚は、例えば、1.0μm程度である。このとき、前述したように、パッド電極12の一部は合金層13となり、合金層13上面にパッド電極12のAl膜の領域が存在する。そして、ワイヤボンディング時の荷重により、Cuワイヤ14のCuボール15は、若干、パッド電極12のAl膜内へと食い込み、Cuボール15の周囲にはスプラッシュ16が発生する。しかしながら、パッド電極12のAl膜部分の膜厚が薄いため、スプラッシュ16は、開口領域11側面のパッド電極12とCuボール15との間に、若干、発生する程度である。この構造により、隣り合うパッド電極12において、スプラッシュ16が接触し、ショートすることはない。   Next, FIG. 3A illustrates a cross-sectional view in the direction of the line AA illustrated in FIG. As shown in the figure, a Cu wire 14 is wire-bonded on the pad electrode 12 in the opening region 11. The pad electrode 12 is provided in order to improve the bonding strength with the Cu wire 14, and the film thickness is, for example, about 1.0 μm. At this time, as described above, a part of the pad electrode 12 becomes the alloy layer 13, and the Al film region of the pad electrode 12 exists on the upper surface of the alloy layer 13. Due to the load during wire bonding, the Cu ball 15 of the Cu wire 14 slightly bites into the Al film of the pad electrode 12, and splash 16 is generated around the Cu ball 15. However, since the thickness of the Al film portion of the pad electrode 12 is thin, the splash 16 is slightly generated between the pad electrode 12 on the side surface of the opening region 11 and the Cu ball 15. With this structure, the splash 16 does not contact and short-circuit between adjacent pad electrodes 12.

尚、Cuボール15の大きさを小さくすることでワイヤボンディング荷重を調整し、前述したスプラッシュ16の発生を極力抑えることもできる。また、パッド電極12の膜厚は、前述したCuワイヤ14との接合強度を向上させるため、ワイヤボンディング後にCuボール15と合金層13との間にAl膜が残存する程度あれば良い。   Note that the wire bonding load can be adjusted by reducing the size of the Cu ball 15 to suppress the generation of the splash 16 as much as possible. Further, the film thickness of the pad electrode 12 may be such that the Al film remains between the Cu ball 15 and the alloy layer 13 after wire bonding in order to improve the bonding strength with the Cu wire 14 described above.

次に、図3(B)に示す如く、点線で示すCu配線層9の上面には樹脂膜10が配置される。そして、一点鎖線は開口領域11を示し、一点鎖線の内側の実線はCuボール15を示し、一点鎖線の外側の実線はパッド電極12を示す。尚、図3(B)ではスプラッシュ16(図3(A)参照)は図示していない。   Next, as shown in FIG. 3B, a resin film 10 is disposed on the upper surface of the Cu wiring layer 9 indicated by a dotted line. The alternate long and short dash line indicates the opening region 11, the solid line inside the alternate long and short dash line indicates the Cu ball 15, and the solid line outside the alternate long and short dash line indicates the pad electrode 12. In FIG. 3B, the splash 16 (see FIG. 3A) is not shown.

先ず、パッド電極12の下面に配置される前述した樹脂膜10は、その膜上に金属膜がスパッタ形成されるとその金属膜の応力によりクラックが発生し易い膜であり、製品品質上そのクラックの発生を防止することが望ましい。しかしながら、本発明では、Al膜やAl合金膜から成るパッド電極12を樹脂膜10上面に配置する必要がある。更に、前述したように、パッド電極12の一部が合金層13となり、合金層13の一部は樹脂層10と当接する領域にも形成される。そこで、本発明では、前述したパッド電極12の形状を、例えば、円形、楕円形等の丸形状に形成した。そして、パッド電極12は、その外周面に鋭角な形状を有さない構造となることで、鋭角な箇所から樹脂膜10にクラックが発生することを抑止する。   First, the above-described resin film 10 disposed on the lower surface of the pad electrode 12 is a film that is liable to generate cracks due to the stress of the metal film when the metal film is formed on the film by sputtering. It is desirable to prevent the occurrence of However, in the present invention, the pad electrode 12 made of an Al film or an Al alloy film needs to be disposed on the upper surface of the resin film 10. Furthermore, as described above, a part of the pad electrode 12 becomes the alloy layer 13, and a part of the alloy layer 13 is also formed in a region in contact with the resin layer 10. Therefore, in the present invention, the shape of the pad electrode 12 described above is formed in a round shape such as a circle or an ellipse, for example. And the pad electrode 12 becomes a structure which does not have an acute angle shape in the outer peripheral surface, and suppresses that a crack generate | occur | produces in the resin film 10 from an acute angle location.

同様に、開口領域11も、例えば、円形、楕円形等の丸形状に形成される。更に、図3(A)に示すように、開口領域11の側面は外側へ広がるように緩やかな傾斜となる。そして、開口領域11内にはパッド電極12が形成されるが、パッド電極12と樹脂膜10との境界に位置する開口領域11の形状に鋭角な箇所が配置されない構造とする。その結果、前述したように、樹脂膜10にクラックが発生することを抑止する。   Similarly, the opening region 11 is also formed in a round shape such as a circle or an ellipse, for example. Further, as shown in FIG. 3A, the side surface of the opening region 11 has a gentle slope so as to spread outward. In addition, the pad electrode 12 is formed in the opening region 11, but an acute angle portion is not disposed in the shape of the opening region 11 located at the boundary between the pad electrode 12 and the resin film 10. As a result, as described above, the generation of cracks in the resin film 10 is suppressed.

次に、Cu配線層9の抵抗値を低減させるため、前述したようにCu配線層9の膜厚は厚くなる。そのため、Cu配線層9上に薄膜であるパッド電極12を形成する際に、Cu配線層9による段差が問題となる。   Next, in order to reduce the resistance value of the Cu wiring layer 9, the thickness of the Cu wiring layer 9 is increased as described above. Therefore, when the pad electrode 12 which is a thin film is formed on the Cu wiring layer 9, a step due to the Cu wiring layer 9 becomes a problem.

具体的には、パッド電極12は、シリコン基板1上の全面にAl膜やAl合金膜を堆積した後に、レジスト膜をマスクにしてエッチングによりパターニングすることで形成される。このとき、前述したCu配線層9上を含むシリコン基板1上にシリコン窒化膜等から成る絶縁膜を形成した場合には、その絶縁膜ではCu配線層9の側壁を埋めることができない。そして、その絶縁層によってはCu配線層9の側壁による段差が解消されない状態にて、その絶縁膜上にAl膜やAl合金膜が堆積される。一方、レジスト膜は、Al膜やAl合金膜をパターニングした後に全て除去されるが、前述したCu配線層9の段差により本来除去されるべきレジスト膜が、Cu配線層9の側壁に除去されずに残ってしまう。その結果、この残ったレジスト膜に被覆され、Cu配線層9の側壁にはAl膜やAl合金膜の残渣が発生し、パターニング不良となる問題がある。   Specifically, the pad electrode 12 is formed by depositing an Al film or an Al alloy film on the entire surface of the silicon substrate 1 and then patterning by etching using a resist film as a mask. At this time, when an insulating film made of a silicon nitride film or the like is formed on the silicon substrate 1 including the Cu wiring layer 9 described above, the side wall of the Cu wiring layer 9 cannot be filled with the insulating film. Then, depending on the insulating layer, an Al film or an Al alloy film is deposited on the insulating film in a state where the step due to the side wall of the Cu wiring layer 9 is not eliminated. On the other hand, the resist film is completely removed after patterning the Al film or the Al alloy film, but the resist film that should be originally removed due to the step of the Cu wiring layer 9 is not removed on the side wall of the Cu wiring layer 9. Will remain. As a result, the remaining resist film is covered, and a residue of the Al film or the Al alloy film is generated on the side wall of the Cu wiring layer 9, resulting in a patterning failure.

そこで、本発明では、例えば、PBO膜から成る樹脂膜10の膜厚を、例えば、10.0μm〜15.0μm程度とすることで、Cu配線層9の段差を大幅に低減させ、上述したパターニング不良を抑止している。図3(A)の丸印17で示す領域は、図3(B)の点線上面に対応する。図示したように、樹脂膜10を用いることでCu配線層9の段差はほぼ解消され、樹脂膜10の表面は、実質、平坦化される。   Therefore, in the present invention, for example, by setting the film thickness of the resin film 10 made of a PBO film to, for example, about 10.0 μm to 15.0 μm, the step of the Cu wiring layer 9 is greatly reduced, and the above-described patterning is performed. Defects are suppressed. A region indicated by a circle 17 in FIG. 3A corresponds to the upper surface of the dotted line in FIG. As shown in the figure, the step of the Cu wiring layer 9 is substantially eliminated by using the resin film 10, and the surface of the resin film 10 is substantially flattened.

尚、本実施の形態では、Al膜やAl合金膜から構成される配線層3上にCu配線層9が形成される場合について説明したが、この場合に限定するものではない。例えば、シリコン基板1上にAl配線層を有することなく、Cu配線層のみにより配線パターンが形成される場合でも良い。その他、本発明の要旨を逸脱しない範囲で、種々の変更が可能である。   In this embodiment, the case where the Cu wiring layer 9 is formed on the wiring layer 3 composed of an Al film or an Al alloy film has been described. However, the present invention is not limited to this case. For example, the wiring pattern may be formed only by the Cu wiring layer without having the Al wiring layer on the silicon substrate 1. In addition, various modifications can be made without departing from the scope of the present invention.

次に、本発明の実施の形態である半導体装置の製造方法について、図4〜図9を参照し説明する。図4〜図9は、本実施の形態における半導体装置の製造方法を説明する断面図である。尚、本実施の形態では、図1に示す構造の製造方法を説明するため、同一の構成部材には同一の符番を付している。   Next, a method for manufacturing a semiconductor device according to an embodiment of the present invention will be described with reference to FIGS. 4 to 9 are cross-sectional views illustrating a method for manufacturing a semiconductor device in the present embodiment. In the present embodiment, the same reference numerals are assigned to the same constituent members in order to describe the manufacturing method of the structure shown in FIG.

先ず、図4に示す如く、シリコン基板(半導体ウエハ)1を準備し、シリコン基板1上に絶縁層2を形成する。尚、シリコン基板1(エピタキシャル層が形成されている場合には、エピタキシャル層も含む)には、拡散領域により半導体素子が形成される。また、絶縁層2としては、シリコン酸化膜、NSG膜、BPSG膜等の少なくとも1層が選択される。   First, as shown in FIG. 4, a silicon substrate (semiconductor wafer) 1 is prepared, and an insulating layer 2 is formed on the silicon substrate 1. In the silicon substrate 1 (including an epitaxial layer when an epitaxial layer is formed), a semiconductor element is formed by a diffusion region. As the insulating layer 2, at least one layer such as a silicon oxide film, an NSG film, and a BPSG film is selected.

次に、絶縁層2上に配線層3を形成する。具体的には、シリコン基板1上に、例えば、スパッタリング法により、バリアメタル膜、金属膜及び反射防止膜を積層する。その後、フォトリソグラフィ技術及びエッチング技術を用い、前述したバリアメタル膜、金属膜及び反射防止膜を選択的に除去し、配線層3を形成する。   Next, the wiring layer 3 is formed on the insulating layer 2. Specifically, a barrier metal film, a metal film, and an antireflection film are laminated on the silicon substrate 1 by, for example, a sputtering method. Thereafter, using the photolithography technique and the etching technique, the barrier metal film, the metal film, and the antireflection film are selectively removed to form the wiring layer 3.

次に、配線層3上面を含む、絶縁層2上面に保護層6を形成する。保護層6としては、例えば、TEOS酸化膜、SOG膜及びTEOS酸化膜から成る平坦化膜4と、シリコン窒化膜5とを3000〜10000Å程度積層する。その後、フォトリソグラフィ技術及びエッチング技術を用い、シリコン窒化膜5と平坦化膜4を選択的に除去し、開口領域7を形成する。   Next, the protective layer 6 is formed on the upper surface of the insulating layer 2 including the upper surface of the wiring layer 3. As the protective layer 6, for example, a planarizing film 4 made of a TEOS oxide film, an SOG film, and a TEOS oxide film, and a silicon nitride film 5 are laminated to about 3000 to 10,000 mm. Thereafter, the silicon nitride film 5 and the planarizing film 4 are selectively removed by using a photolithography technique and an etching technique, and an opening region 7 is formed.

次に、図5に示す如く、前述した保護層6上面に、例えば、スパッタリング法により、バリアメタル膜21とCuシード層22とから成るメッキ用金属層8を堆積する。そして、メッキ用金属層8は、開口領域7を介して配線層3と電気的に接続する。その後、Cu配線層9(図6参照)を形成するため、Cu配線層9の形成領域を除いた部分にフォトレジスト層23を形成する。   Next, as shown in FIG. 5, a plating metal layer 8 composed of a barrier metal film 21 and a Cu seed layer 22 is deposited on the upper surface of the protective layer 6 by, for example, sputtering. The plating metal layer 8 is electrically connected to the wiring layer 3 through the opening region 7. Thereafter, in order to form the Cu wiring layer 9 (see FIG. 6), a photoresist layer 23 is formed in a portion excluding the formation region of the Cu wiring layer 9.

次に、図6に示す如く、電解メッキ法により、Cu配線層9を形成した後、フォトレジスト層23(図5参照)を取り除く。その後、Cu配線層9をマスクとして用い、ウエットエッチングによりメッキ用金属層8を選択的に除去する。   Next, as shown in FIG. 6, after forming the Cu wiring layer 9 by electrolytic plating, the photoresist layer 23 (see FIG. 5) is removed. Thereafter, using the Cu wiring layer 9 as a mask, the plating metal layer 8 is selectively removed by wet etching.

前述したように、メッキ用金属層8としてのバリアメタル膜21及びCuシード層22(図5参照)上面に電解メッキ法によりCu配線層9を形成する。そのため、スパッタリング法によりCu配線層を形成する場合と比較して配線パターンの微細加工も容易であり、半導体素子の微細化も実現し易い。更に、電解メッキ法を用いることで、Cu配線層9の膜厚を容易に厚くすることができ、Cu配線層9の抵抗値の低減も実現される。   As described above, the Cu wiring layer 9 is formed on the upper surfaces of the barrier metal film 21 and the Cu seed layer 22 (see FIG. 5) as the plating metal layer 8 by the electrolytic plating method. Therefore, compared with the case where the Cu wiring layer is formed by the sputtering method, it is easy to finely process the wiring pattern, and it is easy to realize miniaturization of the semiconductor element. Furthermore, by using the electrolytic plating method, the thickness of the Cu wiring layer 9 can be easily increased, and the resistance value of the Cu wiring layer 9 can be reduced.

次に、図7に示す如く、Cu配線層9上を含む、絶縁層2上面に、例えば、スピンコート法により樹脂膜10を形成する。材料としては、PBO膜、感光性ポリイミド樹脂膜等が用いられる。そして、フォトリソグラフィ技術及びエッチング技術を用い、樹脂膜10を選択的に除去し、開口領域11を形成する。   Next, as shown in FIG. 7, the resin film 10 is formed on the upper surface of the insulating layer 2 including the Cu wiring layer 9 by, for example, spin coating. As a material, a PBO film, a photosensitive polyimide resin film, or the like is used. Then, the resin film 10 is selectively removed using a photolithography technique and an etching technique, and the opening region 11 is formed.

このとき、Cu配線層9上面を被覆する膜として樹脂膜10を用いることで、Cu配線層9による段差を容易に解消でき、樹脂膜10の表面の平坦化が実現される。そして、ウエットエッチングにより開口領域11を形成することで、開口領域11側面の傾斜が緩やかとなる。   At this time, by using the resin film 10 as a film covering the upper surface of the Cu wiring layer 9, the step due to the Cu wiring layer 9 can be easily eliminated, and the surface of the resin film 10 can be flattened. Then, by forming the opening region 11 by wet etching, the side surface of the opening region 11 has a gentle inclination.

次に、図8に示す如く、逆スパッタリング法により、開口領域11から露出するCu配線層9表面の酸化膜を除去した後、スパッタリング法により樹脂膜10上面にAl膜24を形成する。その後、パッド電極12(図9参照)の形成領域の上面が被覆されるようにフォトレジスト層25を選択的に形成する。   Next, as shown in FIG. 8, after the oxide film on the surface of the Cu wiring layer 9 exposed from the opening region 11 is removed by the reverse sputtering method, the Al film 24 is formed on the upper surface of the resin film 10 by the sputtering method. Thereafter, a photoresist layer 25 is selectively formed so as to cover the upper surface of the formation region of the pad electrode 12 (see FIG. 9).

最後に、図9に示す如く、例えば、ドライエッチングによりAl膜24を選択的に除去し、パッド電極12を形成し、フォトレジスト層25(図8参照)を除去する。そして、シリコン基板1(半導体ウエハ)に対して、例えば、300℃のベーク処理を加えることで、Cu配線層9とパッド電極12の境界から合金層13を形成する。合金層13は、Cu配線層9内のCuがパッド電極12へと拡散し、AlとCuが金属反応することで形成され、主に、Cu配線層9とパッド電極12との当接面及びその近傍領域に形成される。尚、ベーク処理温度を300℃程度とすることで、パッド電極12の全体が合金層13となることを防止できる。そして、合金層13の膜厚に応じて、ベーク処理温度は任意の設計変更が可能である。その後、シリコン基板1の裏面側からバックグラインドし、シリコン基板1の膜厚を調整し、シリコン基板1(半導体ウエハ)を個片化し個々の半導体チップとする。そして、個片化された半導体チップをリードフレーム等の基板上にダイボンディングし、半導体チップのパッド電極12にCuワイヤ14をワイヤボンディングする。   Finally, as shown in FIG. 9, for example, the Al film 24 is selectively removed by dry etching, the pad electrode 12 is formed, and the photoresist layer 25 (see FIG. 8) is removed. Then, an alloy layer 13 is formed from the boundary between the Cu wiring layer 9 and the pad electrode 12 by performing, for example, a baking process at 300 ° C. on the silicon substrate 1 (semiconductor wafer). The alloy layer 13 is formed by the diffusion of Cu in the Cu wiring layer 9 to the pad electrode 12 and the metal reaction of Al and Cu, mainly the contact surface between the Cu wiring layer 9 and the pad electrode 12 and It is formed in the vicinity area. In addition, it can prevent that the whole pad electrode 12 becomes the alloy layer 13 by making baking process temperature into about 300 degreeC. Depending on the film thickness of the alloy layer 13, the bake treatment temperature can be arbitrarily changed. Thereafter, back grinding is performed from the back side of the silicon substrate 1, the film thickness of the silicon substrate 1 is adjusted, and the silicon substrate 1 (semiconductor wafer) is divided into individual semiconductor chips. The separated semiconductor chip is die-bonded on a substrate such as a lead frame, and a Cu wire 14 is wire-bonded to the pad electrode 12 of the semiconductor chip.

前述したように、Cu配線層9上にパッド電極12を形成する際に、Cu配線層9とパッド電極12間にバリアメタル膜を形成することなく、ベーク処理により合金層13を形成する。ここで、従前の技術では、Cu配線層9とパッド電極12との接合強度の向上やCuの拡散防止のため、パッド電極12下面にバリアメタル膜が配置される。そして、バリアメタル膜は、Al膜24と同様に、先ず、スパッタリング法によりシリコン基板1(半導体ウエハ)全面に形成される。そして、バリアメタル膜のスパッタリング形成時の膜応力によりシリコン基板1(半導体ウエハ)の外周領域が反り上がり、樹脂膜10へクラックが発生し、外観不良へと繋がる。そこで、本発明では、Cu配線層9上にはAl膜24のみを堆積し、シリコン基板1(半導体ウエハ)の外周領域の反り上がり量を低減させる。その一方で、ベーク処理によりCu配線層9とパッド電極12間に合金層13を形成することで、Cu配線層9とパッド電極12との接合強度を向上させ、パッド電極12へのCuの拡散も防止させる。   As described above, when the pad electrode 12 is formed on the Cu wiring layer 9, the alloy layer 13 is formed by baking without forming a barrier metal film between the Cu wiring layer 9 and the pad electrode 12. Here, in the conventional technique, a barrier metal film is disposed on the lower surface of the pad electrode 12 in order to improve the bonding strength between the Cu wiring layer 9 and the pad electrode 12 and to prevent diffusion of Cu. In the same manner as the Al film 24, the barrier metal film is first formed on the entire surface of the silicon substrate 1 (semiconductor wafer) by sputtering. Then, the outer peripheral region of the silicon substrate 1 (semiconductor wafer) is warped due to the film stress at the time of forming the barrier metal film by sputtering, and a crack is generated in the resin film 10, which leads to an appearance defect. Therefore, in the present invention, only the Al film 24 is deposited on the Cu wiring layer 9 to reduce the amount of warpage of the outer peripheral region of the silicon substrate 1 (semiconductor wafer). On the other hand, by forming an alloy layer 13 between the Cu wiring layer 9 and the pad electrode 12 by baking, the bonding strength between the Cu wiring layer 9 and the pad electrode 12 is improved and Cu is diffused into the pad electrode 12. Also prevent.

また、Cu配線層9の段差が解消され、樹脂膜10の表面が、実質、平坦化されることで、Al膜24は均一な膜厚で堆積され易い。そして、Al膜24をエッチング加工する際に、本来取り除くべきフォトレジスト層25(図8参照)が全て除去され、Cu配線層9の側壁にAl膜24の残渣が発生するといったパターニング不良を防止できる。また、パッド電極12は、Cuワイヤ14との接合強度を向上させることを目的とするため、その膜厚は、例えば、1.0μm程度有していれば良い。その結果、Al膜24はドライエッチングにより加工することが可能となる。   Further, the step of the Cu wiring layer 9 is eliminated and the surface of the resin film 10 is substantially planarized, so that the Al film 24 is easily deposited with a uniform film thickness. Then, when the Al film 24 is etched, all of the photoresist layer 25 (see FIG. 8) that should be removed is completely removed, and a patterning defect such as generation of a residue of the Al film 24 on the sidewall of the Cu wiring layer 9 can be prevented. . Moreover, since the pad electrode 12 aims at improving the bonding strength with the Cu wire 14, the film thickness should just have about 1.0 micrometer, for example. As a result, the Al film 24 can be processed by dry etching.

尚、本実施の形態では、Al膜24を加工する際にドライエッチングにより行う場合について説明したが、この場合に限定するものではない。例えば、Cuワイヤ14をパッド電極12上面にワイヤボンディングする際の衝撃をより緩和するために、Al膜24を、例えば、3.0μm程度堆積した場合には、ウエットエッチングによりAl膜24を加工する方がドライエッチングするよりも作業性が良い。その他、本発明の要旨を逸脱しない範囲で、種々の変更が可能である。   In the present embodiment, the case where the Al film 24 is processed by dry etching has been described. However, the present invention is not limited to this case. For example, when the Al film 24 is deposited to have a thickness of, for example, about 3.0 μm, the Al film 24 is processed by wet etching in order to further alleviate the impact when wire bonding the Cu wire 14 to the upper surface of the pad electrode 12. Workability is better than dry etching. In addition, various modifications can be made without departing from the scope of the present invention.

以上の説明では、従来のAuワイヤに代わってCuワイヤ14をCu配線層9上に形成する実施形態について説明したが、以下の説明では、Cuワイヤ14に代わってCuから成るスタッドバンプ方式を使用した実施形態について、図10を参照しながら説明する。なお、上述した第1の実施形態と同様の構成については、同符号を用いることで重複した説明を省略する。   In the above description, the embodiment in which the Cu wire 14 is formed on the Cu wiring layer 9 in place of the conventional Au wire has been described. However, in the following description, a stud bump system made of Cu is used in place of the Cu wire 14. The described embodiment will be described with reference to FIG. In addition, about the structure similar to 1st Embodiment mentioned above, the overlapping description is abbreviate | omitted by using the same code | symbol.

図10において、第1の実施形態と大きく異なる構成は、Cu配線層9上にパッド電極12を介してスタッドバンプ26が形成されていることである。なお、スタッドバンプ26はCuワイヤをワイヤボンディングした後、ワイヤを引き千切ったものである。更に言えば、スタッドバンプ26の高さは、ワイヤを引き千切った後、その上に更にワイヤボンディングを施し更にワイヤを引き千切る工程を複数回繰り返すことで、高さを任意に設定できる。このように、パッド電極12上にスタッドバンプ26を形成することにより、フリップチップ実装が可能になる。   In FIG. 10, the configuration greatly different from the first embodiment is that a stud bump 26 is formed on the Cu wiring layer 9 via the pad electrode 12. The stud bump 26 is formed by cutting a wire after bonding a Cu wire. More specifically, the height of the stud bump 26 can be arbitrarily set by cutting a wire, then performing wire bonding on the wire, and further repeating the step of cutting the wire a plurality of times. Thus, by forming the stud bump 26 on the pad electrode 12, flip chip mounting becomes possible.

尚、上述したスタッドバンプ26が形成された半導体装置を回路基板に実装する場合の衝撃緩和を考慮して、前記Cu配線層9の下にスピンコート法により、PBO膜、感光性ポリイミド樹脂膜等から成る樹脂膜27を形成しておくことが好ましい。   In consideration of impact mitigation when mounting the above-described semiconductor device on which the stud bumps 26 are formed on the circuit board, a PBO film, a photosensitive polyimide resin film, etc. are formed under the Cu wiring layer 9 by spin coating. It is preferable to form a resin film 27 made of

1 シリコン基板
3 配線層
9 Cu配線層
10 樹脂膜
11 開口領域
12 パッド電極
13 合金層
14 Cuワイヤ
DESCRIPTION OF SYMBOLS 1 Silicon substrate 3 Wiring layer 9 Cu wiring layer 10 Resin film 11 Opening region 12 Pad electrode 13 Alloy layer 14 Cu wire

Claims (8)

半導体層上に形成される絶縁層と、
前記絶縁層上に形成され、前記半導体層に形成される半導体素子と電気的に接続する銅配線層と、
前記銅配線層を被覆し、前記絶縁層上に形成される樹脂膜と、
前記樹脂膜に形成される開口領域を介して前記銅配線層と接続するパッド電極と、
前記パッド電極上にワイヤボンディングされる銅ワイヤとを有し、
前記パッド電極の一部分は、前記銅配線層との境界から合金層となり、前記銅ワイヤは、前記合金層上の前記パッド電極の他の部分に接続されることを特徴とする半導体装置。
An insulating layer formed on the semiconductor layer;
A copper wiring layer formed on the insulating layer and electrically connected to a semiconductor element formed on the semiconductor layer;
A resin film that covers the copper wiring layer and is formed on the insulating layer;
A pad electrode connected to the copper wiring layer through an opening region formed in the resin film;
A copper wire wire-bonded on the pad electrode;
A part of the pad electrode becomes an alloy layer from a boundary with the copper wiring layer, and the copper wire is connected to another part of the pad electrode on the alloy layer.
前記パッド電極はアルミ膜またはアルミ合金膜から成り、前記合金層は、前記銅配線層を構成する銅と前記パッド電極を構成するアルミとを含む金属反応膜であることを特徴とする請求項1に記載の半導体装置。 2. The pad electrode is made of an aluminum film or an aluminum alloy film, and the alloy layer is a metal reaction film including copper constituting the copper wiring layer and aluminum constituting the pad electrode. A semiconductor device according to 1. 前記パッド電極の他の部分は、前記合金層上の前記パッド電極のアルミ膜またはアルミ合金膜であることを特徴とする請求項2に記載の半導体装置。 3. The semiconductor device according to claim 2, wherein the other part of the pad electrode is an aluminum film or an aluminum alloy film of the pad electrode on the alloy layer. 前記樹脂膜は、ポリベンズオキサゾール膜またはポリイミド樹脂膜から成ることを特徴とする請求項1から請求項3のいずれか1項に記載の半導体装置。 4. The semiconductor device according to claim 1, wherein the resin film is made of a polybenzoxazole film or a polyimide resin film. 5. 半導体層に半導体素子を形成し、前記半導体層上に絶縁層を形成し、前記絶縁層上に前記半導体素子と電気的に接続する銅配線層を形成する工程と、
前記銅配線層を被覆するように前記絶縁層上に樹脂膜を形成した後、前記樹脂膜に前記銅配線層が露出するように開口領域を形成する工程と、
前記開口領域を介して前記銅配線層と電気的に接続するパッド電極を形成した後ベーク処理を行い、前記銅配線層の境界から前記パッド電極の一部分を合金層とする工程と、
前記合金層上の前記パッド電極の他の部分に銅ワイヤをワイヤボンディングする工程とを有することを特徴とする半導体装置の製造方法。
Forming a semiconductor element on the semiconductor layer, forming an insulating layer on the semiconductor layer, and forming a copper wiring layer electrically connected to the semiconductor element on the insulating layer;
Forming a resin film on the insulating layer so as to cover the copper wiring layer, and then forming an opening region so that the copper wiring layer is exposed on the resin film;
Forming a pad electrode that is electrically connected to the copper wiring layer through the opening region and then performing a baking process, and forming a part of the pad electrode from the boundary of the copper wiring layer as an alloy layer;
And a step of wire bonding a copper wire to another part of the pad electrode on the alloy layer.
前記パッド電極はアルミ膜またはアルミ合金膜から成り、前記ベーク処理では、前記銅配線層を構成する銅を前記パッド電極へと拡散させ、前記銅と前記パッド電極を構成するアルミとを含む前記合金層を形成することを特徴とする請求項5に記載の半導体装置の製造方法。 The pad electrode is made of an aluminum film or an aluminum alloy film, and in the baking process, copper constituting the copper wiring layer is diffused into the pad electrode, and the alloy includes the copper and aluminum constituting the pad electrode. 6. The method of manufacturing a semiconductor device according to claim 5, wherein a layer is formed. 前記ベーク処理は、前記合金層の他の部分が前記アルミ膜またはアルミ合金膜の状態を維持する温度にて行われることを特徴とする請求項6に記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 6, wherein the baking process is performed at a temperature at which another portion of the alloy layer maintains the state of the aluminum film or the aluminum alloy film. 前記樹脂膜としてポリベンズオキサゾール膜またはポリイミド樹脂膜を用いることを特徴とする請求項5から請求項7のいずれか1項に記載の半導体装置の製造方法。 The method for manufacturing a semiconductor device according to claim 5, wherein a polybenzoxazole film or a polyimide resin film is used as the resin film.
JP2009163650A 2009-07-10 2009-07-10 Semiconductor device, and method of manufacturing the same Pending JP2011018832A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009163650A JP2011018832A (en) 2009-07-10 2009-07-10 Semiconductor device, and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009163650A JP2011018832A (en) 2009-07-10 2009-07-10 Semiconductor device, and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2011018832A true JP2011018832A (en) 2011-01-27

Family

ID=43596390

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009163650A Pending JP2011018832A (en) 2009-07-10 2009-07-10 Semiconductor device, and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2011018832A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013004779A (en) * 2011-06-17 2013-01-07 Sanken Electric Co Ltd Semiconductor device and semiconductor device manufacturing method
WO2015097979A1 (en) * 2013-12-27 2015-07-02 パナソニックIpマネジメント株式会社 Semiconductor device
WO2019012854A1 (en) * 2017-07-13 2019-01-17 富士電機株式会社 Semiconductor device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013004779A (en) * 2011-06-17 2013-01-07 Sanken Electric Co Ltd Semiconductor device and semiconductor device manufacturing method
WO2015097979A1 (en) * 2013-12-27 2015-07-02 パナソニックIpマネジメント株式会社 Semiconductor device
JPWO2015097979A1 (en) * 2013-12-27 2017-03-23 パナソニックIpマネジメント株式会社 Semiconductor device
US9673139B2 (en) 2013-12-27 2017-06-06 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device
WO2019012854A1 (en) * 2017-07-13 2019-01-17 富士電機株式会社 Semiconductor device
US11594502B2 (en) 2017-07-13 2023-02-28 Fuji Electric Co., Ltd. Semiconductor device having conductive film

Similar Documents

Publication Publication Date Title
US7323406B2 (en) Elevated bond-pad structure for high-density flip-clip packaging and a method of fabricating the structures
US9799582B2 (en) Bump structure design for stress reduction
US7282433B2 (en) Interconnect structures with bond-pads and methods of forming bump sites on bond-pads
US10074584B2 (en) Method of forming a semiconductor component comprising a second passivation layer having a first opening exposing a bond pad and a plurality of second openings exposing a top surface of an underlying first passivation layer
US6998335B2 (en) Structure and method for fabricating a bond pad structure
US9576921B2 (en) Semiconductor device and manufacturing method for the same
US7560814B2 (en) Semiconductor device that improves electrical connection reliability
TWI411079B (en) Semiconductor die and method for forming a conductive feature
US8022543B2 (en) Underbump metallurgy for enhanced electromigration resistance
US9953954B2 (en) Wafer-level chip-scale package with redistribution layer
WO2000044043A1 (en) Semiconductor device and method of manufacturing the same
US20180261467A1 (en) Semiconductor device and method of manufacturing the same
JP2010508673A (en) Metallization layer stack without terminal aluminum metal layer
JP2011014605A (en) Semiconductor apparatus and method of manufacturing the same
US8044482B2 (en) Semiconductor device
CN115528009A (en) Semiconductor package and method of manufacturing the same
JPH11354563A (en) Structure of semiconductor wiring
JP2011018832A (en) Semiconductor device, and method of manufacturing the same
JP2007027264A (en) Semiconductor device
JP3719994B2 (en) Semiconductor device
JP4342892B2 (en) Semiconductor device and manufacturing method thereof
JP2009088002A (en) Semiconductor device and method of manufacturing the same
JP2010287750A (en) Semiconductor device and method of manufacturing the same
JP2004247522A (en) Semiconductor device and its fabricating process
JP2012227379A (en) Semiconductor device and manufacturing method of the same

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110606