JP2009152391A - Method of manufacturing semiconductor device, and semiconductor device - Google Patents

Method of manufacturing semiconductor device, and semiconductor device Download PDF

Info

Publication number
JP2009152391A
JP2009152391A JP2007328899A JP2007328899A JP2009152391A JP 2009152391 A JP2009152391 A JP 2009152391A JP 2007328899 A JP2007328899 A JP 2007328899A JP 2007328899 A JP2007328899 A JP 2007328899A JP 2009152391 A JP2009152391 A JP 2009152391A
Authority
JP
Japan
Prior art keywords
film
semiconductor substrate
semiconductor device
strain
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007328899A
Other languages
Japanese (ja)
Inventor
Yoichi Momiyama
陽一 籾山
Kenichi Okabe
堅一 岡部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2007328899A priority Critical patent/JP2009152391A/en
Publication of JP2009152391A publication Critical patent/JP2009152391A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method of manufacturing semiconductor devices, generating a strain in a channel without being accompanied by increase of the process cost much. <P>SOLUTION: A gate pattern, in which a semiconductor film and a block film having a density higher than that of the semiconductor film are formed in this order on the surface of a part of the semiconductor substrate (a). Impurities for source and drain are injected to the surface layer part of the semiconductor substrate (b) using the gate pattern as a mask. An impurity for forming strain and different from the impurities for source and drain is injected into the semiconductor substrate using the gate pattern as a mask (c). The semiconductor substrate is heat-treated to recrystallize the region, into which the impurity for forming strain has been injected (d). <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関し、特にチャネル領域に歪を発生させた半導体装置の製造方法及び半導体装置に関する。   The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device in which strain is generated in a channel region and the semiconductor device.

高速動作を可能とするトランジスタ、所謂ハイエンドトランジスタにおいて、デバイスのスケーリングとは別に、シリコンチャネルに歪を加えて性能を向上させる試みが行われている。シリコン(Si)に歪を加えると、Siのエネルギバンド端の構造が変化し、その結果キャリア移動度が向上する。nMOSトランジスタのチャネル方向に伸び歪を発生させると、電子の移動度が向上し、pMOSトランジスタのチャネル方向に縮み歪を発生させると、正孔の移動度が向上することが知られている。   In a transistor capable of high-speed operation, a so-called high-end transistor, an attempt has been made to improve the performance by applying strain to the silicon channel separately from device scaling. When strain is applied to silicon (Si), the structure of the Si energy band edge changes, and as a result, carrier mobility is improved. It is known that when an elongation strain is generated in the channel direction of the nMOS transistor, the mobility of electrons is improved, and when a contraction strain is generated in the channel direction of the pMOS transistor, the mobility of holes is improved.

下記の非特許文献1に、Si基板上にSiGeをエピタキシャル成長させる方法(pMOSトランジスタに最適)や、歪が緩和したSiGe膜上にSiをエピタキシャル成長させる方法(nMOSトランジスタに最適)によってチャネルに二軸性歪を生じさせる技術が開示されている。非特許文献2に、pMOSトランジスタのソース及びドレイン領域にSiGeを埋め込んでチャネルに歪を発生させる技術が開示されている。非特許文献3に、nMOSトランジスタのソース及びドレイン領域にSiCを埋め込んでチャネルに歪を発生させる技術が開示されている。   Non-Patent Document 1 below shows that the channel is biaxial by the method of epitaxially growing SiGe on a Si substrate (optimum for a pMOS transistor) or the method of epitaxially growing Si on a SiGe film with relaxed strain (optimum for an nMOS transistor). A technique for causing distortion is disclosed. Non-Patent Document 2 discloses a technique for generating strain in a channel by embedding SiGe in the source and drain regions of a pMOS transistor. Non-Patent Document 3 discloses a technique for generating strain in a channel by embedding SiC in the source and drain regions of an nMOS transistor.

ソース及びドレインに、SiGeやSiCを埋め込む方法について、簡単に説明する。ゲート電極の側面上にサイドウォールスペーサを形成した後、基板表面を掘り込んで凹部を形成する。この凹部内に、SiGeやSiCを選択的にエピタキシャル成長させることにより、埋め込みが行われる。   A method for embedding SiGe or SiC in the source and drain will be briefly described. After forming a sidewall spacer on the side surface of the gate electrode, the substrate surface is dug to form a recess. Filling is performed by selectively epitaxially growing SiGe or SiC in the recess.

F. Schaffler, Semicond. Sci. & Technol. 12, pp.1515(1997)F. Schaffler, Semicond. Sci. & Technol. 12, pp.1515 (1997) T. Ghani et al. IEDM Tech. Dig. pp.978(2003)T. Ghani et al. IEDM Tech. Dig. Pp.978 (2003) K. W. Ang et al., IEDM Tech. Dig., pp.1069(2004)K. W. Ang et al., IEDM Tech. Dig., Pp. 1069 (2004)

Si基板表面への凹部の形成、及び凹部内へのSiGeやSiCの選択的エピタキシャル成長は、プロセスコストを増大させる。特に、SiCの選択成長は、SiGeの選択成長に比べて困難であり、未だ実用化されていない。さらに、SiCには、NiSi等の金属シリサイド膜を、適当な温度で形成することが困難である。このため、良好なソース及びドレインコンタクトを得ることが困難である。   Formation of a recess in the surface of the Si substrate and selective epitaxial growth of SiGe or SiC in the recess increase process costs. In particular, the selective growth of SiC is more difficult than the selective growth of SiGe and has not yet been put into practical use. Furthermore, it is difficult to form a metal silicide film such as NiSi on SiC at an appropriate temperature. For this reason, it is difficult to obtain good source and drain contacts.

本発明の目的は、大幅なプロセスコスト増を伴うことなく、チャネルに歪を発生させることが可能な半導体装置の製造方法を提供することである。本発明の他の目的は、チャネルに歪を発生させ、かつ良好なソース及びドレインコンタクトを確保することが可能な半導体装置を提供することである。   An object of the present invention is to provide a method for manufacturing a semiconductor device capable of generating distortion in a channel without significantly increasing the process cost. Another object of the present invention is to provide a semiconductor device capable of generating distortion in a channel and ensuring good source and drain contacts.

この半導体装置の製造方法は、
(a)半導体基板の一部の表面上に、半導体膜と、該半導体膜よりも密度の高いブロック膜とがこの順番に積層されたゲートパターンを形成する工程と、
(b)前記ゲートパターンをマスクとして、前記半導体基板の表層部に、ソース及びドレイン用の不純物を注入する工程と、
(c)前記ゲートパターンをマスクとして、前記半導体基板内に、前記ソース及びドレイン用の不純物とは異なる歪形成用の不純物を注入する工程と、
(d)前記半導体基板を熱処理し、前記歪形成用の不純物が注入された領域を再結晶化させる工程と
を有する。
The manufacturing method of this semiconductor device is as follows:
(A) forming a gate pattern in which a semiconductor film and a block film having a higher density than the semiconductor film are stacked in this order on a part of the surface of the semiconductor substrate;
(B) implanting source and drain impurities into the surface layer of the semiconductor substrate using the gate pattern as a mask;
(C) implanting a strain forming impurity different from the source and drain impurities into the semiconductor substrate using the gate pattern as a mask;
(D) heat-treating the semiconductor substrate and recrystallizing the region into which the strain forming impurities are implanted.

この半導体装置は、
シリコンからなる表層部を有する半導体基板と、
前記半導体基板の表面の一部の領域上に形成されたゲート電極と、
前記ゲート電極の両側の前記半導体基板の表層部に形成されたn型のソース及びドレイン領域と、
前記ソース及びドレイン領域の表面に形成された金属シリサイド膜と、
前記金属シリサイド膜の下に配置されたSiCからなる領域と
を有し、
前記ゲート電極の下のチャネル領域に延び歪みが生じている。
This semiconductor device
A semiconductor substrate having a surface layer portion made of silicon;
A gate electrode formed on a partial region of the surface of the semiconductor substrate;
N-type source and drain regions formed in the surface layer portion of the semiconductor substrate on both sides of the gate electrode;
A metal silicide film formed on the surface of the source and drain regions;
A region made of SiC disposed under the metal silicide film,
Distortion occurs in the channel region under the gate electrode.

ブロック膜を配置したことにより、ゲートパターン内の半導体膜に歪形成用の不純物が過剰に注入されることが防止される。歪形成用の不純物が注入された領域を再結晶化することにより、ゲート直下のチャネル領域に歪を発生させることができる。   By disposing the block film, it is possible to prevent excessive distortion forming impurities from being implanted into the semiconductor film in the gate pattern. By recrystallizing the region into which the strain forming impurity is implanted, strain can be generated in the channel region directly under the gate.

図1A〜図1Hを参照して、第1の実施例による半導体装置の製造方法について説明する。   With reference to FIGS. 1A to 1H, a method of manufacturing a semiconductor device according to the first embodiment will be described.

シリコンからなる半導体基板10の表層部に、シャロートレンチアイソレーション(STI)法により素子分離絶縁膜11を形成する。素子分離絶縁膜11により画定された活性領域13の表層部にp型不純物を注入することにより、p型ウェル12を形成する。   An element isolation insulating film 11 is formed on the surface layer portion of the semiconductor substrate 10 made of silicon by a shallow trench isolation (STI) method. A p-type well 12 is formed by implanting p-type impurities into the surface layer portion of the active region 13 defined by the element isolation insulating film 11.

半導体基板10の表面を熱酸化及び窒化することにより、窒素を含んだ酸化シリコンからなるゲート絶縁膜15を形成する。ゲート絶縁膜15の典型的な厚さは、1〜2nmである。ゲート絶縁膜15の形成、及び窒素の導入は、MOSトランジスタへの要求性能及び信頼性等の観点から決定される。ゲート絶縁膜15に、酸化シリコンや窒化シリコンよりも誘電率の高い高誘電率絶縁材料を用いてもよい。また、ゲート絶縁膜15を、これらの絶縁材料からなる積層膜としてもよい。   A gate insulating film 15 made of silicon oxide containing nitrogen is formed by thermally oxidizing and nitriding the surface of the semiconductor substrate 10. A typical thickness of the gate insulating film 15 is 1 to 2 nm. Formation of the gate insulating film 15 and introduction of nitrogen are determined from the viewpoint of required performance and reliability of the MOS transistor. A high dielectric constant insulating material having a dielectric constant higher than that of silicon oxide or silicon nitride may be used for the gate insulating film 15. The gate insulating film 15 may be a laminated film made of these insulating materials.

ゲート絶縁膜15及び素子分離絶縁膜11の上に、多結晶シリコンからなる厚さ数十nmのゲート用シリコン膜16aを、化学気相成長(CVD)等により堆積させる。なお、ゲート用シリコン膜16aを、アモルファスシリコンで形成してもよい。   On the gate insulating film 15 and the element isolation insulating film 11, a gate silicon film 16a made of polycrystalline silicon and having a thickness of several tens of nm is deposited by chemical vapor deposition (CVD) or the like. The gate silicon film 16a may be formed of amorphous silicon.

ゲート用ポリシリコン膜16aの上に、窒化シリコンからなるバリア膜17aをCVD等により堆積させる。バリア膜17aの厚さは、例えば5nmである。バリア膜17aの上に、タングステン(W)からなるブロック膜18aを、例えばスパッタリングにより堆積させる。バリア膜17aは、ゲート用ポリシリコン膜16a中のSiと、ブロック膜18a中のWとの反応を抑制する。さらに、バリア膜17aは、後の工程でブロック膜18aを除去するときのエッチングストッパとしての役割も持っている。   A barrier film 17a made of silicon nitride is deposited on the polysilicon film 16a for gate by CVD or the like. The thickness of the barrier film 17a is, for example, 5 nm. A block film 18a made of tungsten (W) is deposited on the barrier film 17a, for example, by sputtering. The barrier film 17a suppresses the reaction between Si in the gate polysilicon film 16a and W in the block film 18a. Furthermore, the barrier film 17a also has a role as an etching stopper when removing the block film 18a in a later process.

ブロック膜18a、バリア膜17a、及びゲート用ポリシリコン膜16aを、ゲート電極の形状にパターニングする。   The block film 18a, the barrier film 17a, and the gate polysilicon film 16a are patterned into the shape of the gate electrode.

図1Bに示すように、シリコンゲート電極16、バリア膜17、及びブロック膜18を含むゲートパターン19が形成される。なお、ゲート絶縁膜15までエッチングして半導体基板10の表面を露出させてもよい。   As shown in FIG. 1B, a gate pattern 19 including a silicon gate electrode 16, a barrier film 17, and a block film 18 is formed. Note that the gate insulating film 15 may be etched to expose the surface of the semiconductor substrate 10.

ゲートパターン19をマスクとして、その両側の半導体基板10の表層部に、ソース及びドレインのエクステンション部20を形成するためのn型不純物、例えばPまたはAsの注入を行う。   Using the gate pattern 19 as a mask, an n-type impurity, for example, P or As, is implanted into the surface layer portion of the semiconductor substrate 10 on both sides thereof to form the source and drain extension portions 20.

図1Cに示すように、ゲートパターン19の側面上に、例えば酸化シリコンからなる第1のスペーサ21を形成する。第1のスペーサ21の厚さは、例えば5nmである。ゲートパターン19及び第1のスペーサ21をマスクとして、その両側の半導体基板10の表層部に、ソース及びドレインの深い領域を形成するためのn型不純物の注入を行う。活性化アニールを行うことにより、エクステンション部を有するソース領域22S及びドレイン領域22Dが形成される。   As shown in FIG. 1C, a first spacer 21 made of, for example, silicon oxide is formed on the side surface of the gate pattern 19. The thickness of the first spacer 21 is, for example, 5 nm. Using the gate pattern 19 and the first spacer 21 as a mask, an n-type impurity is implanted into the surface layer portion of the semiconductor substrate 10 on both sides thereof to form deep source and drain regions. By performing activation annealing, a source region 22S and a drain region 22D having extension portions are formed.

図1Dに示すように、第1のスペーサ21の側面上に、酸化シリコンからなる第2のスペーサ25を形成する。第1のスペーサ21と第2のスペーサ25との合計の膜厚は、例えば20〜40nmである。   As shown in FIG. 1D, a second spacer 25 made of silicon oxide is formed on the side surface of the first spacer 21. The total film thickness of the first spacer 21 and the second spacer 25 is, for example, 20 to 40 nm.

ゲートパターン19、第1のスペーサ21、及び第2のスペーサ25をマスクとして、半導体基板10内に、クラスタカーボンを注入する。クラスタカーボンとして、例えばC、C1414、C1610等を用いることができる。クラスタカーボンとしてCを用いる場合、加速エネルギは5〜70keV(C単体を注入する場合の1〜10keVに相当)、ドーズ量は1×1014〜2×1015cm−2(C単体を注入する場合の7×1014〜7×1015cm−2に相当)とする。なお、典型的には、加速エネルギを35keV、ドーズ量を5×1014cm−2とする。この条件で注入を行ったときの注入深さRpは約20nm、標準偏差ΔRpは約20nmになる。ピーク濃度は約1×1021cm−3になる。 Cluster carbon is implanted into the semiconductor substrate 10 using the gate pattern 19, the first spacer 21, and the second spacer 25 as a mask. As the cluster carbon, for example, C 7 H 7 , C 14 H 14 , C 16 H 10 and the like can be used. When C 7 H 7 is used as the cluster carbon, the acceleration energy is 5 to 70 keV (corresponding to 1 to 10 keV when C is injected), and the dose is 1 × 10 14 to 2 × 10 15 cm −2 (C only) Is equivalent to 7 × 10 14 to 7 × 10 15 cm −2 in the case of injection. Typically, the acceleration energy is 35 keV, and the dose is 5 × 10 14 cm −2 . When implantation is performed under these conditions, the implantation depth Rp is about 20 nm, and the standard deviation ΔRp is about 20 nm. The peak concentration is about 1 × 10 21 cm −3 .

クラスタカーボンが注入された領域は、アモルファス化される。注入後にアニールを行うことにより、アモルファス化された領域を再結晶化させる。これによりSiCからなる歪発生領域27が形成される。カーボンのピーク濃度が1×1021cm−3の場合、ピーク濃度に相当する領域において、SiCのカーボンは20原子%程度になる。 The region into which cluster carbon has been implanted is made amorphous. By annealing after the implantation, the amorphous region is recrystallized. As a result, a strain generation region 27 made of SiC is formed. When the peak concentration of carbon is 1 × 10 21 cm −3 , SiC carbon is about 20 atomic% in a region corresponding to the peak concentration.

歪発生領域27の形成には、固相成長による再結晶化を利用してもよいし、高温で短時間のアニールによる再結晶化を利用してもよい。固相成長による再結晶化を行う場合には、例えば約500℃で6時間程度のアニールを行う。高温短時間のアニールを行う場合には、アニール温度を約1050℃とし、アニール時間を約10秒とする。高温短時間のアニールは、ソース及びドレイン形成のために注入した不純物の活性化アニールを兼ねることができる。   For the formation of the strain generation region 27, recrystallization by solid phase growth may be used, or recrystallization by annealing at a high temperature for a short time may be used. When performing recrystallization by solid phase growth, annealing is performed at about 500 ° C. for about 6 hours, for example. When annealing at a high temperature for a short time, the annealing temperature is about 1050 ° C. and the annealing time is about 10 seconds. High-temperature short-time annealing can also serve as activation annealing of impurities implanted for forming the source and drain.

固相成長を利用した低温プロセスでは、イオン注入に起因する転位等の欠陥がクラスタ化したエンドオブレンジ欠陥が残留する場合がある。エンドオブレンジ欠陥に起因するリーク電流等を抑制することが要請される場合には、高温短時間のアニールを採用することが好ましい。   In a low-temperature process using solid phase growth, end-of-range defects in which defects such as dislocations caused by ion implantation are clustered may remain. When it is required to suppress leakage current or the like due to end-of-range defects, it is preferable to employ high-temperature and short-time annealing.

SiCの格子定数は、Si単結晶の格子定数よりも小さいため、Si単結晶領域からエピタキシャル成長したSiCからなる歪発生領域27には、伸び歪が発生する。これにより、ゲート電極16直下のチャネル領域に、面内方向の伸び歪が発生する。   Since the lattice constant of SiC is smaller than the lattice constant of Si single crystal, elongation strain occurs in the strain generation region 27 made of SiC epitaxially grown from the Si single crystal region. As a result, an elongation strain in the in-plane direction is generated in the channel region immediately below the gate electrode 16.

図1Eに示すように、基板全面に窒化シリコンからなる保護膜30をCVDにより堆積させる。ブロック膜18が露出するまで、保護膜30に化学機械研磨(CMP)を施す。このCMP工程において、Wからなるブロック膜18がストッパとして働く。ブロック膜18を露出させた後、アンモニア過水やアルカリ溶液を用いて、ブロック膜18を除去する。   As shown in FIG. 1E, a protective film 30 made of silicon nitride is deposited on the entire surface of the substrate by CVD. The protective film 30 is subjected to chemical mechanical polishing (CMP) until the block film 18 is exposed. In this CMP process, the block film 18 made of W serves as a stopper. After the block film 18 is exposed, the block film 18 is removed using ammonia perwater or an alkaline solution.

図1Fに示すように、窒化シリコンからなるバリア膜17が露出する。その後、リン酸を用いて、窒化シリコンからなるバリア膜17及び保護膜30を除去する。   As shown in FIG. 1F, the barrier film 17 made of silicon nitride is exposed. Thereafter, the barrier film 17 and the protective film 30 made of silicon nitride are removed using phosphoric acid.

図1Gに示すように、ソース領域22S、ドレイン領域22D、及びゲート電極16の上面が露出する。図1Hに示すように、自己整合シリサイド(サリサイド)プロセスにより、ソース領域22S、ドレイン領域22D、及びゲート電極16の表面に、それぞれ金属シリサイド膜32S、32D、及び32Gを形成する。金属シリサイド膜32S、32D、及び32Gには、ニッケルシリサイド、コバルトシリサイド等が用いられる。   As shown in FIG. 1G, the upper surfaces of the source region 22S, the drain region 22D, and the gate electrode 16 are exposed. As shown in FIG. 1H, metal silicide films 32S, 32D, and 32G are formed on the surfaces of the source region 22S, the drain region 22D, and the gate electrode 16 by a self-aligned silicide (salicide) process, respectively. Nickel silicide, cobalt silicide, or the like is used for the metal silicide films 32S, 32D, and 32G.

チャネル領域に、面内方向の伸び歪が発生しているため、nMOSトランジスタのキャリア移動度を高めることができる。歪発生領域27は、ゲート電極16の上に形成されたブロック膜18等をマスクとして、自己整合的に注入される。また、歪発生領域27を形成するための凹部の形成やCVDによるSiCの選択的エピタキシャル成長を行う必要がない。このため、製造コストの低減を図ることができる。   Since the in-plane elongation strain is generated in the channel region, the carrier mobility of the nMOS transistor can be increased. The strain generation region 27 is implanted in a self-aligning manner using the block film 18 formed on the gate electrode 16 as a mask. Further, there is no need to form a recess for forming the strain generation region 27 or to perform selective epitaxial growth of SiC by CVD. For this reason, the manufacturing cost can be reduced.

ブロック膜18は、図1Dに示したクラスタカーボンの注入時に、クラスタカーボンの透過を阻止する。これにより、ゲート電極16にカーボンが過剰に注入されることを防止することができる。クラスタカーボン透過を阻止するために、ブロック膜18の材料として、ゲート電極16よりも密度(単位体積あたりの質量)の高いものを用いることが好ましい。特に、質量数の大きな金属を用いることが好ましい。好適に使用できる金属として、上記第1の実施例で用いたWの他に、Ta、Mo等が挙げられる。また、これらの金属の酸化物、窒化物、または炭化物を用いてもよい。   The block film 18 blocks the permeation of cluster carbon when cluster carbon is injected as shown in FIG. 1D. Thereby, it is possible to prevent carbon from being excessively injected into the gate electrode 16. In order to prevent cluster carbon permeation, it is preferable to use a material having a higher density (mass per unit volume) than the gate electrode 16 as the material of the block film 18. In particular, it is preferable to use a metal having a large mass number. Examples of metals that can be suitably used include Ta and Mo in addition to W used in the first embodiment. Further, oxides, nitrides, or carbides of these metals may be used.

ブロック膜18の厚さの好適値は、クラスタカーボン注入時の加速エネルギによって決定される。一般的には、ゲート電極16への過剰なカーボンの注入を防止するために、ブロック膜18を30nm以上とすることが好ましい。また、ブロック膜18を厚くしすぎると、除去工程が困難になる。このため、100nm以下の厚さとすることが好ましい。   A suitable value for the thickness of the block film 18 is determined by the acceleration energy at the time of cluster carbon implantation. In general, in order to prevent excessive carbon injection into the gate electrode 16, the block film 18 is preferably set to 30 nm or more. If the block film 18 is too thick, the removal process becomes difficult. For this reason, it is preferable to set it as the thickness of 100 nm or less.

チャネル領域に十分な歪を発生させるために、歪発生領域27の炭素濃度を1原子%以上とすることが好ましい。   In order to generate sufficient strain in the channel region, the carbon concentration of the strain generation region 27 is preferably 1 atomic% or more.

炭素濃度が高くなると、金属シリサイド膜を形成することが困難になる。図1Hに示した金属シリサイド膜32S、32D,及び32Gを再現性よく形成するために、半導体基板10の表面における炭素濃度を1×1020cm−3以下にすることが好ましい。 As the carbon concentration increases, it becomes difficult to form a metal silicide film. In order to form the metal silicide films 32S, 32D, and 32G shown in FIG. 1H with good reproducibility, the carbon concentration on the surface of the semiconductor substrate 10 is preferably 1 × 10 20 cm −3 or less.

第1の実施例では、nMOSトランジスタを作製したが、pMOSトランジスタを作製する場合には、歪発生領域27に縮み歪を発生させればよい。例えば、歪発生領域27にSiGeを用いることが可能である。   In the first embodiment, an nMOS transistor is manufactured. However, when a pMOS transistor is manufactured, shrinkage strain may be generated in the strain generation region 27. For example, SiGe can be used for the strain generation region 27.

歪発生領域27を形成するために注入する不純物として、MOSトランジスタの動作に大きな影響を及ぼさないものを選択することが好ましい。例えば、半導体基板10に導電性を付与しない不純物を用いることが好ましい。このような不純物として、C、Ge等のIV族元素が挙げられる。   It is preferable to select an impurity to be implanted for forming the strain generation region 27 so as not to have a great influence on the operation of the MOS transistor. For example, it is preferable to use an impurity that does not impart conductivity to the semiconductor substrate 10. Examples of such impurities include group IV elements such as C and Ge.

1枚の基板上に、nMOSトランジスタとpMOSトランジスタとの両方を形成することも可能である。この場合、nMOSトランジスタのみを第1の実施例による方法で形成し、pMOSトランジスタの形成には、ソース及びドレイン領域への凹部の形成とSiGeの選択成長を用いた従来の方法を採用してもよい。   It is also possible to form both an nMOS transistor and a pMOS transistor on a single substrate. In this case, only the nMOS transistor is formed by the method according to the first embodiment, and the pMOS transistor can be formed by adopting a conventional method using formation of recesses in the source and drain regions and selective growth of SiGe. Good.

次に、図2A〜図2Cを参照して、第2の実施例による半導体装置の製造方法について説明する。   Next, with reference to FIGS. 2A to 2C, description will be made on a semiconductor device manufacturing method according to the second embodiment.

図2Aに示した構造は、第1の実施例による製造方法の途中段階である図1Gに示した構造と同一である。ここまでの工程は、第1の実施例による製造方法の工程と同一である。   The structure shown in FIG. 2A is the same as the structure shown in FIG. 1G, which is an intermediate stage of the manufacturing method according to the first embodiment. The steps so far are the same as those of the manufacturing method according to the first embodiment.

図2Bに示すように、ソース領域22S及びドレイン領域22Dの上に、シリコンを選択成長させることにより、エレベーテッドソース領域40S及びエレベーテッドドレイン領域40Dを形成する。このとき、ゲート電極16の上にもシリコンが堆積し、ゲート上部シリコン膜40Gが形成される。   As shown in FIG. 2B, an elevated source region 40S and an elevated drain region 40D are formed by selectively growing silicon on the source region 22S and the drain region 22D. At this time, silicon is deposited on the gate electrode 16 to form a gate upper silicon film 40G.

図2Cに示すように、エレベーテッドソース領域40S、エレベーテッドドレイン領域40D、及びゲート上部シリコン膜40Gの表面に、それぞれ金属シリサイド膜43S、43D、及び43Gを形成する。   As shown in FIG. 2C, metal silicide films 43S, 43D, and 43G are formed on the surfaces of the elevated source region 40S, the elevated drain region 40D, and the gate upper silicon film 40G, respectively.

クラスタカーボンを注入して歪発生領域27を形成した後、熱プロセスによってCが基板表面に拡散して、表面の炭素濃度が高くなる場合がある。炭素濃度が高くなると、金属シリサイド膜の形成が困難になる。エレベーテッドソース及びドレイン構造を採用すると、金属シリサイド膜が形成されるシリコン領域、すなわちエレベーテッドソース領域40S及びエレベーテッドドレイン領域40Dの表面の炭素濃度が、クラスタカーボンの注入による影響を受けない。このため、金属シリサイド膜43S、43D、及び43Gの形成の困難さが高まることを回避することができる。   After the cluster carbon is injected to form the strain generation region 27, C may diffuse to the substrate surface by a thermal process, and the carbon concentration on the surface may increase. As the carbon concentration increases, it becomes difficult to form a metal silicide film. When the elevated source and drain structure is adopted, the carbon concentration of the surface of the silicon region where the metal silicide film is formed, that is, the elevated source region 40S and the elevated drain region 40D is not affected by the implantation of cluster carbon. For this reason, it can be avoided that the difficulty in forming the metal silicide films 43S, 43D, and 43G is increased.

次に、図3A及び図3Bを参照して、第3の実施例による半導体装置の製造方法について説明する。第1の実施例による製造方法の図1Cに示したソース領域22S及びドレイン領域22Dを形成するまでの工程は、第3の実施例による製造方法と共通である。第3の実施例では、図1Dに示した第2のスペーサ25を形成しない。   Next, with reference to FIG. 3A and FIG. 3B, the manufacturing method of the semiconductor device by the 3rd Example is demonstrated. The steps of forming the source region 22S and the drain region 22D shown in FIG. 1C of the manufacturing method according to the first embodiment are the same as those of the manufacturing method according to the third embodiment. In the third embodiment, the second spacer 25 shown in FIG. 1D is not formed.

図3Aに示すように、ブロック膜18及び第1のスペーサ21をマスクとして、クラスタカーボンの注入を行う。その後、熱処理することにより、歪発生領域27が形成される。クラスタカーボンの注入条件は、第1の実施例の場合と同じである。   As shown in FIG. 3A, cluster carbon is implanted using the block film 18 and the first spacer 21 as a mask. Thereafter, the strain generation region 27 is formed by heat treatment. The cluster carbon injection conditions are the same as in the first embodiment.

図3Bに示すように、ソース領域22S、ドレイン領域22D、及びゲート電極16の表面に、それぞれ金属シリサイド膜32S、32D、及び32Gを形成する。金属シリサイド膜32S、32D、及び32Gの形成方法は、第1の実施例による製造方法の場合と同じである。   As shown in FIG. 3B, metal silicide films 32S, 32D, and 32G are formed on the surfaces of the source region 22S, the drain region 22D, and the gate electrode 16, respectively. The method for forming the metal silicide films 32S, 32D, and 32G is the same as that in the manufacturing method according to the first embodiment.

第3の実施例では、第1の実施例で形成した第2のスペーサ25を形成しないため、歪発生領域27がゲート電極16直下のチャネル領域に近づく。このため、チャネル領域に、より大きな歪を発生させることができる。なお、第3の実施例において、第2の実施例のエレベーテッド構造を採用することも可能である。   In the third embodiment, since the second spacer 25 formed in the first embodiment is not formed, the strain generation region 27 approaches the channel region directly below the gate electrode 16. For this reason, a larger strain can be generated in the channel region. In the third embodiment, it is possible to adopt the elevated structure of the second embodiment.

図4A及び図4Bを参照して、第4の実施例による半導体装置の製造方法について説明する。以下、第1の実施例による製造方法との相違点に着目して説明する。   With reference to FIGS. 4A and 4B, a method for fabricating a semiconductor device according to the fourth embodiment will be described. Hereinafter, description will be made by paying attention to differences from the manufacturing method according to the first embodiment.

図4Aに示すように、ゲート絶縁膜15を形成した後、ゲート絶縁膜15及び素子分離絶縁膜11の上に、厚さ約10nmのゲート用金属膜50aを堆積させる。さらにその上に、厚さ数十nmのゲート用シリコン膜16a、バリア膜17a、及びブロック膜18aを順番に堆積させる。ゲート用金属膜50aには、例えば、TiN、TaC、TaN、HfN等が用いられる。その後の工程は、第1の実施例の図1Bから図1Hまでの工程と同じである。   As shown in FIG. 4A, after forming the gate insulating film 15, a gate metal film 50 a having a thickness of about 10 nm is deposited on the gate insulating film 15 and the element isolation insulating film 11. Further thereon, a gate silicon film 16a, a barrier film 17a, and a block film 18a having a thickness of several tens of nm are sequentially deposited. For example, TiN, TaC, TaN, HfN, or the like is used for the gate metal film 50a. The subsequent steps are the same as the steps from FIG. 1B to FIG. 1H of the first embodiment.

図4Bに示すように、ゲート絶縁膜15の上に、金属ゲート電極50が配置されたMOSトランジスタが得られる。   As shown in FIG. 4B, a MOS transistor in which a metal gate electrode 50 is disposed on the gate insulating film 15 is obtained.

第4の実施例においても、ゲート電極16の上にブロック膜18が配置されるため、第1の実施例の場合と同様に、シリコンからなるゲート電極16へのカーボンの過剰な注入を防止することができる。このため、ゲート電極16の表面に容易に金属シリサイド膜32Gを形成することができる。   Also in the fourth embodiment, since the block film 18 is disposed on the gate electrode 16, as in the first embodiment, excessive injection of carbon into the gate electrode 16 made of silicon is prevented. be able to. Therefore, the metal silicide film 32G can be easily formed on the surface of the gate electrode 16.

また、第4の実施例では、金属ゲート電極50の上にシリコンゲート電極16を配置したが、金属ゲート電極50のみでゲート電極を構成してもよい。金属ゲート電極50にクラスタカーボンが注入されると、その仕事関数が変動することが懸念される。ブロック膜18でクラスタカーボンの注入を阻止することにより、金属ゲート電極50の仕事関数の変動を防止することができる。   In the fourth embodiment, the silicon gate electrode 16 is disposed on the metal gate electrode 50. However, the metal gate electrode 50 alone may constitute the gate electrode. When cluster carbon is implanted into the metal gate electrode 50, there is a concern that the work function varies. By preventing the cluster carbon from being injected by the block film 18, the work function of the metal gate electrode 50 can be prevented from changing.

なお、第4の実施例においても、第2の実施例のようにエレベーテッド構造を採用してもよいし、第3の実施例のように第2のスペーサ25を省略してもよい。   Also in the fourth embodiment, an elevated structure may be adopted as in the second embodiment, or the second spacer 25 may be omitted as in the third embodiment.

図5A〜図5Fを参照して、第5の実施例による半導体装置の製造方法について説明する。   With reference to FIGS. 5A to 5F, description will be made on a semiconductor device manufacturing method according to the fifth embodiment.

図5Aに示した製造途中段階の構造は、第1の実施例の図1Dに示した構造と基本的に同一である。ただし、第5の実施例では、第1の実施例の図1Dに示したゲート絶縁膜15及びゲート電極16に代えて、それぞれダミーゲート絶縁膜61及びダミーゲート電極62が形成されている。ダミーゲート絶縁膜61は酸化シリコンで形成され、ダミーゲート電極62はポリシリコンまたはアモルファスシリコンで形成されている。ダミーゲート絶縁膜61、ダミーゲート電極62、バリア膜17、及びブロック膜18により、ダミーゲート構造60が構成される。   The structure in the middle of manufacturing shown in FIG. 5A is basically the same as the structure shown in FIG. 1D of the first embodiment. However, in the fifth embodiment, a dummy gate insulating film 61 and a dummy gate electrode 62 are formed in place of the gate insulating film 15 and the gate electrode 16 shown in FIG. 1D of the first embodiment. The dummy gate insulating film 61 is made of silicon oxide, and the dummy gate electrode 62 is made of polysilicon or amorphous silicon. The dummy gate insulating film 61, the dummy gate electrode 62, the barrier film 17, and the block film 18 constitute a dummy gate structure 60.

図5Bに示すように、ソース領域22S及びドレイン領域22Dの表面に、金属シリサイド膜32S及び32Dを形成する。ダミーゲート構造60の上面には、ブロック膜18が露出しているため、金属シリサイド膜が形成されない。   As shown in FIG. 5B, metal silicide films 32S and 32D are formed on the surfaces of the source region 22S and the drain region 22D. Since the block film 18 is exposed on the upper surface of the dummy gate structure 60, a metal silicide film is not formed.

図5Cに示すように、酸化シリコン等からなる層間絶縁膜65を堆積させた後、ブロック膜18が露出するまでCMPを行う。   As shown in FIG. 5C, after an interlayer insulating film 65 made of silicon oxide or the like is deposited, CMP is performed until the block film 18 is exposed.

図5Dに示すように、ダミーゲート構造60を除去する。ダミーゲート構造60が形成されていた領域に凹部60Aが形成される。凹部60Aの底面には、半導体基板10が露出する。   As shown in FIG. 5D, the dummy gate structure 60 is removed. A recess 60A is formed in the region where the dummy gate structure 60 was formed. The semiconductor substrate 10 is exposed on the bottom surface of the recess 60A.

図5Eに示すように、凹部60Aの底面に露出している半導体基板10の表層部を熱酸化及び熱窒化することにより、窒素を含有する酸化シリコンからなるゲート絶縁膜67を形成する。   As shown in FIG. 5E, a gate insulating film 67 made of silicon oxide containing nitrogen is formed by thermally oxidizing and thermally nitriding the surface layer portion of the semiconductor substrate 10 exposed on the bottom surface of the recess 60A.

図5Fに示すように、凹部60A内に、金属ゲート電極68を充填する。金属ゲート電極68は、基板全面に金属膜を堆積させた後、CMPを行うことによって形成される。金属ゲート電極68には、例えばTiN、TaC、TaN、HfN等が用いられる。   As shown in FIG. 5F, a metal gate electrode 68 is filled in the recess 60A. The metal gate electrode 68 is formed by depositing a metal film on the entire surface of the substrate and then performing CMP. For the metal gate electrode 68, for example, TiN, TaC, TaN, HfN or the like is used.

第5の実施例においても、第1の実施例の場合と同様に、チャネル領域に歪を発生させることができる。ダミーゲート電極62にクラスタカーボンが注入されると、図5Dに示した工程において、ダミーゲート電極62の除去が困難になる。第5の実施例では、ブロック膜18により、ダミーゲート電極62へのクラスタカーボンの注入が抑制されるため、ダミーゲート電極62を容易に除去することができる。   In the fifth embodiment, as in the first embodiment, distortion can be generated in the channel region. When cluster carbon is injected into the dummy gate electrode 62, it becomes difficult to remove the dummy gate electrode 62 in the process shown in FIG. 5D. In the fifth embodiment, since the block film 18 suppresses the injection of cluster carbon into the dummy gate electrode 62, the dummy gate electrode 62 can be easily removed.

図6A〜図6Iを参照して、第6の実施例による半導体装置の製造方法について説明する。   A method for manufacturing a semiconductor device according to the sixth embodiment will be described with reference to FIGS. 6A to 6I.

半導体からなる支持基板71の上に、酸化シリコンからなる絶縁膜72が配置され、その上にシリコンからなる半導体層(素子形成層)73が配置されている。この3層により、SOI基板70構成される。   An insulating film 72 made of silicon oxide is disposed on a support substrate 71 made of semiconductor, and a semiconductor layer (element forming layer) 73 made of silicon is placed thereon. The three layers constitute an SOI substrate 70.

素子形成層73の上に、ゲート絶縁膜15、ゲート用シリコン膜16a、バリア膜17a、及びブロック膜18aを順番に形成する。これらの膜の形成方法は、第1の実施例の場合と同じである。   On the element formation layer 73, the gate insulating film 15, the gate silicon film 16a, the barrier film 17a, and the block film 18a are formed in order. The method for forming these films is the same as in the first embodiment.

図6Bに示すように、ゲート用シリコン膜16a、バリア膜17a、及びブロック膜18aをパターニングすることにより、ゲートパターン19を形成する。ゲートパターン19をマスクとして、素子形成層73に、ソース及びドレインのエクステンション部20を形成するためのn型不純物の注入を行う。   As shown in FIG. 6B, the gate pattern 19 is formed by patterning the gate silicon film 16a, the barrier film 17a, and the block film 18a. Using the gate pattern 19 as a mask, an n-type impurity is implanted into the element formation layer 73 to form the source and drain extension portions 20.

図6Cに示すように、ゲートパターン19の側面上に、例えば酸化シリコンからなる第1のスペーサ21を形成する。   As shown in FIG. 6C, a first spacer 21 made of, for example, silicon oxide is formed on the side surface of the gate pattern 19.

図6Dに示すように、第1のスペーサ21よりも外側の素子形成層73の上に、シリコンを選択的にエピタキシャル成長させる。ゲートパターンの一方の側にソース用のエレベーテッド膜75Sが形成され、他方の側に、ドレイン用のエレベーテッド膜75Dが形成される。エレベーテッド膜75S、75D、及びその下の素子形成層73にn型不純物を注入することにより、ソース及びドレインの深い領域を形成する。   As shown in FIG. 6D, silicon is selectively epitaxially grown on the element formation layer 73 outside the first spacer 21. A source elevated film 75S is formed on one side of the gate pattern, and a drain elevated film 75D is formed on the other side. Deep regions of the source and drain are formed by implanting n-type impurities into the elevated films 75S and 75D and the element formation layer 73 therebelow.

図6Eに示すように、ブロック膜18及び第1のスペーサ21をマスクとして、エレベーテッド膜75S、75D、及び素子形成層73にクラスタカーボンを注入する。このとき、クラスタカーボンが素子形成層73と絶縁膜72との界面まで到達しない条件で注入を行う。   As shown in FIG. 6E, cluster carbon is implanted into the elevated films 75S and 75D and the element formation layer 73 using the block film 18 and the first spacer 21 as a mask. At this time, the implantation is performed under the condition that the cluster carbon does not reach the interface between the element formation layer 73 and the insulating film 72.

クラスタカーボンが注入された領域を再結晶化するためのアニールを行う。これにより、SiCからなる歪発生領域27が形成される。クラスタカーボンが素子形成層73の底面まで到達していないため、素子形成層73の下方の一部に、Siの単結晶領域が残っている。この単結晶領域からエピタキシャル成長することにより、再結晶化が行われる。   Annealing is performed to recrystallize the region into which the cluster carbon has been implanted. Thereby, the strain generation region 27 made of SiC is formed. Since the cluster carbon does not reach the bottom surface of the element formation layer 73, a single crystal region of Si remains in a part below the element formation layer 73. Recrystallization is performed by epitaxial growth from this single crystal region.

図6Fに示すように、窒化シリコンからなる保護膜80を堆積させた後、ブロック膜18が露出するまでCMPを行う。その後、露出したブロック膜18を除去する。   As shown in FIG. 6F, after depositing a protective film 80 made of silicon nitride, CMP is performed until the block film 18 is exposed. Thereafter, the exposed block film 18 is removed.

図6Gに示すように、ブロック膜18の下に配置されていたバリア膜17が露出する。露出したバリア膜17及び保護膜80を除去する。   As shown in FIG. 6G, the barrier film 17 disposed under the block film 18 is exposed. The exposed barrier film 17 and protective film 80 are removed.

図6Hに示すように、シリコンゲート電極16及びエレベーテッド膜75S、75Dが露出する。   As shown in FIG. 6H, the silicon gate electrode 16 and the elevated films 75S and 75D are exposed.

図6Iに示すように、露出したエレベーテッド膜75S、75D、及びゲート電極16の表面に、それぞれ金属シリサイド膜78S、78D及び78Gを形成する。   As shown in FIG. 6I, metal silicide films 78S, 78D, and 78G are formed on the exposed surfaces of the elevated films 75S and 75D and the gate electrode 16, respectively.

第6の実施例においても、歪発生領域27を形成することにより、ゲート電極16直下のチャネル領域に歪を発生させることができる。図6Eに示した工程において、ブロック膜18が、シリコンゲート電極16へのカーボンの注入を阻止する。このため、シリコンゲート電極16の上面に容易に金属シリサイド膜78Gを形成することができる。   Also in the sixth embodiment, by forming the strain generation region 27, strain can be generated in the channel region immediately below the gate electrode 16. In the step shown in FIG. 6E, the block film 18 prevents carbon from being injected into the silicon gate electrode 16. Therefore, the metal silicide film 78G can be easily formed on the upper surface of the silicon gate electrode 16.

以上実施例に沿って本発明を説明したが、本発明はこれらに制限されるものではない。例えば、種々の変更、改良、組み合わせ等が可能なことは当業者に自明であろう。   Although the present invention has been described with reference to the embodiments, the present invention is not limited thereto. It will be apparent to those skilled in the art that various modifications, improvements, combinations, and the like can be made.

以上の第1〜第6の実施例を含む実施形態に関し、更に以下の付記を開示する。   The following appendices are further disclosed with respect to the embodiments including the first to sixth examples.

(付記1)
(a)半導体基板の一部の表面上に、半導体膜と、該半導体膜よりも密度の高いブロック膜とがこの順番に積層されたゲートパターンを形成する工程と、
(b)前記ゲートパターンをマスクとして、前記半導体基板の表層部に、ソース及びドレイン用の不純物を注入する工程と、
(c)前記ゲートパターンをマスクとして、前記半導体基板内に、前記ソース及びドレイン用の不純物とは異なる歪形成用の不純物を注入する工程と、
(d)前記半導体基板を熱処理し、前記歪形成用の不純物が注入された領域を再結晶化させる工程と
を有する半導体装置の製造方法。
(Appendix 1)
(A) forming a gate pattern in which a semiconductor film and a block film having a higher density than the semiconductor film are stacked in this order on a part of the surface of the semiconductor substrate;
(B) implanting source and drain impurities into the surface layer of the semiconductor substrate using the gate pattern as a mask;
(C) implanting a strain forming impurity different from the source and drain impurities into the semiconductor substrate using the gate pattern as a mask;
(D) a method of manufacturing a semiconductor device, including a step of heat-treating the semiconductor substrate and recrystallizing a region into which the strain forming impurity is implanted.

(付記2)
前記ソース及びドレイン用の不純物がn型不純物であり、前記工程(d)で再結晶化することにより、前記ゲートパターンの下のチャネル領域に伸び歪みが生じる付記1に記載の半導体装置の製造方法。
(Appendix 2)
2. The method of manufacturing a semiconductor device according to appendix 1, wherein the source and drain impurities are n-type impurities, and re-crystallization occurs in the step (d) to cause elongation strain in a channel region under the gate pattern. .

(付記3)
前記半導体基板の少なくとも表層部がシリコンで形成されており、前記歪形成用の不純物が炭素である付記2に記載の半導体装置の製造方法。
(Appendix 3)
The manufacturing method of a semiconductor device according to appendix 2, wherein at least a surface layer portion of the semiconductor substrate is made of silicon, and the strain forming impurity is carbon.

(付記4)
前記工程(c)及び(d)において、前記半導体基板内に、炭素濃度が1原子%以上のSiCからなる歪発生領域が形成される条件で、前記歪形成用の不純物の注入、及び熱処理を行う付記3に記載の半導体装置の製造方法。
(Appendix 4)
In the steps (c) and (d), under the condition that a strain generating region made of SiC having a carbon concentration of 1 atomic% or more is formed in the semiconductor substrate, the strain forming impurities are implanted and heat-treated. The method for manufacturing a semiconductor device according to appendix 3, which is performed.

(付記5)
前記工程(c)及び(d)において、前記ゲートパターンの両側のシリコンを含む半導体領域の表面における炭素原子濃度が1×1020cm−3以下になる条件で前記歪形成用の不純物の注入、及び熱処理を行う付記3または4に記載の半導体装置の製造方法。
(Appendix 5)
In the steps (c) and (d), the implantation of the strain forming impurities under the condition that the carbon atom concentration on the surface of the semiconductor region containing silicon on both sides of the gate pattern is 1 × 10 20 cm −3 or less, And manufacturing method of the semiconductor device according to attachment 3 or 4, wherein heat treatment is performed.

(付記6)
前記ソース及びドレイン用の不純物がp型不純物であり、前記工程(d)で再結晶化することにより、前記ゲートパターンの下のチャネル領域に伸び歪みが生じる付記1に記載の半導体装置の製造方法。
(Appendix 6)
2. The method of manufacturing a semiconductor device according to appendix 1, wherein the source and drain impurities are p-type impurities, and recrystallization occurs in the step (d), thereby causing elongation strain in a channel region under the gate pattern. .

(付記7)
前記工程(c)の後、前記半導体基板の上に、前記ゲートパターンを被覆するように保護膜を形成する工程と、
前記ゲートパターンの上の前記保護膜を除去し、前記ブロック膜を露出させる工程と、
露出した前記ブロック膜及び前記保護膜を除去する工程と、
前記保護膜を除去した後、前記半導体基板の露出している表面、及び前記ゲートパターン内の前記半導体膜の表面に、金属シリサイド膜を形成する工程と
を有する付記1乃至6のいずれか1項に記載の半導体装置の製造方法。
(Appendix 7)
After the step (c), forming a protective film on the semiconductor substrate so as to cover the gate pattern;
Removing the protective film on the gate pattern and exposing the block film;
Removing the exposed block film and the protective film;
The method according to any one of appendices 1 to 6, further comprising: forming a metal silicide film on the exposed surface of the semiconductor substrate and the surface of the semiconductor film in the gate pattern after removing the protective film. The manufacturing method of the semiconductor device as described in any one of Claims 1-3.

(付記8)
前記工程(b)が、
前記ゲートパターンをマスクとして、前記半導体基板の表層部に、ソース及びドレインのエクステンション部用の不純物の注入を行う工程と、
前記ゲートパターンの側面上に、第1のスペーサを形成する工程と、
前記ゲートパターン及び前記第1のスペーサをマスクとして、前記半導体基板の表層部に、ソース及びドレインの深い領域用の不純物の注入を行う工程と
を含み、
前記工程(c)において、前記ゲートパターン及び第1のスペーサをマスクとして、前記歪形成用の不純物を注入する付記1乃至4のいずれか1項に記載の半導体装置の製造方法。
(Appendix 8)
The step (b)
Implanting impurities for source and drain extension portions into the surface layer portion of the semiconductor substrate using the gate pattern as a mask;
Forming a first spacer on a side surface of the gate pattern;
Implanting impurities for deep regions of the source and drain into the surface layer portion of the semiconductor substrate using the gate pattern and the first spacer as a mask,
The method of manufacturing a semiconductor device according to any one of appendices 1 to 4, wherein in the step (c), the strain forming impurity is implanted using the gate pattern and the first spacer as a mask.

(付記9)
前記工程(c)が、さらに、前記第1のスペーサの側面上に、第2のスペーサを形成する工程を含み、前記ゲートパターン、前記第1のスペーサ、及び前記第2のスペーサをマスクとして、前記歪形成用の不純物の注入を行う付記8に記載の半導体装置の製造方法。
(Appendix 9)
The step (c) further includes a step of forming a second spacer on a side surface of the first spacer, and using the gate pattern, the first spacer, and the second spacer as a mask, Item 9. The method for manufacturing a semiconductor device according to Appendix 8, wherein the impurity for forming the strain is implanted.

(付記10)
前記工程(d)の後、さらに、
前記半導体基板及び前記ゲートパターンの上に、層間絶縁膜を堆積させる工程と、
前記ゲートパターン上の前記層間絶縁膜を除去して該ゲートパターンの上面を露出させる工程と、
前記ゲートパターンを除去する工程と、
前記ゲートパターンが除去された領域の前記半導体基板の表面に、ゲート絶縁膜を形成する工程と、
前記ゲートパターンが除去されることによって形成された凹部内に、ゲート電極を充填する工程と
を有する付記1乃至6のいずれか1項に記載の半導体装置の製造方法。
(Appendix 10)
After the step (d),
Depositing an interlayer insulating film on the semiconductor substrate and the gate pattern;
Removing the interlayer insulating film on the gate pattern to expose an upper surface of the gate pattern;
Removing the gate pattern;
Forming a gate insulating film on the surface of the semiconductor substrate in the region where the gate pattern is removed;
The method for manufacturing a semiconductor device according to any one of appendices 1 to 6, further comprising a step of filling a gate electrode in a recess formed by removing the gate pattern.

(付記11)
前記半導体基板が、
絶縁膜上に、シリコンを含む半導体からなる素子形成層が形成されているSOI基板であり、
前記工程(b)の後、工程(c)の前に、さらに、
前記ゲートパターンの側面上に第1のスペーサを形成する工程と、
前記第1のスペーサよりも外側の前記素子形成層の上に、シリコンを含む半導体からなるエレベーテッド膜を選択的に成長させる工程と
を含み、
前記工程(c)において、前記ゲートパターン及び前記第1のスペーサをマスクとして、前記素子形成層及び前記エレベーテッド膜内に、前記歪形成用の不純物を注入する付記1乃至9のいずれか1項に記載の半導体装置の製造方法。
(Appendix 11)
The semiconductor substrate is
An SOI substrate in which an element formation layer made of a semiconductor containing silicon is formed on an insulating film,
After the step (b) and before the step (c),
Forming a first spacer on a side surface of the gate pattern;
A step of selectively growing an elevated film made of a semiconductor containing silicon on the element formation layer outside the first spacer,
Any one of Supplementary notes 1 to 9, wherein in the step (c), the strain forming impurity is implanted into the element formation layer and the elevated film using the gate pattern and the first spacer as a mask. The manufacturing method of the semiconductor device as described in 2.

(付記12)
前記工程(c)において、前記歪形成用の不純物が前記素子形成層の底面まで到達しない条件で該歪形成用の不純物を注入する付記11に記載の半導体装置の製造方法。
(Appendix 12)
12. The method for manufacturing a semiconductor device according to claim 11, wherein in the step (c), the strain forming impurity is implanted under a condition that the strain forming impurity does not reach the bottom surface of the element forming layer.

(付記13)
シリコンからなる表層部を有する半導体基板と、
前記半導体基板の表面の一部の領域上に形成されたゲート電極と、
前記ゲート電極の両側の前記半導体基板の表層部に形成されたn型のソース及びドレイン領域と、
前記ソース及びドレイン領域の表面に形成された金属シリサイド膜と、
前記金属シリサイド膜の下に配置されたSiCからなる領域と
を有し、
前記ゲート電極の下のチャネル領域に延び歪みが生じている半導体装置。
(Appendix 13)
A semiconductor substrate having a surface layer portion made of silicon;
A gate electrode formed on a partial region of the surface of the semiconductor substrate;
N-type source and drain regions formed in the surface layer portion of the semiconductor substrate on both sides of the gate electrode;
A metal silicide film formed on the surface of the source and drain regions;
A region made of SiC disposed under the metal silicide film,
A semiconductor device extending in a channel region under the gate electrode and causing distortion.

第1の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その1)である。It is sectional drawing (the 1) of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by a 1st Example. 第1の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その2)である。It is sectional drawing (the 2) of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by a 1st Example. 第1の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その3)である。It is sectional drawing (the 3) of the apparatus in the middle of manufacture of the manufacturing method of the semiconductor device by a 1st Example. 第2の実施例による半導体装置の製造方法の製造途中段階における装置の断面図である。It is sectional drawing of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by a 2nd Example. 第3の実施例による半導体装置の製造方法の製造途中段階における装置の断面図である。It is sectional drawing of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by 3rd Example. 第4の実施例による半導体装置の製造方法の製造途中段階における装置の断面図である。It is sectional drawing of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by a 4th Example. 第5の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その1)である。It is sectional drawing (the 1) of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by a 5th Example. 第5の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その2)である。It is sectional drawing (the 2) of the apparatus in the middle of manufacture of the manufacturing method of the semiconductor device by a 5th Example. 第6の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その1)である。It is sectional drawing (the 1) of the apparatus in the middle of manufacture of the manufacturing method of the semiconductor device by a 6th Example. 第6の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その2)である。It is sectional drawing (the 2) of the apparatus in the manufacture middle stage of the manufacturing method of the semiconductor device by a 6th Example. 第6の実施例による半導体装置の製造方法の製造途中段階における装置の断面図(その3)である。It is sectional drawing (the 3) of the apparatus in the middle of manufacture of the manufacturing method of the semiconductor device by a 6th Example.

符号の説明Explanation of symbols

10 基板
11 素子分離絶縁膜
12 p型ウェル
15 ゲート絶縁膜
16 ゲート電極
17 バリア膜
18 ブロック膜
19 ゲートパターン
21 第1のスペーサ
22S ソース領域
22D ドレイン領域
25 第2のスペーサ
27 歪発生領域
30 保護膜
32S、32D、32G 金属シリサイド膜
40S エレベーテッドソース領域
40D エレベーテッドドレイン領域
40G ゲート上部シリコン膜
43S、43D、43G 金属シリサイド膜
50 金属ゲート電極
61 ダミーゲート絶縁膜
62 ダミーゲート電極
65 層間絶縁膜
67 ゲート絶縁膜
68 金属ゲート電極
70 SOI基板
71 支持基板
72 絶縁膜
73 素子形成層
75S、75D エレベーテッド膜
80 保護膜
78S、78D、78G 金属シリサイド膜
10 substrate 11 element isolation insulating film 12 p-type well 15 gate insulating film 16 gate electrode 17 barrier film 18 block film 19 gate pattern 21 first spacer 22S source region 22D drain region 25 second spacer 27 strain generation region 30 protective film 32S, 32D, 32G Metal silicide film 40S Elevated source region 40D Elevated drain region 40G Gate upper silicon film 43S, 43D, 43G Metal silicide film 50 Metal gate electrode 61 Dummy gate insulating film 62 Dummy gate electrode 65 Interlayer insulating film 67 Gate Insulating film 68 Metal gate electrode 70 SOI substrate 71 Support substrate 72 Insulating film 73 Element formation layers 75S, 75D Elevated film 80 Protective films 78S, 78D, 78G Metal silicide film

Claims (5)

(a)半導体基板の一部の表面上に、半導体膜と、該半導体膜よりも密度の高いブロック膜とがこの順番に積層されたゲートパターンを形成する工程と、
(b)前記ゲートパターンをマスクとして、前記半導体基板の表層部に、ソース及びドレイン用の不純物を注入する工程と、
(c)前記ゲートパターンをマスクとして、前記半導体基板内に、前記ソース及びドレイン用の不純物とは異なる歪形成用の不純物を注入する工程と、
(d)前記半導体基板を熱処理し、前記歪形成用の不純物が注入された領域を再結晶化させる工程と
を有する半導体装置の製造方法。
(A) forming a gate pattern in which a semiconductor film and a block film having a higher density than the semiconductor film are stacked in this order on a part of the surface of the semiconductor substrate;
(B) implanting source and drain impurities into the surface layer of the semiconductor substrate using the gate pattern as a mask;
(C) implanting a strain forming impurity different from the source and drain impurities into the semiconductor substrate using the gate pattern as a mask;
(D) a method of manufacturing a semiconductor device, including a step of heat-treating the semiconductor substrate and recrystallizing a region into which the strain forming impurity is implanted.
前記ソース及びドレイン用の不純物がn型不純物であり、前記工程(d)で再結晶化することにより、前記ゲートパターンの下のチャネル領域に伸び歪みが生じる請求項1に記載の半導体装置の製造方法。   The semiconductor device according to claim 1, wherein the source and drain impurities are n-type impurities, and recrystallization in the step (d) causes elongation strain in a channel region under the gate pattern. Method. 前記半導体基板の少なくとも表層部がシリコンで形成されており、前記歪形成用の不純物が炭素である請求項2に記載の半導体装置の製造方法。   The method of manufacturing a semiconductor device according to claim 2, wherein at least a surface layer portion of the semiconductor substrate is formed of silicon, and the strain forming impurity is carbon. 前記工程(c)及び(d)において、前記半導体基板内に、炭素濃度が1原子%以上のSiCからなる歪発生領域が形成される条件で、前記歪形成用の不純物の注入、及び熱処理を行う請求項3に記載の半導体装置の製造方法。   In the steps (c) and (d), under the condition that a strain generating region made of SiC having a carbon concentration of 1 atomic% or more is formed in the semiconductor substrate, the strain forming impurities are implanted and heat-treated. The manufacturing method of the semiconductor device of Claim 3 to perform. シリコンからなる表層部を有する半導体基板と、
前記半導体基板の表面の一部の領域上に形成されたゲート電極と、
前記ゲート電極の両側の前記半導体基板の表層部に形成されたn型のソース及びドレイン領域と、
前記ソース及びドレイン領域の表面に形成された金属シリサイド膜と、
前記金属シリサイド膜の下に配置されたSiCからなる領域と
を有し、
前記ゲート電極の下のチャネル領域に延び歪みが生じている半導体装置。
A semiconductor substrate having a surface layer portion made of silicon;
A gate electrode formed on a partial region of the surface of the semiconductor substrate;
N-type source and drain regions formed in the surface layer portion of the semiconductor substrate on both sides of the gate electrode;
A metal silicide film formed on the surface of the source and drain regions;
A region made of SiC disposed under the metal silicide film,
A semiconductor device extending in a channel region under the gate electrode and causing distortion.
JP2007328899A 2007-12-20 2007-12-20 Method of manufacturing semiconductor device, and semiconductor device Pending JP2009152391A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007328899A JP2009152391A (en) 2007-12-20 2007-12-20 Method of manufacturing semiconductor device, and semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007328899A JP2009152391A (en) 2007-12-20 2007-12-20 Method of manufacturing semiconductor device, and semiconductor device

Publications (1)

Publication Number Publication Date
JP2009152391A true JP2009152391A (en) 2009-07-09

Family

ID=40921197

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007328899A Pending JP2009152391A (en) 2007-12-20 2007-12-20 Method of manufacturing semiconductor device, and semiconductor device

Country Status (1)

Country Link
JP (1) JP2009152391A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010079544A1 (en) * 2009-01-08 2010-07-15 パナソニック株式会社 Semiconductor device and method for manufacturing same
WO2011030782A1 (en) * 2009-09-09 2011-03-17 学校法人神奈川大学 Method for forming a semiconductor element structure and semiconductor element
JP2012049248A (en) * 2010-08-25 2012-03-08 Renesas Electronics Corp Semiconductor device and method of manufacturing the same
JP2012525709A (en) * 2009-05-01 2012-10-22 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド Formation of raised source / drain on strained thin film implanted with low temperature carbon and / or molecular carbon

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09129869A (en) * 1995-10-30 1997-05-16 Sony Corp Forming method of mos transistor
WO2006066194A2 (en) * 2004-12-17 2006-06-22 Intel Corporation Strained nmos transistor featuring deep carbon doped regions and raised donor doped source and drain
JP2007501526A (en) * 2003-08-04 2007-01-25 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated circuit having strained semiconductor CMOS transistor with lattice mismatched source and drain regions and fabrication method
JP2007189166A (en) * 2006-01-16 2007-07-26 Fujitsu Ltd Semiconductor device and its process for fabrication
JP2009518869A (en) * 2005-12-09 2009-05-07 セムイクウィップ・インコーポレーテッド System and method for manufacturing semiconductor devices by implantation of carbon clusters
JP2009535808A (en) * 2006-04-28 2009-10-01 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Transistor having a channel with increased charge carrier mobility oriented along a crystal orientation with tensile strain
JP2009535850A (en) * 2006-05-04 2009-10-01 インターナショナル・ビジネス・マシーンズ・コーポレーション Ion implantation for improved field effect transistors combined with in situ or ex situ heat treatment (method of manufacturing FET devices and FET devices)

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09129869A (en) * 1995-10-30 1997-05-16 Sony Corp Forming method of mos transistor
JP2007501526A (en) * 2003-08-04 2007-01-25 インターナショナル・ビジネス・マシーンズ・コーポレーション Integrated circuit having strained semiconductor CMOS transistor with lattice mismatched source and drain regions and fabrication method
WO2006066194A2 (en) * 2004-12-17 2006-06-22 Intel Corporation Strained nmos transistor featuring deep carbon doped regions and raised donor doped source and drain
JP2008524858A (en) * 2004-12-17 2008-07-10 インテル コーポレイション Strained nMOS transistor featuring deep carbon doped regions and source and drain doped with raised donors
JP2009518869A (en) * 2005-12-09 2009-05-07 セムイクウィップ・インコーポレーテッド System and method for manufacturing semiconductor devices by implantation of carbon clusters
JP2007189166A (en) * 2006-01-16 2007-07-26 Fujitsu Ltd Semiconductor device and its process for fabrication
JP2009535808A (en) * 2006-04-28 2009-10-01 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Transistor having a channel with increased charge carrier mobility oriented along a crystal orientation with tensile strain
JP2009535850A (en) * 2006-05-04 2009-10-01 インターナショナル・ビジネス・マシーンズ・コーポレーション Ion implantation for improved field effect transistors combined with in situ or ex situ heat treatment (method of manufacturing FET devices and FET devices)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010079544A1 (en) * 2009-01-08 2010-07-15 パナソニック株式会社 Semiconductor device and method for manufacturing same
JP2012525709A (en) * 2009-05-01 2012-10-22 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド Formation of raised source / drain on strained thin film implanted with low temperature carbon and / or molecular carbon
WO2011030782A1 (en) * 2009-09-09 2011-03-17 学校法人神奈川大学 Method for forming a semiconductor element structure and semiconductor element
US8941092B2 (en) 2009-09-09 2015-01-27 Kanagawa University Method for forming semiconductor device structure and semiconductor device
JP5700563B2 (en) * 2009-09-09 2015-04-15 学校法人神奈川大学 Method for forming semiconductor device structure and semiconductor device
JP2012049248A (en) * 2010-08-25 2012-03-08 Renesas Electronics Corp Semiconductor device and method of manufacturing the same

Similar Documents

Publication Publication Date Title
US7879667B2 (en) Blocking pre-amorphization of a gate electrode of a transistor
CN105529269B (en) Reduce the technology of contact resistance
US8927422B2 (en) Raised silicide contact
JP2011151318A (en) Semiconductor device and method of manufacturing the same
US20090050972A1 (en) Strained Semiconductor Device and Method of Making Same
US20080087967A1 (en) Semiconductor device having reduced-damage active region and method of manufacturing the same
JP5195747B2 (en) Manufacturing method of semiconductor device
TW201030902A (en) Performance enhancement in PMOS and NMOS transistors on the basis of silicon/carbon material
JP2010171174A (en) Semiconductor device
JP2006278776A (en) P-channel mos transistor, semiconductor integrated circuit device and its manufacturing method
US6743704B2 (en) Method of manufacturing a semiconductor device
JP2006278974A (en) Semiconductor device and manufacturing method thereof
JP2010062529A (en) Method of manufacturing semiconductor device
US20080119025A1 (en) Method of making a strained semiconductor device
JP2007134432A (en) Semiconductor device and its manufacturing method
TW201013787A (en) Ultra-shallow junctions using atomic-layer doping
JP2009130190A (en) Semiconductor device and method for manufacturing semiconductor device
TW200931590A (en) Semiconductor device and method of manufacturing the same
JP2008177319A (en) Semiconductor device manufacturing method and semiconductor device
US20140248749A1 (en) Stress memorization technique
JP2009152391A (en) Method of manufacturing semiconductor device, and semiconductor device
JP5034332B2 (en) Manufacturing method of semiconductor device
JP2008263114A (en) Manufacturing method of semiconductor device, and semiconductor device
JP2007165532A (en) Method of manufacturing semiconductor device
US20080070360A1 (en) Method and structure for forming silicide contacts on embedded silicon germanium regions of cmos devices

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100723

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121004

A131 Notification of reasons for refusal

Effective date: 20121009

Free format text: JAPANESE INTERMEDIATE CODE: A131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130219