JP2008107872A - Semiconductor integrated circuit - Google Patents

Semiconductor integrated circuit Download PDF

Info

Publication number
JP2008107872A
JP2008107872A JP2006287151A JP2006287151A JP2008107872A JP 2008107872 A JP2008107872 A JP 2008107872A JP 2006287151 A JP2006287151 A JP 2006287151A JP 2006287151 A JP2006287151 A JP 2006287151A JP 2008107872 A JP2008107872 A JP 2008107872A
Authority
JP
Japan
Prior art keywords
circuit
signal
output
state
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006287151A
Other languages
Japanese (ja)
Inventor
Takehiro Suzuki
武大 鈴木
Sanae Saito
早苗 斎藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Oki Networks Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Oki Networks Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd, Oki Networks Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP2006287151A priority Critical patent/JP2008107872A/en
Publication of JP2008107872A publication Critical patent/JP2008107872A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor integrated circuit capable of checking the operation of an inside of a verification target circuit in respective abstraction level designing steps from an RT level up to a final ASIC. <P>SOLUTION: A system LSI 10 having a state machine 11 and a combination circuit 12 for executing predetermined logical processing according to an input signal IN and outputting an output signal OUT is provided with a function verification circuit 20 for detecting abnormality in a state of a signal line 13. The function verification circuit 20 is described by a hardware description function allowed to be converted into hardware and composed as a logical circuit and is provided with: a state history storage part 21 for storing the history of states of the inner signal line 13; a transition check signal generation part 22 for generating a signal to be output to the signal line 13 on the basis of the state history stored in the state history storage part 21; a comparison part 23 for comparing the signal generated by the transition check signal generation part 22 with a signal output to the signal line 13; and an output part 24 for outputting a comparison result. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体集積回路、特にその内部の動作状態を監視して異常状態を出力する動作監視機能を備えた半導体集積回路に関するものである。   The present invention relates to a semiconductor integrated circuit, and more particularly to a semiconductor integrated circuit having an operation monitoring function for monitoring an internal operation state and outputting an abnormal state.

小型化、軽量化、省電力化及び低コスト化の要求により、CPU(Central Processing Unit:中央処理装置)
、メモリ、各種の論理回路、及び入出力インタフェース等を単一のチップ上に設けたシステムLSIの使用が広まっている。
CPU (Central Processing Unit) due to demands for miniaturization, weight reduction, power saving and cost reduction
The use of system LSIs in which a memory, various logic circuits, input / output interfaces, and the like are provided on a single chip has become widespread.

システムLSIの設計は、今までに開発されてきた各種の機能を実現するための機能ブロックのデータを利用すると共に、目的のシステムを構成するために必要な機能ブロックを新たに作成し、これらの機能ブロックを組み合わせることによって所望の機能を有するLSIを構成するという手法が用いられている。   The system LSI design uses the function block data for realizing various functions that have been developed so far, and creates new function blocks necessary for configuring the target system. A technique of configuring an LSI having a desired function by combining functional blocks is used.

このような設計手法では、先ず各機能ブロックの機能内容をアルゴリズム・レベルで記述した動作モデルを使用し、論理動作レベルでのシミュレーションによるシステム検証を行う。次に、各機能ブロックの機能内容を機能ブロック間及び機能ブロック内の信号の動作で記述したRT(Register Transfer)レベルのモデルを使用し、RTレベルでのシミュレーションによる機能検証を行う。このRTレベルでは、実際の部品の動作遅延は含まれないので、動作タイミングの確認はできない。更に、各機能ブロックのネットリスト(構成要素であるトランジスタ等の部品とその部品間の接続関係を記述したもの)を用いて信号レベルでシミュレーションを行い、動作遅延を考慮した動作タイミングを検証する。その後、ネットリストに基づいてFPGA(Field Programmable Gate Array)によるハードウエア・エミュレータを作成してハードウエアによる機能確認試験を行い、更に、最終的なシステムLSIであるASIC(Application Specified Integrated Circuit:特定用向け専用標準IC)を作成して機能の確認及び性能試験を行う。このように、抽象度の高いアルゴリズム・レベルから、順次抽象度を下げて最終的なASICまで、各抽象度の設計段階でそれぞれに対応する検証を行い、完全な機能を備えたASICが完成する。   In such a design method, first, an operation model in which the functional content of each functional block is described at an algorithm level is used, and system verification is performed by simulation at a logical operation level. Next, using a model at RT (Register Transfer) level in which the functional content of each functional block is described by the operation of signals between and within the functional blocks, functional verification is performed by simulation at the RT level. At this RT level, since the actual operation delay of the parts is not included, the operation timing cannot be confirmed. Furthermore, a simulation is performed at a signal level using a net list of each functional block (a component such as a transistor as a component and a connection relation between the components), and operation timing in consideration of operation delay is verified. After that, a hardware emulator based on FPGA (Field Programmable Gate Array) is created based on the netlist, and a function confirmation test is performed by hardware. Furthermore, ASIC (Application Specified Integrated Circuit: specific system LSI) which is the final system LSI Dedicated standard IC) for function confirmation and performance test. In this way, from the algorithm level with a high level of abstraction to the final ASIC with progressively lower levels of abstraction, verification corresponding to each is performed at the design stage of each level of abstraction, and an ASIC with complete functions is completed. .

RTレベルのシミュレーションによる機能検証では、検証対象回路の動作を確認する仕組みとして、自動的に異常を検出して通知する「アサーション」と呼ばれるソフトウエア・モデルを、RTレベルで記述した検証対象回路のモデル内に組み込んだり、シミュレーション用のプログラム(シミュレータ)に組み込んだりすることが行われている。   In functional verification by RT-level simulation, as a mechanism for confirming the operation of the verification target circuit, a software model called “assertion” that automatically detects and notifies an abnormality is used for the verification target circuit described at the RT level. Incorporation in a model or in a simulation program (simulator) is performed.

アサーションは、検証対象回路の機能仕様で許容された状態や動作を、検証専用言語やハードウエア記述言語を用いて記述したものである。アサーションを組み込むことにより、シミュレーション実行中に検証対象回路の状態や動作を監視し、この検証対象回路が機能仕様で許容された範囲から外れた場合に、人間の判断を介することなく、自動的に表示装置やログファイルにその異常発生の情報を出力することができるようになっている。アサーションの特徴は、検証対象回路のインタフェースだけでなく、この検証対象回路内部の状態や動作を容易にチェックできることである。   The assertion is a description of a state or operation permitted by the functional specification of the circuit to be verified using a verification-dedicated language or a hardware description language. By incorporating assertions, the state and operation of the verification target circuit are monitored during simulation execution, and if this verification target circuit falls outside the range allowed by the functional specifications, it is automatically performed without human intervention. Information about the occurrence of the abnormality can be output to a display device or a log file. The feature of the assertion is that not only the interface of the verification target circuit but also the state and operation inside the verification target circuit can be easily checked.

このアサーションを適用することにより、シミュレーション結果の信号波形を目視チェックする必要が無くなるだけでなく、シミュレーション実行中に検証対象回路内部の状態をチェックすることができるので、不具合が発生した箇所の特定が容易になる。更に、機能検証用のテストプログラム毎に動作期待値を作成する必要が無くなるので、人為的な誤りや検証工数を低減できる。   By applying this assertion, it is not only necessary to visually check the signal waveform of the simulation result, but also the state inside the verification target circuit can be checked during the simulation execution, so that the location where the problem has occurred can be identified. It becomes easy. Furthermore, since it is not necessary to create an expected operation value for each function verification test program, it is possible to reduce human error and verification man-hours.

図2は、従来のアサーションを使用したシミュレーション環境の一例を示す図である。
このシミュレーションでは、RTレベルで記述した検証対象回路モデル1と、検証対象回路へ入力信号INを与えると共に、この検証対象回路からの出力信号OUTを受けて次の入力信号INを制御する検証モデル2が用いられる。また、このシミュレーションでは、検証対象回路モデル1と検証モデル2の間の入出力信号を監視するアサーション3、この検証対象回路モデル1内の信号を回路の外部から監視するアサーション4が用いられる。更に、検証対象回路モデル1の内部には、回路内部の信号を監視するアサーション1aが組み込まれる。
FIG. 2 is a diagram illustrating an example of a simulation environment using a conventional assertion.
In this simulation, the verification target circuit model 1 described at the RT level and the verification model 2 that gives the input signal IN to the verification target circuit and receives the output signal OUT from the verification target circuit to control the next input signal IN. Is used. In this simulation, an assertion 3 for monitoring an input / output signal between the verification target circuit model 1 and the verification model 2 and an assertion 4 for monitoring a signal in the verification target circuit model 1 from the outside of the circuit are used. Furthermore, an assertion 1a for monitoring a signal inside the circuit is incorporated in the circuit model 1 to be verified.

このようなモデルを用いてシミュレーションを行うと、検証対象回路モデル1からの出力信号OUTはアサーション3によってチェックされ、この検証対象回路モデル1内部の信号はアサーション4とアサーション1aによってチェックされる。そして、アサーション3,4,1aによってチェックされた信号が予め機能仕様で許容された範囲から外れていると、これらのアサーションによって異常として検出される。異常を検出したアサーション3,4,1aは、図示しない表示装置やログファイルにその異常発生の情報を出力する。   When a simulation is performed using such a model, the output signal OUT from the verification target circuit model 1 is checked by the assertion 3, and the signal inside the verification target circuit model 1 is checked by the assertion 4 and the assertion 1a. If the signal checked by the assertions 3, 4, 1a is out of the range allowed in advance by the functional specification, it is detected as an abnormality by these assertions. The assertions 3, 4, 1a that have detected the abnormality output information on the occurrence of the abnormality to a display device or a log file (not shown).

特開2001−101247号公報JP 2001-101247 A 特開2006−163559号公報JP 2006-163559 A

前記RTレベルのシミュレーションでは、synopsys社のSVA(System Verilog Assertion)や、Accellera社のPSL(Property Specification Language)等のハードウエア検証専用言語で記述したアサーションを用いて検証を行っている。このようなハードウエア検証専用言語は、HDL(Hardware Description Language)等のハードウエア記述言語ではないため、このハードウエア検証専用言語で記述したアサーションのモデルを論理回路に変換することができない。このため、次のような課題があった。   In the RT-level simulation, verification is performed using assertions described in a hardware verification language such as SVA (System Verilog Assertion) of synopsys or PSL (Property Specification Language) of Accellera. Since such a hardware verification language is not a hardware description language such as HDL (Hardware Description Language), an assertion model described in this hardware verification language cannot be converted into a logic circuit. For this reason, there were the following problems.

即ち、RTレベルでのシミュレーションによる機能検証が完了して次のネットリストによるシミュレーションを行うときに、検証対象回路モデル1を論理回路に変換することになるが、この検証対象回路モデル1の中に組み込んだアサーション1aは、論理合成の対象外となり、ハードウエアとして合成することができない。このため、ネットリストによるシミュレーションを行う場合、RTレベルでのシミュレーションでは可能であった検証対象回路モデル内部の信号の自動監視ができなくなってしまう。従って、ネットリストによるシミュレーションでは、シミュレーション結果の信号波形を目視でチェックする必要がある。更に、FPGAやASIC等のハードウエアによるシミュレーションでは、検証対象回路の出力端子の信号だけに基づいて機能の正常・異常の判断を行わなければならないので、異常が発生した場合の不具合箇所の特定が困難になるという課題があった。   That is, when the functional verification by simulation at the RT level is completed and the next netlist simulation is performed, the verification target circuit model 1 is converted into a logic circuit. The incorporated assertion 1a is not subject to logic synthesis and cannot be synthesized as hardware. For this reason, when performing a simulation using a netlist, it becomes impossible to automatically monitor the signal inside the circuit model to be verified, which was possible in the simulation at the RT level. Therefore, in the simulation using the net list, it is necessary to visually check the signal waveform of the simulation result. Furthermore, in the simulation by hardware such as FPGA and ASIC, it is necessary to judge whether the function is normal or abnormal based only on the signal of the output terminal of the circuit to be verified. There was a problem of difficulty.

また、ハードウエア検証専用言語は、上記のような会社からそれぞれ異なった仕様で提供されているため、それに対応したシミュレータを用いる必要がある。このため、あるシミュレータを対象に作成したアサーションを、他のシミュレータに流用して使用することができないという不便さがあった。   In addition, since the hardware verification dedicated language is provided by the above companies with different specifications, it is necessary to use a simulator corresponding to the language. For this reason, there is an inconvenience that an assertion created for a certain simulator cannot be used for another simulator.

本発明は、RTレベルから最終的なASICまでの各抽象度の設計段階で、検証対象回路の内部の動作をチェックできる半導体集積回路を提供することを目的としている。   An object of the present invention is to provide a semiconductor integrated circuit capable of checking the internal operation of a circuit to be verified at the design stage of each abstraction level from the RT level to the final ASIC.

本発明は、入力信号に従って予め定められた論理処理を行って出力信号を出力する組み合わせ回路を有する半導体集積回路において、前記組み合わせ回路における論理処理中の内部信号の状態及び変化の異常を検出するために、その機能をハードウエアに変換可能なハードウエア記述言語で記述され、かつ論理回路として合成された機能検証回路を備えたことを特徴としている。   The present invention relates to a semiconductor integrated circuit having a combinational circuit that performs a predetermined logic process according to an input signal and outputs an output signal, to detect an abnormality in the state and change of the internal signal during the logic process in the combinational circuit. In addition, a function verification circuit described in a hardware description language whose function can be converted into hardware and synthesized as a logic circuit is provided.

本発明では、半導体集積回路中に、入力信号に従って予め定められた論理処理を行って出力信号を出力する組み合わせ回路に加えて、ハードウエアに変換可能なハードウエア記述言語で記述され、かつ論理回路として合成された機能検証回路を設けている。これにより、検証対象回路である組み合わせ回路の内部の動作をチェックできる。しかも、この機能検証回路は、ハードウエアに変換可能なハードウエア記述言語で記述されたものである。従って、RTレベルの設計段階でのモデルとして記述しておけば、一般的なシミュレータでシミュレーション可能であるだけでなく、FPGAによるハードウエア・エミュレータへの変換や、ASICによる実際のシステムLSIへの変換時にそのままハードウエアに変換することができる。従って、RTレベルから最終的なASICまでの各抽象度の設計段階で、検証対象回路の内部の動作をチェックできるという効果がある。   In the present invention, a semiconductor integrated circuit is described in a hardware description language that can be converted into hardware in addition to a combinational circuit that performs a predetermined logic process in accordance with an input signal and outputs an output signal. As shown in FIG. As a result, the internal operation of the combinational circuit that is the verification target circuit can be checked. Moreover, this function verification circuit is described in a hardware description language that can be converted into hardware. Therefore, if it is described as a model at the RT level design stage, it can be simulated with a general simulator, but it can also be converted into a hardware emulator using FPGA, or into an actual system LSI using ASIC. Sometimes it can be converted directly into hardware. Therefore, there is an effect that the internal operation of the circuit to be verified can be checked at the design stage of each abstraction level from the RT level to the final ASIC.

この発明の前記並びにその他の目的と新規な特徴は、次の好ましい実施例の説明を添付図面と照らし合わせて読むと、より完全に明らかになるであろう。但し、図面は、もっぱら解説のためのものであって、この発明の範囲を限定するものではない。   The above and other objects and novel features of the present invention will become more fully apparent when the following description of the preferred embodiment is read in conjunction with the accompanying drawings. However, the drawings are for explanation only, and do not limit the scope of the present invention.

図1は、本発明の実施例1のシステムLSIのテスト環境を示す図である。
このシステムLSI10は、検証対象となる本来の論理処理を行うステートマシン11と組み合わせ回路12に加えて、これらのステートマシン11と組み合わせ回路12の間の複数の信号線13の状態を監視するための機能検証回路20を備えている。
FIG. 1 is a diagram illustrating a test environment of a system LSI according to the first embodiment of the present invention.
This system LSI 10 is used to monitor the state of a plurality of signal lines 13 between the state machine 11 and the combinational circuit 12 in addition to the state machine 11 and the combinational circuit 12 that perform the original logical processing to be verified. A function verification circuit 20 is provided.

機能検証回路20は、信号線13の過去の状態やその変化の履歴を保持するための状態履歴保持部21を有している。状態履歴保持部21は、例えば信号線13毎に設けられた複数のシフトレジスタで構成され、ステートマシン11に与えられるクロック信号CLKと共通のクロック信号で各信号線13の状態をシフトして保持するものである。なお、各シフトレジスタの段数は、現在の信号線13の状態に影響を与える範囲で、この信号線13の過去の状態を保持できる段数に設定されている。状態履歴保持部21には、遷移チェック信号生成部22が接続されている。   The function verification circuit 20 includes a state history holding unit 21 for holding a past state of the signal line 13 and a history of changes thereof. The state history holding unit 21 is composed of, for example, a plurality of shift registers provided for each signal line 13, and shifts and holds the state of each signal line 13 with a clock signal common to the clock signal CLK supplied to the state machine 11. To do. The number of stages of each shift register is set to the number of stages that can hold the past state of the signal line 13 within a range that affects the current state of the signal line 13. A transition check signal generation unit 22 is connected to the state history holding unit 21.

遷移チェック信号生成部22は、状態履歴保持部21に保持された信号線13の過去の状態に基づいて、信号線13に現在出力されるべき信号を生成する順序回路である。遷移チェック信号生成部22の出力側には、比較部23が接続されている。   The transition check signal generation unit 22 is a sequential circuit that generates a signal to be output to the signal line 13 based on the past state of the signal line 13 held in the state history holding unit 21. A comparison unit 23 is connected to the output side of the transition check signal generation unit 22.

比較部23は、遷移チェック信号生成部22で生成された期待される信号と、各信号線13に実際に出力される信号とを比較するものである。比較部23による比較結果は、出力部24に与えられるようになっている。出力部24は、比較結果の信号の組み合わせを符号化して異常発生通知用の端子25に出力するものである。   The comparison unit 23 compares the expected signal generated by the transition check signal generation unit 22 with the signal actually output to each signal line 13. The comparison result by the comparison unit 23 is given to the output unit 24. The output unit 24 encodes the combination of the comparison result signals and outputs the encoded combination to the abnormality notification terminal 25.

これらの状態履歴保持部21、遷移チェック信号生成部22、比較部23、出力部24及び端子25で構成される機能検証回路20は、RTレベルでの設計当初から、ステートマシン11や組み合わせ回路12と同じHDL等のハードウエア記述言語で記述され、これらのステートマシン11や組み合わせ回路12と同時にハードウエアに変換され、論理回路として合成されたものである。   The function verification circuit 20 including the state history holding unit 21, the transition check signal generation unit 22, the comparison unit 23, the output unit 24, and the terminal 25 is the state machine 11 and the combinational circuit 12 from the initial design at the RT level. Are described in the same hardware description language such as HDL, and are converted into hardware simultaneously with the state machine 11 and the combinational circuit 12 and synthesized as a logic circuit.

このシステムLSI10は、図2の検証モデル2に対応するテスト装置30に接続されて機能試験が行われる。テスト装置30は、システムLSI10に動作の基準となるクロック信号CLKを与え、検証対象回路であるステートマシン11と組み合わせ回路12に入力信号INを与えると共に、この検証対象回路からの出力信号OUTを受けて次の入力信号INを制御するものである。更に、テスト装置30は、システムLSI10の端子25から出力される符号化された異常発生通知信号を解読して、このシステムLSI10内部でどのような異常が検出されたのかを出力する検証モデル31と、異常発生通知信号と検証対象回路内の障害箇所及び原因等の関連を予め保持するデータベース32と、検出された異常状態を表示する表示装置33と、異常状態の情報を記録するログファイル34を備えている。   The system LSI 10 is connected to a test apparatus 30 corresponding to the verification model 2 shown in FIG. The test apparatus 30 provides the system LSI 10 with a clock signal CLK as an operation reference, provides an input signal IN to the state machine 11 and the combinational circuit 12 that are verification target circuits, and receives an output signal OUT from the verification target circuit. To control the next input signal IN. Further, the test apparatus 30 decodes the encoded abnormality occurrence notification signal output from the terminal 25 of the system LSI 10 and outputs a verification model 31 that outputs what abnormality is detected in the system LSI 10. A database 32 that holds in advance the relationship between the abnormality occurrence notification signal and the failure location and cause in the circuit to be verified, a display device 33 that displays the detected abnormal state, and a log file 34 that records information on the abnormal state I have.

次に、図1のテスト環境によるシステムLSI10の機能検証動作を説明する。
(1)テスト装置30から、クロック信号CLKに同期してシステムLSI10へ入力信号INを与える。
Next, a function verification operation of the system LSI 10 in the test environment of FIG. 1 will be described.
(1) The input signal IN is supplied from the test apparatus 30 to the system LSI 10 in synchronization with the clock signal CLK.

(2)システムLSI10のステートマシン11と組み合わせ回路12では、テスト装置30から与えられた入力信号INに基づいた論理動作を行い、その論理動作の結果を出力信号OUTとしてテスト装置30に出力する。 (2) The state machine 11 and the combinational circuit 12 of the system LSI 10 perform a logical operation based on the input signal IN given from the test apparatus 30 and output the result of the logical operation to the test apparatus 30 as an output signal OUT.

(3)一方、システムLSI10内部の機能検証回路20では、クロック信号CLKに従って、信号線13の状態を状態履歴保持部21に保持する。 (3) On the other hand, the function verification circuit 20 in the system LSI 10 holds the state of the signal line 13 in the state history holding unit 21 in accordance with the clock signal CLK.

(4)遷移チェック信号生成部22は、状態履歴保持部21に保持された信号線13の過去の状態に基づいて、信号線13に現在出力されるべき信号を生成する。 (4) The transition check signal generation unit 22 generates a signal to be output to the signal line 13 based on the past state of the signal line 13 held in the state history holding unit 21.

(5)比較部23は、遷移チェック信号生成部22で生成された信号と、各信号線13に実際に出力された信号とを比較し、一致したときは論理値“0”、不一致のときは論理値“1”を各信号線13に対応して出力する。 (5) The comparison unit 23 compares the signal generated by the transition check signal generation unit 22 with the signal actually output to each signal line 13, and when they match, the logical value is “0”, and when they do not match Outputs a logical value “1” corresponding to each signal line 13.

(6)出力部24は、比較部23から出力される比較結果の信号の組み合わせを符号化して異常発生通知用の端子25に出力する。従って、複数の信号線13の内、少なくとも1つの信号線13の状態が期待された状態でない場合には、端子25から出力される値は0以外の異常発生通知信号となる。 (6) The output unit 24 encodes the combination of the comparison result signals output from the comparison unit 23 and outputs the result to the abnormality occurrence notification terminal 25. Therefore, when the state of at least one signal line 13 among the plurality of signal lines 13 is not an expected state, the value output from the terminal 25 is an abnormality occurrence notification signal other than zero.

(7)テスト装置30の検証モデル31は、システムLSI10の端子25から出力される異常発生通知信号を解読し、データベース32を参照して、このシステムLSI10内部でどのような異常が検出されたのかの情報を出力する。 (7) The verification model 31 of the test apparatus 30 decodes the abnormality occurrence notification signal output from the terminal 25 of the system LSI 10, refers to the database 32, and what abnormality is detected in the system LSI 10 The information of is output.

(8)検証モデル31から出力された情報は、表示装置33に出力され、更に後から解析するためにログファイル34に記録される。 (8) The information output from the verification model 31 is output to the display device 33 and further recorded in the log file 34 for later analysis.

(9)テスト装置30では、システムLSI10から異常発生通知信号が検出されなければ、このシステムLSI10から出力される出力信号OUTに基づいて、次に与えるべき入力信号INを生成する。 (9) If no abnormality notification signal is detected from the system LSI 10, the test apparatus 30 generates the input signal IN to be given next based on the output signal OUT output from the system LSI 10.

(10)その後、(1)に戻り、予め用意したテスト用の入力信号INが終了するまで、(1)〜(9)の動作を繰り返す。 (10) Thereafter, returning to (1), the operations of (1) to (9) are repeated until the test input signal IN prepared in advance is completed.

以上のように、この実施例1のシステムLSI10は、本来の論理処理を行うステートマシン11と組み合わせ回路12に加えて、これらのステートマシン11と組み合わせ回路12の間の検証対象となる複数の信号線13の状態を監視する機能検証回路20を備えている。これにより、検証対象回路の内部の動作をチェックできる。   As described above, the system LSI 10 according to the first embodiment includes a plurality of signals to be verified between the state machine 11 and the combinational circuit 12 in addition to the state machine 11 and the combinational circuit 12 that perform the original logical processing. A function verification circuit 20 for monitoring the state of the line 13 is provided. Thereby, the internal operation of the verification target circuit can be checked.

更に、この機能検証回路20は、RTレベルでの設計当初からステートマシン11や組み合わせ回路12と同じHDL等のハードウエア記述言語で記述され、これらのステートマシン11や組み合わせ回路12と同時に論理回路として合成されたものである。従って、RTレベルでのシミュレーションでは、シミュレータによる制約を受けずに、一般的なシミュレータを用いてシミュレーションを行うことができる。また、ネットリストによる論理回路への変換においても、ステートマシン11や組み合わせ回路12と同時に機能検証回路20のネットリストが生成される。これにより、ネットリストを使用したシミュレーションにおいても、検証対象回路の内部の動作のチェックが可能である。   Further, the function verification circuit 20 is described in the hardware description language such as HDL, which is the same as that of the state machine 11 and the combinational circuit 12, from the beginning of the design at the RT level, and as a logic circuit simultaneously with the state machine 11 and the combinational circuit 12 It is synthesized. Therefore, in the simulation at the RT level, the simulation can be performed using a general simulator without being restricted by the simulator. Also in the conversion to the logic circuit by the net list, the net list of the function verification circuit 20 is generated simultaneously with the state machine 11 and the combinational circuit 12. Thereby, it is possible to check the internal operation of the circuit to be verified even in the simulation using the netlist.

従って、このシステムLSI10は、RTレベルから最終的なASICまでのLSI設計において、抽象度の異なる各設計段階で検証対象回路の内部の動作をチェックすることができるという利点がある。   Therefore, the system LSI 10 has an advantage that the internal operation of the circuit to be verified can be checked at each design stage with different abstraction levels in the LSI design from the RT level to the final ASIC.

図3は、本発明の実施例2を示すシステムLSIの構成図である。
このシステムLSI10Aは、図1中のシステムLSI10における機能検証回路20に代えて、機能と構成が若干異なる機能検証回路20Aを設けたものである。即ち、この機能検証回路20Aは、比較部23と出力部24の間に、異常発生時にこの比較部23の出力信号S23a〜S23nを保持するための回路(保持部)を挿入したものである。
FIG. 3 is a configuration diagram of a system LSI showing a second embodiment of the present invention.
This system LSI 10A is provided with a function verification circuit 20A having a slightly different function and configuration in place of the function verification circuit 20 in the system LSI 10 in FIG. That is, the function verification circuit 20A is a circuit in which a circuit (holding unit) for holding the output signals S23a to S23n of the comparison unit 23 is inserted between the comparison unit 23 and the output unit 24 when an abnormality occurs.

比較部23の出力信号S23a〜S23nは、それぞれ論理積ゲート(以下、「AND」という)26a〜26nの第1の入力側に与えられるようになっている。AND26a〜26nの出力側は、それぞれ論理和ゲート(以下、「OR」という)27a〜27nの第1の入力側に接続され、これらのOR27a〜27nの出力側が、それぞれリセット機能つき(但し、リセット端子は図示していない)フリップフロップ(以下、「FF」という)28a〜28nのデータ入力端子に接続されている。FF28a〜28nの出力端子は、出力部24に接続されると共に、それぞれOR27a〜27nの第2の入力側に接続されている。   The output signals S23a to S23n of the comparison unit 23 are supplied to first input sides of AND gates (hereinafter referred to as "AND") 26a to 26n, respectively. The output sides of the ANDs 26a to 26n are connected to first input sides of OR gates 27a to 27n, respectively, and the output sides of these ORs 27a to 27n have reset functions (however, reset The terminals are connected to data input terminals of flip-flops (hereinafter referred to as “FF”) 28a to 28n (not shown). The output terminals of the FFs 28a to 28n are connected to the output unit 24 and to the second input sides of the ORs 27a to 27n, respectively.

更に、FF28a〜28nの出力端子は、否定的論理和ゲート(以下、「NOR」という)29の入力側に接続され、このNOR29の出力側が、AND26a〜26nの第2の入力側に共通に接続されている。   Further, the output terminals of the FFs 28a to 28n are connected to the input side of a negative OR gate (hereinafter referred to as “NOR”) 29, and the output side of the NOR 29 is connected in common to the second input side of the ANDs 26a to 26n. Has been.

このシステムLSIは、図1と同様のテスト装置30を用いたテスト環境でテストされる。そして、機能検証回路20Aでは、ステートマシン11と組み合わせ回路12の間の信号線13の状態を、クロック信号CLKに従って状態履歴保持部21に保持する。遷移チェック信号生成部22は、状態履歴保持部21に保持された信号線13の過去の状態に基づいて、この信号線13に現在出力されるべき信号を生成する。   This system LSI is tested in a test environment using a test apparatus 30 similar to that shown in FIG. In the function verification circuit 20A, the state of the signal line 13 between the state machine 11 and the combinational circuit 12 is held in the state history holding unit 21 according to the clock signal CLK. The transition check signal generation unit 22 generates a signal to be output to the signal line 13 based on the past state of the signal line 13 held in the state history holding unit 21.

比較部23は、遷移チェック信号生成部22で生成された信号と、各信号線13に実際に出力された信号とを比較し、一致したときは“0”、不一致のときは“1”の信号を、各信号線13に対応する出力信号S23a〜S23nとして出力する。   The comparison unit 23 compares the signal generated by the transition check signal generation unit 22 with the signal actually output to each signal line 13, and is “0” when they match, and “1” when they do not match. The signal is output as output signals S23a to S23n corresponding to each signal line 13.

初期状態では、FF28a〜S28nはリセットされているので、NOR29の出力信号は“1”である。従って、比較部23の各出力信号S23a〜S23nは、それぞれAND26a〜26n及びOR27a〜27nを介してFF28a〜S28nの入力側に与えられ、クロック信号CLKに同期して保持される。異常が発生しなければ、出力信号S23a〜S23nはすべて“0”であるので、FF28a〜S28nの保持内容は“0”で変化しない。   In the initial state, since the FFs 28a to S28n are reset, the output signal of the NOR 29 is “1”. Accordingly, the output signals S23a to S23n of the comparison unit 23 are given to the input side of the FFs 28a to S28n via the ANDs 26a to 26n and ORs 27a to 27n, respectively, and are held in synchronization with the clock signal CLK. If no abnormality occurs, the output signals S23a to S23n are all "0", so the contents held in the FFs 28a to S28n are "0" and do not change.

ここで、異常が発生して、例えば出力信号S23aが“1”になったとする。これにより、次のクロック信号CLKのタイミングで、FF28aに“1”が保持され、NOR29の出力信号は“0”に変化する。NOR29の出力信号が“0”になると、出力信号S23a〜S23nに拘りなく、AND26a〜26nの出力信号はすべて“0”になる。また、各FF28a〜28nのデータ入力端子には、それぞれの出力端子の信号がOR27a〜27nを介してフィードバックされる。これにより、出力信号S23aが“1”になった時点での各出力信号S23a〜S23nの値が、それぞれFF28a〜28nに保持されて出力部24に与えられる。この状態は、例えばテスト装置側からリセット信号が与えられるまで継続される。   Here, it is assumed that an abnormality has occurred and, for example, the output signal S23a becomes “1”. As a result, “1” is held in the FF 28a at the timing of the next clock signal CLK, and the output signal of the NOR 29 changes to “0”. When the output signal of the NOR 29 becomes “0”, the output signals of the ANDs 26a to 26n all become “0” regardless of the output signals S23a to S23n. Further, the signals of the respective output terminals are fed back to the data input terminals of the FFs 28a to 28n via the ORs 27a to 27n. Accordingly, the values of the output signals S23a to S23n at the time when the output signal S23a becomes “1” are held in the FFs 28a to 28n and supplied to the output unit 24, respectively. This state is continued until a reset signal is given from the test apparatus side, for example.

以上のように、この実施例2のシステムLSI10Aは、リセット信号が与えられるまで、比較部23で異常を検出したときの出力信号S23a〜S23nを保持するための回路を備えているので、実施例1と同様の利点に加えて、テスト装置側の機能を簡素化することができる。即ち、図1中のテスト装置30における検証モデル31、表示装置32及びログファイル33ではなく、LED(発光ダイオード)等の単純なデバイスを使用するだけで、異常な動作の発生とその原因を確認することができる。   As described above, the system LSI 10A according to the second embodiment includes a circuit for holding the output signals S23a to S23n when an abnormality is detected by the comparison unit 23 until a reset signal is given. In addition to the same advantages as 1, the function on the test apparatus side can be simplified. That is, the occurrence of an abnormal operation and the cause thereof are confirmed only by using a simple device such as an LED (light emitting diode) instead of the verification model 31, the display device 32, and the log file 33 in the test apparatus 30 in FIG. can do.

なお、本発明は、上記実施例に限定されず、種々の変形が可能である。この変形例としては、例えば、次のようなものがある。
(a)システムLSIの検証対象回路は、ステートマシン11と組み合わせ回路12に限定されず、どのような論理回路でも適用可能である。また、検証対象の内部信号は、単数・複数を問わない。
(b)システムLSIの設計段階で機能検証回路20,20Aを記述する言語は、HDLに限定されない。検証対象である本来の論理処理を行うステートマシンや組み合わせ回路用の記述言語と同じものを使用して機能検証回路を記述すれば、RTレベルのシミュレーションからハードウエアの設計まで一貫して行うことができるので、設計工数を削減することができる。
(c)図3において、比較部23の出力信号S23〜S23nを保持するための回路構成は一例であり、異常状態が検出されたときの出力信号S23〜S23nをそのまま保持できるものであれば、どのような回路構成でも良い。
(d)出力部24では、システムLSIのピン数の増加を抑えるために、比較部23の出力信号S23a〜S23nを符号化して端子25に出力するように構成しているが、ピン数の増加が問題にならない程度であれば、符号化する必要は無い。
In addition, this invention is not limited to the said Example, A various deformation | transformation is possible. Examples of this modification include the following.
(A) The verification target circuit of the system LSI is not limited to the state machine 11 and the combinational circuit 12, and any logic circuit is applicable. The internal signal to be verified may be singular or plural.
(B) The language for describing the function verification circuits 20 and 20A at the design stage of the system LSI is not limited to HDL. If the functional verification circuit is described using the same description language for the state machine or combinational circuit that performs the original logic processing as the verification target, it can be performed consistently from RT level simulation to hardware design. As a result, design man-hours can be reduced.
(C) In FIG. 3, the circuit configuration for holding the output signals S23 to S23n of the comparison unit 23 is an example, so long as the output signals S23 to S23n when the abnormal state is detected can be held as they are. Any circuit configuration may be used.
(D) The output unit 24 is configured to encode and output the output signals S23a to S23n of the comparison unit 23 to the terminal 25 in order to suppress an increase in the number of pins of the system LSI. If there is no problem, encoding is not necessary.

本発明の実施例1のシステムLSIのテスト環境を示す図である。It is a figure which shows the test environment of the system LSI of Example 1 of this invention. 従来のアサーションを使用したシミュレーション環境の一例を示す図である。It is a figure which shows an example of the simulation environment using the conventional assertion. 本発明の実施例2を示すシステムLSIの構成図である。It is a block diagram of the system LSI which shows Example 2 of this invention.

符号の説明Explanation of symbols

11 ステートマシン
12 組み合わせ回路
13 信号線
20,20A 機能検証回路
21 状態履歴保持部
22 遷移チェック信号生成部
23 比較部
24 出力部
25 端子
26 AND
27 OR
28 FF
29 NOR
DESCRIPTION OF SYMBOLS 11 State machine 12 Combination circuit 13 Signal line 20, 20A Function verification circuit 21 State history holding part 22 Transition check signal generation part 23 Comparison part 24 Output part 25 Terminal 26 AND
27 OR
28 FF
29 NOR

Claims (4)

入力信号に従って予め定められた論理処理を行って出力信号を出力する組み合わせ回路を有する半導体集積回路において、前記組み合わせ回路における論理処理中の内部信号の状態及び変化の異常を検出するために、その機能をハードウエアに変換可能なハードウエア記述言語で記述され、かつ論理回路として合成された機能検証回路を備えたことを特徴とする半導体集積回路。   In a semiconductor integrated circuit having a combinational circuit that performs a predetermined logic process according to an input signal and outputs an output signal, the function of detecting an abnormality in the state and change of the internal signal during the logic process in the combinational circuit A semiconductor integrated circuit comprising a function verification circuit described in a hardware description language that can be converted into hardware and synthesized as a logic circuit. 前記機能検証回路は、
前記内部信号の状態の履歴を保持する状態履歴保持部と、
前記状態履歴保持部に保持された前記内部信号の状態に基づいて、前記組み合わせ回路から出力されるべき内部信号を生成する遷移チェック信号生成部と、
前記遷移チェック信号生成部で生成された出力されるべき内部信号と、前記組み合わせ回路から実際に出力される内部信号とを比較する比較部と、
前記比較部における比較結果を出力する出力部とを、
備えたことを特徴とする請求項1記載の半導体集積回路。
The function verification circuit includes:
A state history holding unit for holding a history of the state of the internal signal;
A transition check signal generation unit that generates an internal signal to be output from the combinational circuit based on the state of the internal signal held in the state history holding unit;
A comparison unit that compares the internal signal to be output generated by the transition check signal generation unit with the internal signal actually output from the combinational circuit;
An output unit for outputting a comparison result in the comparison unit;
The semiconductor integrated circuit according to claim 1, further comprising:
前記比較部によって前記組み合わせ回路における論理処理中の内部信号の状態または変化の異常が検出されたときに、該比較部における比較結果を保持して前記出力部に与える保持部を設けたことを特徴とする請求項2記載の半導体集積回路。   A holding unit is provided that holds a comparison result in the comparison unit and supplies the comparison result to the output unit when the comparison unit detects an abnormality in the state or change of the internal signal during logic processing in the combinational circuit. The semiconductor integrated circuit according to claim 2. 前記出力部は、前記比較結果を符号化して出力することを特徴とする請求項2または3記載の半導体集積回路。   4. The semiconductor integrated circuit according to claim 2, wherein the output unit encodes and outputs the comparison result.
JP2006287151A 2006-10-23 2006-10-23 Semiconductor integrated circuit Withdrawn JP2008107872A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006287151A JP2008107872A (en) 2006-10-23 2006-10-23 Semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006287151A JP2008107872A (en) 2006-10-23 2006-10-23 Semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2008107872A true JP2008107872A (en) 2008-05-08

Family

ID=39441204

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006287151A Withdrawn JP2008107872A (en) 2006-10-23 2006-10-23 Semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2008107872A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008158696A (en) * 2006-12-21 2008-07-10 Mitsubishi Electric Corp Matching verification method and device
JP2011138183A (en) * 2009-12-25 2011-07-14 Fujitsu Ltd Verification support program and verification support device
WO2017013783A1 (en) * 2015-07-23 2017-01-26 株式会社日立製作所 Logic circuit verification method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008158696A (en) * 2006-12-21 2008-07-10 Mitsubishi Electric Corp Matching verification method and device
JP2011138183A (en) * 2009-12-25 2011-07-14 Fujitsu Ltd Verification support program and verification support device
WO2017013783A1 (en) * 2015-07-23 2017-01-26 株式会社日立製作所 Logic circuit verification method

Similar Documents

Publication Publication Date Title
US6199031B1 (en) HDL simulation interface for testing and verifying an ASIC model
US7376919B1 (en) Methods and apparatuses for automated circuit optimization and verification
US7434182B2 (en) Method for testing sub-systems of a system-on-a-chip using a configurable external system-on-a-chip
US20130232460A1 (en) Power State Transition Verification For Electronic Design
JP2009503749A (en) Debugging and testing method and system using replicated logic
US7886244B2 (en) Driving values to DC adjusted/untimed nets to identify timing problems
JP2008171296A (en) Model preparation program, model preparation device and model preparation method
US8650519B2 (en) Automated functional coverage for an integrated circuit design
US7254793B2 (en) Latch modeling technique for formal verification
US7454726B2 (en) Technique for generating input stimulus to cover properties not covered in random simulation
US8250504B2 (en) Designing method of semiconductor integrated circuit
CN117094269B (en) Verification method, verification device, electronic equipment and readable storage medium
US8413102B2 (en) Vectorless IVD analysis prior to tapeout to prevent scan test failure due to voltage drop
Mirzaeian et al. RTL error diagnosis using a word-level SAT-solver
CN107784185B (en) Method and device for extracting pseudo path in gate-level netlist and terminal equipment
US10162917B1 (en) Method and system for implementing selective transformation for low power verification
JP2008107872A (en) Semiconductor integrated circuit
US8042075B2 (en) Method, system and application for sequential cofactor-based analysis of netlists
US20040230414A1 (en) Method for verification of hardware designs with multiple asynchronous frequency domains
US11892504B1 (en) Method and system for debugging metastability in digital circuits
JP2012007929A (en) Integrated circuit, simulation device, and simulation method
US8392860B1 (en) Identifying speed binning test vectors during simulation of an integrated circuit design
Zimmermann An exploration of options to increase functional safety in the AVR core
US20080077895A1 (en) Method for generating a timing path software monitor for identifying a critical timing path in hardware devices coupled between components
Foster Response checkers, monitors and assertions

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20100105